reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced

References

gen/lib/Target/AArch64/AArch64GenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(68591616),	// ABS_ZPmZ_B
  207     UINT64_C(81174528),	// ABS_ZPmZ_D
  208     UINT64_C(72785920),	// ABS_ZPmZ_H
  209     UINT64_C(76980224),	// ABS_ZPmZ_S
  210     UINT64_C(1310767104),	// ABSv16i8
  211     UINT64_C(1591785472),	// ABSv1i64
  212     UINT64_C(245413888),	// ABSv2i32
  213     UINT64_C(1323350016),	// ABSv2i64
  214     UINT64_C(241219584),	// ABSv4i16
  215     UINT64_C(1319155712),	// ABSv4i32
  216     UINT64_C(1314961408),	// ABSv8i16
  217     UINT64_C(237025280),	// ABSv8i8
  218     UINT64_C(1161875456),	// ADCLB_ZZZ_D
  219     UINT64_C(1157681152),	// ADCLB_ZZZ_S
  220     UINT64_C(1161876480),	// ADCLT_ZZZ_D
  221     UINT64_C(1157682176),	// ADCLT_ZZZ_S
  222     UINT64_C(973078528),	// ADCSWr
  223     UINT64_C(3120562176),	// ADCSXr
  224     UINT64_C(436207616),	// ADCWr
  225     UINT64_C(2583691264),	// ADCXr
  226     UINT64_C(2441084928),	// ADDG
  227     UINT64_C(1163943936),	// ADDHNB_ZZZ_B
  228     UINT64_C(1168138240),	// ADDHNB_ZZZ_H
  229     UINT64_C(1172332544),	// ADDHNB_ZZZ_S
  230     UINT64_C(1163944960),	// ADDHNT_ZZZ_B
  231     UINT64_C(1168139264),	// ADDHNT_ZZZ_H
  232     UINT64_C(1172333568),	// ADDHNT_ZZZ_S
  233     UINT64_C(245383168),	// ADDHNv2i64_v2i32
  234     UINT64_C(1319124992),	// ADDHNv2i64_v4i32
  235     UINT64_C(241188864),	// ADDHNv4i32_v4i16
  236     UINT64_C(1314930688),	// ADDHNv4i32_v8i16
  237     UINT64_C(1310736384),	// ADDHNv8i16_v16i8
  238     UINT64_C(236994560),	// ADDHNv8i16_v8i8
  239     UINT64_C(73420800),	// ADDPL_XXI
  240     UINT64_C(1142005760),	// ADDP_ZPmZ_B
  241     UINT64_C(1154588672),	// ADDP_ZPmZ_D
  242     UINT64_C(1146200064),	// ADDP_ZPmZ_H
  243     UINT64_C(1150394368),	// ADDP_ZPmZ_S
  244     UINT64_C(1310768128),	// ADDPv16i8
  245     UINT64_C(245414912),	// ADDPv2i32
  246     UINT64_C(1323351040),	// ADDPv2i64
  247     UINT64_C(1592899584),	// ADDPv2i64p
  248     UINT64_C(241220608),	// ADDPv4i16
  249     UINT64_C(1319156736),	// ADDPv4i32
  250     UINT64_C(1314962432),	// ADDPv8i16
  251     UINT64_C(237026304),	// ADDPv8i8
  252     UINT64_C(822083584),	// ADDSWri
  253     UINT64_C(0),	// ADDSWrr
  254     UINT64_C(721420288),	// ADDSWrs
  255     UINT64_C(723517440),	// ADDSWrx
  256     UINT64_C(2969567232),	// ADDSXri
  257     UINT64_C(0),	// ADDSXrr
  258     UINT64_C(2868903936),	// ADDSXrs
  259     UINT64_C(2871001088),	// ADDSXrx
  260     UINT64_C(2871025664),	// ADDSXrx64
  261     UINT64_C(69226496),	// ADDVL_XXI
  262     UINT64_C(1311881216),	// ADDVv16i8v
  263     UINT64_C(242333696),	// ADDVv4i16v
  264     UINT64_C(1320269824),	// ADDVv4i32v
  265     UINT64_C(1316075520),	// ADDVv8i16v
  266     UINT64_C(238139392),	// ADDVv8i8v
  267     UINT64_C(285212672),	// ADDWri
  268     UINT64_C(0),	// ADDWrr
  269     UINT64_C(184549376),	// ADDWrs
  270     UINT64_C(186646528),	// ADDWrx
  271     UINT64_C(2432696320),	// ADDXri
  272     UINT64_C(0),	// ADDXrr
  273     UINT64_C(2332033024),	// ADDXrs
  274     UINT64_C(2334130176),	// ADDXrx
  275     UINT64_C(2334154752),	// ADDXrx64
  276     UINT64_C(622903296),	// ADD_ZI_B
  277     UINT64_C(635486208),	// ADD_ZI_D
  278     UINT64_C(627097600),	// ADD_ZI_H
  279     UINT64_C(631291904),	// ADD_ZI_S
  280     UINT64_C(67108864),	// ADD_ZPmZ_B
  281     UINT64_C(79691776),	// ADD_ZPmZ_D
  282     UINT64_C(71303168),	// ADD_ZPmZ_H
  283     UINT64_C(75497472),	// ADD_ZPmZ_S
  284     UINT64_C(69206016),	// ADD_ZZZ_B
  285     UINT64_C(81788928),	// ADD_ZZZ_D
  286     UINT64_C(73400320),	// ADD_ZZZ_H
  287     UINT64_C(77594624),	// ADD_ZZZ_S
  288     UINT64_C(0),	// ADDlowTLS
  289     UINT64_C(1310753792),	// ADDv16i8
  290     UINT64_C(1591772160),	// ADDv1i64
  291     UINT64_C(245400576),	// ADDv2i32
  292     UINT64_C(1323336704),	// ADDv2i64
  293     UINT64_C(241206272),	// ADDv4i16
  294     UINT64_C(1319142400),	// ADDv4i32
  295     UINT64_C(1314948096),	// ADDv8i16
  296     UINT64_C(237011968),	// ADDv8i8
  297     UINT64_C(0),	// ADJCALLSTACKDOWN
  298     UINT64_C(0),	// ADJCALLSTACKUP
  299     UINT64_C(268435456),	// ADR
  300     UINT64_C(2415919104),	// ADRP
  301     UINT64_C(81829888),	// ADR_LSL_ZZZ_D_0
  302     UINT64_C(81830912),	// ADR_LSL_ZZZ_D_1
  303     UINT64_C(81831936),	// ADR_LSL_ZZZ_D_2
  304     UINT64_C(81832960),	// ADR_LSL_ZZZ_D_3
  305     UINT64_C(77635584),	// ADR_LSL_ZZZ_S_0
  306     UINT64_C(77636608),	// ADR_LSL_ZZZ_S_1
  307     UINT64_C(77637632),	// ADR_LSL_ZZZ_S_2
  308     UINT64_C(77638656),	// ADR_LSL_ZZZ_S_3
  309     UINT64_C(69246976),	// ADR_SXTW_ZZZ_D_0
  310     UINT64_C(69248000),	// ADR_SXTW_ZZZ_D_1
  311     UINT64_C(69249024),	// ADR_SXTW_ZZZ_D_2
  312     UINT64_C(69250048),	// ADR_SXTW_ZZZ_D_3
  313     UINT64_C(73441280),	// ADR_UXTW_ZZZ_D_0
  314     UINT64_C(73442304),	// ADR_UXTW_ZZZ_D_1
  315     UINT64_C(73443328),	// ADR_UXTW_ZZZ_D_2
  316     UINT64_C(73444352),	// ADR_UXTW_ZZZ_D_3
  317     UINT64_C(1159914496),	// AESD_ZZZ_B
  318     UINT64_C(1311266816),	// AESDrr
  319     UINT64_C(1159913472),	// AESE_ZZZ_B
  320     UINT64_C(1311262720),	// AESErr
  321     UINT64_C(1159783424),	// AESIMC_ZZ_B
  322     UINT64_C(1311275008),	// AESIMCrr
  323     UINT64_C(0),	// AESIMCrrTied
  324     UINT64_C(1159782400),	// AESMC_ZZ_B
  325     UINT64_C(1311270912),	// AESMCrr
  326     UINT64_C(0),	// AESMCrrTied
  327     UINT64_C(1912602624),	// ANDSWri
  328     UINT64_C(0),	// ANDSWrr
  329     UINT64_C(1778384896),	// ANDSWrs
  330     UINT64_C(4060086272),	// ANDSXri
  331     UINT64_C(0),	// ANDSXrr
  332     UINT64_C(3925868544),	// ANDSXrs
  333     UINT64_C(624967680),	// ANDS_PPzPP
  334     UINT64_C(68820992),	// ANDV_VPZ_B
  335     UINT64_C(81403904),	// ANDV_VPZ_D
  336     UINT64_C(73015296),	// ANDV_VPZ_H
  337     UINT64_C(77209600),	// ANDV_VPZ_S
  338     UINT64_C(301989888),	// ANDWri
  339     UINT64_C(0),	// ANDWrr
  340     UINT64_C(167772160),	// ANDWrs
  341     UINT64_C(2449473536),	// ANDXri
  342     UINT64_C(0),	// ANDXrr
  343     UINT64_C(2315255808),	// ANDXrs
  344     UINT64_C(620773376),	// AND_PPzPP
  345     UINT64_C(92274688),	// AND_ZI
  346     UINT64_C(68812800),	// AND_ZPmZ_B
  347     UINT64_C(81395712),	// AND_ZPmZ_D
  348     UINT64_C(73007104),	// AND_ZPmZ_H
  349     UINT64_C(77201408),	// AND_ZPmZ_S
  350     UINT64_C(69218304),	// AND_ZZZ
  351     UINT64_C(1310727168),	// ANDv16i8
  352     UINT64_C(236985344),	// ANDv8i8
  353     UINT64_C(67404032),	// ASRD_ZPmI_B
  354     UINT64_C(75792384),	// ASRD_ZPmI_D
  355     UINT64_C(67404288),	// ASRD_ZPmI_H
  356     UINT64_C(71598080),	// ASRD_ZPmI_S
  357     UINT64_C(68452352),	// ASRR_ZPmZ_B
  358     UINT64_C(81035264),	// ASRR_ZPmZ_D
  359     UINT64_C(72646656),	// ASRR_ZPmZ_H
  360     UINT64_C(76840960),	// ASRR_ZPmZ_S
  361     UINT64_C(448800768),	// ASRVWr
  362     UINT64_C(2596284416),	// ASRVXr
  363     UINT64_C(68714496),	// ASR_WIDE_ZPmZ_B
  364     UINT64_C(72908800),	// ASR_WIDE_ZPmZ_H
  365     UINT64_C(77103104),	// ASR_WIDE_ZPmZ_S
  366     UINT64_C(69238784),	// ASR_WIDE_ZZZ_B
  367     UINT64_C(73433088),	// ASR_WIDE_ZZZ_H
  368     UINT64_C(77627392),	// ASR_WIDE_ZZZ_S
  369     UINT64_C(67141888),	// ASR_ZPmI_B
  370     UINT64_C(75530240),	// ASR_ZPmI_D
  371     UINT64_C(67142144),	// ASR_ZPmI_H
  372     UINT64_C(71335936),	// ASR_ZPmI_S
  373     UINT64_C(68190208),	// ASR_ZPmZ_B
  374     UINT64_C(80773120),	// ASR_ZPmZ_D
  375     UINT64_C(72384512),	// ASR_ZPmZ_H
  376     UINT64_C(76578816),	// ASR_ZPmZ_S
  377     UINT64_C(69767168),	// ASR_ZZI_B
  378     UINT64_C(77631488),	// ASR_ZZI_D
  379     UINT64_C(70291456),	// ASR_ZZI_H
  380     UINT64_C(73437184),	// ASR_ZZI_S
  381     UINT64_C(3670087680),	// AUTDA
  382     UINT64_C(3670088704),	// AUTDB
  383     UINT64_C(3670096864),	// AUTDZA
  384     UINT64_C(3670097888),	// AUTDZB
  385     UINT64_C(3670085632),	// AUTIA
  386     UINT64_C(3573752223),	// AUTIA1716
  387     UINT64_C(3573752767),	// AUTIASP
  388     UINT64_C(3573752735),	// AUTIAZ
  389     UINT64_C(3670086656),	// AUTIB
  390     UINT64_C(3573752287),	// AUTIB1716
  391     UINT64_C(3573752831),	// AUTIBSP
  392     UINT64_C(3573752799),	// AUTIBZ
  393     UINT64_C(3670094816),	// AUTIZA
  394     UINT64_C(3670095840),	// AUTIZB
  395     UINT64_C(3573563487),	// AXFLAG
  396     UINT64_C(335544320),	// B
  397     UINT64_C(3458203648),	// BCAX
  398     UINT64_C(73414656),	// BCAX_ZZZZ_D
  399     UINT64_C(1157673984),	// BDEP_ZZZ_B
  400     UINT64_C(1170256896),	// BDEP_ZZZ_D
  401     UINT64_C(1161868288),	// BDEP_ZZZ_H
  402     UINT64_C(1166062592),	// BDEP_ZZZ_S
  403     UINT64_C(1157672960),	// BEXT_ZZZ_B
  404     UINT64_C(1170255872),	// BEXT_ZZZ_D
  405     UINT64_C(1161867264),	// BEXT_ZZZ_H
  406     UINT64_C(1166061568),	// BEXT_ZZZ_S
  407     UINT64_C(855638016),	// BFMWri
  408     UINT64_C(3007315968),	// BFMXri
  409     UINT64_C(1157675008),	// BGRP_ZZZ_B
  410     UINT64_C(1170257920),	// BGRP_ZZZ_D
  411     UINT64_C(1161869312),	// BGRP_ZZZ_H
  412     UINT64_C(1166063616),	// BGRP_ZZZ_S
  413     UINT64_C(0),	// BICSWrr
  414     UINT64_C(1780482048),	// BICSWrs
  415     UINT64_C(0),	// BICSXrr
  416     UINT64_C(3927965696),	// BICSXrs
  417     UINT64_C(624967696),	// BICS_PPzPP
  418     UINT64_C(0),	// BICWrr
  419     UINT64_C(169869312),	// BICWrs
  420     UINT64_C(0),	// BICXrr
  421     UINT64_C(2317352960),	// BICXrs
  422     UINT64_C(620773392),	// BIC_PPzPP
  423     UINT64_C(68878336),	// BIC_ZPmZ_B
  424     UINT64_C(81461248),	// BIC_ZPmZ_D
  425     UINT64_C(73072640),	// BIC_ZPmZ_H
  426     UINT64_C(77266944),	// BIC_ZPmZ_S
  427     UINT64_C(81801216),	// BIC_ZZZ
  428     UINT64_C(1314921472),	// BICv16i8
  429     UINT64_C(788534272),	// BICv2i32
  430     UINT64_C(788567040),	// BICv4i16
  431     UINT64_C(1862276096),	// BICv4i32
  432     UINT64_C(1862308864),	// BICv8i16
  433     UINT64_C(241179648),	// BICv8i8
  434     UINT64_C(1860180992),	// BIFv16i8
  435     UINT64_C(786439168),	// BIFv8i8
  436     UINT64_C(1855986688),	// BITv16i8
  437     UINT64_C(782244864),	// BITv8i8
  438     UINT64_C(2483027968),	// BL
  439     UINT64_C(3594452992),	// BLR
  440     UINT64_C(3611232256),	// BLRAA
  441     UINT64_C(3594455071),	// BLRAAZ
  442     UINT64_C(3611233280),	// BLRAB
  443     UINT64_C(3594456095),	// BLRABZ
  444     UINT64_C(3592355840),	// BR
  445     UINT64_C(3609135104),	// BRAA
  446     UINT64_C(3592357919),	// BRAAZ
  447     UINT64_C(3609136128),	// BRAB
  448     UINT64_C(3592358943),	// BRABZ
  449     UINT64_C(3558866944),	// BRK
  450     UINT64_C(626016256),	// BRKAS_PPzP
  451     UINT64_C(621821968),	// BRKA_PPmP
  452     UINT64_C(621821952),	// BRKA_PPzP
  453     UINT64_C(634404864),	// BRKBS_PPzP
  454     UINT64_C(630210576),	// BRKB_PPmP
  455     UINT64_C(630210560),	// BRKB_PPzP
  456     UINT64_C(626540544),	// BRKNS_PPzP
  457     UINT64_C(622346240),	// BRKN_PPzP
  458     UINT64_C(625000448),	// BRKPAS_PPzPP
  459     UINT64_C(620806144),	// BRKPA_PPzPP
  460     UINT64_C(625000464),	// BRKPBS_PPzPP
  461     UINT64_C(620806160),	// BRKPB_PPzPP
  462     UINT64_C(73415680),	// BSL1N_ZZZZ_D
  463     UINT64_C(77609984),	// BSL2N_ZZZZ_D
  464     UINT64_C(69221376),	// BSL_ZZZZ_D
  465     UINT64_C(1851792384),	// BSLv16i8
  466     UINT64_C(778050560),	// BSLv8i8
  467     UINT64_C(1409286144),	// Bcc
  468     UINT64_C(1157683200),	// CADD_ZZI_B
  469     UINT64_C(1170266112),	// CADD_ZZI_D
  470     UINT64_C(1161877504),	// CADD_ZZI_H
  471     UINT64_C(1166071808),	// CADD_ZZI_S
  472     UINT64_C(148929536),	// CASAB
  473     UINT64_C(1222671360),	// CASAH
  474     UINT64_C(148962304),	// CASALB
  475     UINT64_C(1222704128),	// CASALH
  476     UINT64_C(2296445952),	// CASALW
  477     UINT64_C(3370187776),	// CASALX
  478     UINT64_C(2296413184),	// CASAW
  479     UINT64_C(3370155008),	// CASAX
  480     UINT64_C(144735232),	// CASB
  481     UINT64_C(1218477056),	// CASH
  482     UINT64_C(144768000),	// CASLB
  483     UINT64_C(1218509824),	// CASLH
  484     UINT64_C(2292251648),	// CASLW
  485     UINT64_C(3365993472),	// CASLX
  486     UINT64_C(140573696),	// CASPALW
  487     UINT64_C(1214315520),	// CASPALX
  488     UINT64_C(140540928),	// CASPAW
  489     UINT64_C(1214282752),	// CASPAX
  490     UINT64_C(136379392),	// CASPLW
  491     UINT64_C(1210121216),	// CASPLX
  492     UINT64_C(136346624),	// CASPW
  493     UINT64_C(1210088448),	// CASPX
  494     UINT64_C(2292218880),	// CASW
  495     UINT64_C(3365960704),	// CASX
  496     UINT64_C(0),	// CATCHPAD
  497     UINT64_C(889192448),	// CBNZW
  498     UINT64_C(3036676096),	// CBNZX
  499     UINT64_C(872415232),	// CBZW
  500     UINT64_C(3019898880),	// CBZX
  501     UINT64_C(977274880),	// CCMNWi
  502     UINT64_C(977272832),	// CCMNWr
  503     UINT64_C(3124758528),	// CCMNXi
  504     UINT64_C(3124756480),	// CCMNXr
  505     UINT64_C(2051016704),	// CCMPWi
  506     UINT64_C(2051014656),	// CCMPWr
  507     UINT64_C(4198500352),	// CCMPXi
  508     UINT64_C(4198498304),	// CCMPXr
  509     UINT64_C(1155547136),	// CDOT_ZZZI_D
  510     UINT64_C(1151352832),	// CDOT_ZZZI_S
  511     UINT64_C(1153437696),	// CDOT_ZZZ_D
  512     UINT64_C(1149243392),	// CDOT_ZZZ_S
  513     UINT64_C(3573563423),	// CFINV
  514     UINT64_C(87072768),	// CLASTA_RPZ_B
  515     UINT64_C(99655680),	// CLASTA_RPZ_D
  516     UINT64_C(91267072),	// CLASTA_RPZ_H
  517     UINT64_C(95461376),	// CLASTA_RPZ_S
  518     UINT64_C(86671360),	// CLASTA_VPZ_B
  519     UINT64_C(99254272),	// CLASTA_VPZ_D
  520     UINT64_C(90865664),	// CLASTA_VPZ_H
  521     UINT64_C(95059968),	// CLASTA_VPZ_S
  522     UINT64_C(86540288),	// CLASTA_ZPZ_B
  523     UINT64_C(99123200),	// CLASTA_ZPZ_D
  524     UINT64_C(90734592),	// CLASTA_ZPZ_H
  525     UINT64_C(94928896),	// CLASTA_ZPZ_S
  526     UINT64_C(87138304),	// CLASTB_RPZ_B
  527     UINT64_C(99721216),	// CLASTB_RPZ_D
  528     UINT64_C(91332608),	// CLASTB_RPZ_H
  529     UINT64_C(95526912),	// CLASTB_RPZ_S
  530     UINT64_C(86736896),	// CLASTB_VPZ_B
  531     UINT64_C(99319808),	// CLASTB_VPZ_D
  532     UINT64_C(90931200),	// CLASTB_VPZ_H
  533     UINT64_C(95125504),	// CLASTB_VPZ_S
  534     UINT64_C(86605824),	// CLASTB_ZPZ_B
  535     UINT64_C(99188736),	// CLASTB_ZPZ_D
  536     UINT64_C(90800128),	// CLASTB_ZPZ_H
  537     UINT64_C(94994432),	// CLASTB_ZPZ_S
  538     UINT64_C(3573755999),	// CLREX
  539     UINT64_C(1522537472),	// CLSWr
  540     UINT64_C(3670021120),	// CLSXr
  541     UINT64_C(68722688),	// CLS_ZPmZ_B
  542     UINT64_C(81305600),	// CLS_ZPmZ_D
  543     UINT64_C(72916992),	// CLS_ZPmZ_H
  544     UINT64_C(77111296),	// CLS_ZPmZ_S
  545     UINT64_C(1310738432),	// CLSv16i8
  546     UINT64_C(245385216),	// CLSv2i32
  547     UINT64_C(241190912),	// CLSv4i16
  548     UINT64_C(1319127040),	// CLSv4i32
  549     UINT64_C(1314932736),	// CLSv8i16
  550     UINT64_C(236996608),	// CLSv8i8
  551     UINT64_C(1522536448),	// CLZWr
  552     UINT64_C(3670020096),	// CLZXr
  553     UINT64_C(68788224),	// CLZ_ZPmZ_B
  554     UINT64_C(81371136),	// CLZ_ZPmZ_D
  555     UINT64_C(72982528),	// CLZ_ZPmZ_H
  556     UINT64_C(77176832),	// CLZ_ZPmZ_S
  557     UINT64_C(1847609344),	// CLZv16i8
  558     UINT64_C(782256128),	// CLZv2i32
  559     UINT64_C(778061824),	// CLZv4i16
  560     UINT64_C(1855997952),	// CLZv4i32
  561     UINT64_C(1851803648),	// CLZv8i16
  562     UINT64_C(773867520),	// CLZv8i8
  563     UINT64_C(1847626752),	// CMEQv16i8
  564     UINT64_C(1310758912),	// CMEQv16i8rz
  565     UINT64_C(2128645120),	// CMEQv1i64
  566     UINT64_C(1591777280),	// CMEQv1i64rz
  567     UINT64_C(782273536),	// CMEQv2i32
  568     UINT64_C(245405696),	// CMEQv2i32rz
  569     UINT64_C(1860209664),	// CMEQv2i64
  570     UINT64_C(1323341824),	// CMEQv2i64rz
  571     UINT64_C(778079232),	// CMEQv4i16
  572     UINT64_C(241211392),	// CMEQv4i16rz
  573     UINT64_C(1856015360),	// CMEQv4i32
  574     UINT64_C(1319147520),	// CMEQv4i32rz
  575     UINT64_C(1851821056),	// CMEQv8i16
  576     UINT64_C(1314953216),	// CMEQv8i16rz
  577     UINT64_C(773884928),	// CMEQv8i8
  578     UINT64_C(237017088),	// CMEQv8i8rz
  579     UINT64_C(1310735360),	// CMGEv16i8
  580     UINT64_C(1847625728),	// CMGEv16i8rz
  581     UINT64_C(1591753728),	// CMGEv1i64
  582     UINT64_C(2128644096),	// CMGEv1i64rz
  583     UINT64_C(245382144),	// CMGEv2i32
  584     UINT64_C(782272512),	// CMGEv2i32rz
  585     UINT64_C(1323318272),	// CMGEv2i64
  586     UINT64_C(1860208640),	// CMGEv2i64rz
  587     UINT64_C(241187840),	// CMGEv4i16
  588     UINT64_C(778078208),	// CMGEv4i16rz
  589     UINT64_C(1319123968),	// CMGEv4i32
  590     UINT64_C(1856014336),	// CMGEv4i32rz
  591     UINT64_C(1314929664),	// CMGEv8i16
  592     UINT64_C(1851820032),	// CMGEv8i16rz
  593     UINT64_C(236993536),	// CMGEv8i8
  594     UINT64_C(773883904),	// CMGEv8i8rz
  595     UINT64_C(1310733312),	// CMGTv16i8
  596     UINT64_C(1310754816),	// CMGTv16i8rz
  597     UINT64_C(1591751680),	// CMGTv1i64
  598     UINT64_C(1591773184),	// CMGTv1i64rz
  599     UINT64_C(245380096),	// CMGTv2i32
  600     UINT64_C(245401600),	// CMGTv2i32rz
  601     UINT64_C(1323316224),	// CMGTv2i64
  602     UINT64_C(1323337728),	// CMGTv2i64rz
  603     UINT64_C(241185792),	// CMGTv4i16
  604     UINT64_C(241207296),	// CMGTv4i16rz
  605     UINT64_C(1319121920),	// CMGTv4i32
  606     UINT64_C(1319143424),	// CMGTv4i32rz
  607     UINT64_C(1314927616),	// CMGTv8i16
  608     UINT64_C(1314949120),	// CMGTv8i16rz
  609     UINT64_C(236991488),	// CMGTv8i8
  610     UINT64_C(237012992),	// CMGTv8i8rz
  611     UINT64_C(1847604224),	// CMHIv16i8
  612     UINT64_C(2128622592),	// CMHIv1i64
  613     UINT64_C(782251008),	// CMHIv2i32
  614     UINT64_C(1860187136),	// CMHIv2i64
  615     UINT64_C(778056704),	// CMHIv4i16
  616     UINT64_C(1855992832),	// CMHIv4i32
  617     UINT64_C(1851798528),	// CMHIv8i16
  618     UINT64_C(773862400),	// CMHIv8i8
  619     UINT64_C(1847606272),	// CMHSv16i8
  620     UINT64_C(2128624640),	// CMHSv1i64
  621     UINT64_C(782253056),	// CMHSv2i32
  622     UINT64_C(1860189184),	// CMHSv2i64
  623     UINT64_C(778058752),	// CMHSv4i16
  624     UINT64_C(1855994880),	// CMHSv4i32
  625     UINT64_C(1851800576),	// CMHSv8i16
  626     UINT64_C(773864448),	// CMHSv8i8
  627     UINT64_C(1151361024),	// CMLA_ZZZI_H
  628     UINT64_C(1155555328),	// CMLA_ZZZI_S
  629     UINT64_C(1140858880),	// CMLA_ZZZ_B
  630     UINT64_C(1153441792),	// CMLA_ZZZ_D
  631     UINT64_C(1145053184),	// CMLA_ZZZ_H
  632     UINT64_C(1149247488),	// CMLA_ZZZ_S
  633     UINT64_C(1847629824),	// CMLEv16i8rz
  634     UINT64_C(2128648192),	// CMLEv1i64rz
  635     UINT64_C(782276608),	// CMLEv2i32rz
  636     UINT64_C(1860212736),	// CMLEv2i64rz
  637     UINT64_C(778082304),	// CMLEv4i16rz
  638     UINT64_C(1856018432),	// CMLEv4i32rz
  639     UINT64_C(1851824128),	// CMLEv8i16rz
  640     UINT64_C(773888000),	// CMLEv8i8rz
  641     UINT64_C(1310763008),	// CMLTv16i8rz
  642     UINT64_C(1591781376),	// CMLTv1i64rz
  643     UINT64_C(245409792),	// CMLTv2i32rz
  644     UINT64_C(1323345920),	// CMLTv2i64rz
  645     UINT64_C(241215488),	// CMLTv4i16rz
  646     UINT64_C(1319151616),	// CMLTv4i32rz
  647     UINT64_C(1314957312),	// CMLTv8i16rz
  648     UINT64_C(237021184),	// CMLTv8i8rz
  649     UINT64_C(620789760),	// CMPEQ_PPzZI_B
  650     UINT64_C(633372672),	// CMPEQ_PPzZI_D
  651     UINT64_C(624984064),	// CMPEQ_PPzZI_H
  652     UINT64_C(629178368),	// CMPEQ_PPzZI_S
  653     UINT64_C(604020736),	// CMPEQ_PPzZZ_B
  654     UINT64_C(616603648),	// CMPEQ_PPzZZ_D
  655     UINT64_C(608215040),	// CMPEQ_PPzZZ_H
  656     UINT64_C(612409344),	// CMPEQ_PPzZZ_S
  657     UINT64_C(603987968),	// CMPEQ_WIDE_PPzZZ_B
  658     UINT64_C(608182272),	// CMPEQ_WIDE_PPzZZ_H
  659     UINT64_C(612376576),	// CMPEQ_WIDE_PPzZZ_S
  660     UINT64_C(620756992),	// CMPGE_PPzZI_B
  661     UINT64_C(633339904),	// CMPGE_PPzZI_D
  662     UINT64_C(624951296),	// CMPGE_PPzZI_H
  663     UINT64_C(629145600),	// CMPGE_PPzZI_S
  664     UINT64_C(604012544),	// CMPGE_PPzZZ_B
  665     UINT64_C(616595456),	// CMPGE_PPzZZ_D
  666     UINT64_C(608206848),	// CMPGE_PPzZZ_H
  667     UINT64_C(612401152),	// CMPGE_PPzZZ_S
  668     UINT64_C(603996160),	// CMPGE_WIDE_PPzZZ_B
  669     UINT64_C(608190464),	// CMPGE_WIDE_PPzZZ_H
  670     UINT64_C(612384768),	// CMPGE_WIDE_PPzZZ_S
  671     UINT64_C(620757008),	// CMPGT_PPzZI_B
  672     UINT64_C(633339920),	// CMPGT_PPzZI_D
  673     UINT64_C(624951312),	// CMPGT_PPzZI_H
  674     UINT64_C(629145616),	// CMPGT_PPzZI_S
  675     UINT64_C(604012560),	// CMPGT_PPzZZ_B
  676     UINT64_C(616595472),	// CMPGT_PPzZZ_D
  677     UINT64_C(608206864),	// CMPGT_PPzZZ_H
  678     UINT64_C(612401168),	// CMPGT_PPzZZ_S
  679     UINT64_C(603996176),	// CMPGT_WIDE_PPzZZ_B
  680     UINT64_C(608190480),	// CMPGT_WIDE_PPzZZ_H
  681     UINT64_C(612384784),	// CMPGT_WIDE_PPzZZ_S
  682     UINT64_C(606076944),	// CMPHI_PPzZI_B
  683     UINT64_C(618659856),	// CMPHI_PPzZI_D
  684     UINT64_C(610271248),	// CMPHI_PPzZI_H
  685     UINT64_C(614465552),	// CMPHI_PPzZI_S
  686     UINT64_C(603979792),	// CMPHI_PPzZZ_B
  687     UINT64_C(616562704),	// CMPHI_PPzZZ_D
  688     UINT64_C(608174096),	// CMPHI_PPzZZ_H
  689     UINT64_C(612368400),	// CMPHI_PPzZZ_S
  690     UINT64_C(604028944),	// CMPHI_WIDE_PPzZZ_B
  691     UINT64_C(608223248),	// CMPHI_WIDE_PPzZZ_H
  692     UINT64_C(612417552),	// CMPHI_WIDE_PPzZZ_S
  693     UINT64_C(606076928),	// CMPHS_PPzZI_B
  694     UINT64_C(618659840),	// CMPHS_PPzZI_D
  695     UINT64_C(610271232),	// CMPHS_PPzZI_H
  696     UINT64_C(614465536),	// CMPHS_PPzZI_S
  697     UINT64_C(603979776),	// CMPHS_PPzZZ_B
  698     UINT64_C(616562688),	// CMPHS_PPzZZ_D
  699     UINT64_C(608174080),	// CMPHS_PPzZZ_H
  700     UINT64_C(612368384),	// CMPHS_PPzZZ_S
  701     UINT64_C(604028928),	// CMPHS_WIDE_PPzZZ_B
  702     UINT64_C(608223232),	// CMPHS_WIDE_PPzZZ_H
  703     UINT64_C(612417536),	// CMPHS_WIDE_PPzZZ_S
  704     UINT64_C(620765200),	// CMPLE_PPzZI_B
  705     UINT64_C(633348112),	// CMPLE_PPzZI_D
  706     UINT64_C(624959504),	// CMPLE_PPzZI_H
  707     UINT64_C(629153808),	// CMPLE_PPzZI_S
  708     UINT64_C(604004368),	// CMPLE_WIDE_PPzZZ_B
  709     UINT64_C(608198672),	// CMPLE_WIDE_PPzZZ_H
  710     UINT64_C(612392976),	// CMPLE_WIDE_PPzZZ_S
  711     UINT64_C(606085120),	// CMPLO_PPzZI_B
  712     UINT64_C(618668032),	// CMPLO_PPzZI_D
  713     UINT64_C(610279424),	// CMPLO_PPzZI_H
  714     UINT64_C(614473728),	// CMPLO_PPzZI_S
  715     UINT64_C(604037120),	// CMPLO_WIDE_PPzZZ_B
  716     UINT64_C(608231424),	// CMPLO_WIDE_PPzZZ_H
  717     UINT64_C(612425728),	// CMPLO_WIDE_PPzZZ_S
  718     UINT64_C(606085136),	// CMPLS_PPzZI_B
  719     UINT64_C(618668048),	// CMPLS_PPzZI_D
  720     UINT64_C(610279440),	// CMPLS_PPzZI_H
  721     UINT64_C(614473744),	// CMPLS_PPzZI_S
  722     UINT64_C(604037136),	// CMPLS_WIDE_PPzZZ_B
  723     UINT64_C(608231440),	// CMPLS_WIDE_PPzZZ_H
  724     UINT64_C(612425744),	// CMPLS_WIDE_PPzZZ_S
  725     UINT64_C(620765184),	// CMPLT_PPzZI_B
  726     UINT64_C(633348096),	// CMPLT_PPzZI_D
  727     UINT64_C(624959488),	// CMPLT_PPzZI_H
  728     UINT64_C(629153792),	// CMPLT_PPzZI_S
  729     UINT64_C(604004352),	// CMPLT_WIDE_PPzZZ_B
  730     UINT64_C(608198656),	// CMPLT_WIDE_PPzZZ_H
  731     UINT64_C(612392960),	// CMPLT_WIDE_PPzZZ_S
  732     UINT64_C(620789776),	// CMPNE_PPzZI_B
  733     UINT64_C(633372688),	// CMPNE_PPzZI_D
  734     UINT64_C(624984080),	// CMPNE_PPzZI_H
  735     UINT64_C(629178384),	// CMPNE_PPzZI_S
  736     UINT64_C(604020752),	// CMPNE_PPzZZ_B
  737     UINT64_C(616603664),	// CMPNE_PPzZZ_D
  738     UINT64_C(608215056),	// CMPNE_PPzZZ_H
  739     UINT64_C(612409360),	// CMPNE_PPzZZ_S
  740     UINT64_C(603987984),	// CMPNE_WIDE_PPzZZ_B
  741     UINT64_C(608182288),	// CMPNE_WIDE_PPzZZ_H
  742     UINT64_C(612376592),	// CMPNE_WIDE_PPzZZ_S
  743     UINT64_C(0),	// CMP_SWAP_128
  744     UINT64_C(0),	// CMP_SWAP_16
  745     UINT64_C(0),	// CMP_SWAP_32
  746     UINT64_C(0),	// CMP_SWAP_64
  747     UINT64_C(0),	// CMP_SWAP_8
  748     UINT64_C(1310755840),	// CMTSTv16i8
  749     UINT64_C(1591774208),	// CMTSTv1i64
  750     UINT64_C(245402624),	// CMTSTv2i32
  751     UINT64_C(1323338752),	// CMTSTv2i64
  752     UINT64_C(241208320),	// CMTSTv4i16
  753     UINT64_C(1319144448),	// CMTSTv4i32
  754     UINT64_C(1314950144),	// CMTSTv8i16
  755     UINT64_C(237014016),	// CMTSTv8i8
  756     UINT64_C(68919296),	// CNOT_ZPmZ_B
  757     UINT64_C(81502208),	// CNOT_ZPmZ_D
  758     UINT64_C(73113600),	// CNOT_ZPmZ_H
  759     UINT64_C(77307904),	// CNOT_ZPmZ_S
  760     UINT64_C(69263360),	// CNTB_XPiI
  761     UINT64_C(81846272),	// CNTD_XPiI
  762     UINT64_C(73457664),	// CNTH_XPiI
  763     UINT64_C(622886912),	// CNTP_XPP_B
  764     UINT64_C(635469824),	// CNTP_XPP_D
  765     UINT64_C(627081216),	// CNTP_XPP_H
  766     UINT64_C(631275520),	// CNTP_XPP_S
  767     UINT64_C(77651968),	// CNTW_XPiI
  768     UINT64_C(68853760),	// CNT_ZPmZ_B
  769     UINT64_C(81436672),	// CNT_ZPmZ_D
  770     UINT64_C(73048064),	// CNT_ZPmZ_H
  771     UINT64_C(77242368),	// CNT_ZPmZ_S
  772     UINT64_C(1310742528),	// CNTv16i8
  773     UINT64_C(237000704),	// CNTv8i8
  774     UINT64_C(98664448),	// COMPACT_ZPZ_D
  775     UINT64_C(94470144),	// COMPACT_ZPZ_S
  776     UINT64_C(84951040),	// CPY_ZPmI_B
  777     UINT64_C(97533952),	// CPY_ZPmI_D
  778     UINT64_C(89145344),	// CPY_ZPmI_H
  779     UINT64_C(93339648),	// CPY_ZPmI_S
  780     UINT64_C(86548480),	// CPY_ZPmR_B
  781     UINT64_C(99131392),	// CPY_ZPmR_D
  782     UINT64_C(90742784),	// CPY_ZPmR_H
  783     UINT64_C(94937088),	// CPY_ZPmR_S
  784     UINT64_C(86016000),	// CPY_ZPmV_B
  785     UINT64_C(98598912),	// CPY_ZPmV_D
  786     UINT64_C(90210304),	// CPY_ZPmV_H
  787     UINT64_C(94404608),	// CPY_ZPmV_S
  788     UINT64_C(84934656),	// CPY_ZPzI_B
  789     UINT64_C(97517568),	// CPY_ZPzI_D
  790     UINT64_C(89128960),	// CPY_ZPzI_H
  791     UINT64_C(93323264),	// CPY_ZPzI_S
  792     UINT64_C(1577190400),	// CPYi16
  793     UINT64_C(1577321472),	// CPYi32
  794     UINT64_C(1577583616),	// CPYi64
  795     UINT64_C(1577124864),	// CPYi8
  796     UINT64_C(448806912),	// CRC32Brr
  797     UINT64_C(448811008),	// CRC32CBrr
  798     UINT64_C(448812032),	// CRC32CHrr
  799     UINT64_C(448813056),	// CRC32CWrr
  800     UINT64_C(2596297728),	// CRC32CXrr
  801     UINT64_C(448807936),	// CRC32Hrr
  802     UINT64_C(448808960),	// CRC32Wrr
  803     UINT64_C(2596293632),	// CRC32Xrr
  804     UINT64_C(444596224),	// CSELWr
  805     UINT64_C(2592079872),	// CSELXr
  806     UINT64_C(444597248),	// CSINCWr
  807     UINT64_C(2592080896),	// CSINCXr
  808     UINT64_C(1518338048),	// CSINVWr
  809     UINT64_C(3665821696),	// CSINVXr
  810     UINT64_C(1518339072),	// CSNEGWr
  811     UINT64_C(3665822720),	// CSNEGXr
  812     UINT64_C(631250944),	// CTERMEQ_WW
  813     UINT64_C(635445248),	// CTERMEQ_XX
  814     UINT64_C(631250960),	// CTERMNE_WW
  815     UINT64_C(635445264),	// CTERMNE_XX
  816     UINT64_C(0),	// CompilerBarrier
  817     UINT64_C(3567255553),	// DCPS1
  818     UINT64_C(3567255554),	// DCPS2
  819     UINT64_C(3567255555),	// DCPS3
  820     UINT64_C(70312960),	// DECB_XPiI
  821     UINT64_C(82895872),	// DECD_XPiI
  822     UINT64_C(82887680),	// DECD_ZPiI
  823     UINT64_C(74507264),	// DECH_XPiI
  824     UINT64_C(74499072),	// DECH_ZPiI
  825     UINT64_C(623740928),	// DECP_XP_B
  826     UINT64_C(636323840),	// DECP_XP_D
  827     UINT64_C(627935232),	// DECP_XP_H
  828     UINT64_C(632129536),	// DECP_XP_S
  829     UINT64_C(636321792),	// DECP_ZP_D
  830     UINT64_C(627933184),	// DECP_ZP_H
  831     UINT64_C(632127488),	// DECP_ZP_S
  832     UINT64_C(78701568),	// DECW_XPiI
  833     UINT64_C(78693376),	// DECW_ZPiI
  834     UINT64_C(3573756095),	// DMB
  835     UINT64_C(3602842592),	// DRPS
  836     UINT64_C(3573756063),	// DSB
  837     UINT64_C(96468992),	// DUPM_ZI
  838     UINT64_C(624476160),	// DUP_ZI_B
  839     UINT64_C(637059072),	// DUP_ZI_D
  840     UINT64_C(628670464),	// DUP_ZI_H
  841     UINT64_C(632864768),	// DUP_ZI_S
  842     UINT64_C(85997568),	// DUP_ZR_B
  843     UINT64_C(98580480),	// DUP_ZR_D
  844     UINT64_C(90191872),	// DUP_ZR_H
  845     UINT64_C(94386176),	// DUP_ZR_S
  846     UINT64_C(86056960),	// DUP_ZZI_B
  847     UINT64_C(86515712),	// DUP_ZZI_D
  848     UINT64_C(86122496),	// DUP_ZZI_H
  849     UINT64_C(87040000),	// DUP_ZZI_Q
  850     UINT64_C(86253568),	// DUP_ZZI_S
  851     UINT64_C(1308691456),	// DUPv16i8gpr
  852     UINT64_C(1308689408),	// DUPv16i8lane
  853     UINT64_C(235146240),	// DUPv2i32gpr
  854     UINT64_C(235144192),	// DUPv2i32lane
  855     UINT64_C(1309150208),	// DUPv2i64gpr
  856     UINT64_C(1309148160),	// DUPv2i64lane
  857     UINT64_C(235015168),	// DUPv4i16gpr
  858     UINT64_C(235013120),	// DUPv4i16lane
  859     UINT64_C(1308888064),	// DUPv4i32gpr
  860     UINT64_C(1308886016),	// DUPv4i32lane
  861     UINT64_C(1308756992),	// DUPv8i16gpr
  862     UINT64_C(1308754944),	// DUPv8i16lane
  863     UINT64_C(234949632),	// DUPv8i8gpr
  864     UINT64_C(234947584),	// DUPv8i8lane
  865     UINT64_C(0),	// EMITBKEY
  866     UINT64_C(0),	// EONWrr
  867     UINT64_C(1243611136),	// EONWrs
  868     UINT64_C(0),	// EONXrr
  869     UINT64_C(3391094784),	// EONXrs
  870     UINT64_C(3456106496),	// EOR3
  871     UINT64_C(69220352),	// EOR3_ZZZZ_D
  872     UINT64_C(1157664768),	// EORBT_ZZZ_B
  873     UINT64_C(1170247680),	// EORBT_ZZZ_D
  874     UINT64_C(1161859072),	// EORBT_ZZZ_H
  875     UINT64_C(1166053376),	// EORBT_ZZZ_S
  876     UINT64_C(624968192),	// EORS_PPzPP
  877     UINT64_C(1157665792),	// EORTB_ZZZ_B
  878     UINT64_C(1170248704),	// EORTB_ZZZ_D
  879     UINT64_C(1161860096),	// EORTB_ZZZ_H
  880     UINT64_C(1166054400),	// EORTB_ZZZ_S
  881     UINT64_C(68755456),	// EORV_VPZ_B
  882     UINT64_C(81338368),	// EORV_VPZ_D
  883     UINT64_C(72949760),	// EORV_VPZ_H
  884     UINT64_C(77144064),	// EORV_VPZ_S
  885     UINT64_C(1375731712),	// EORWri
  886     UINT64_C(0),	// EORWrr
  887     UINT64_C(1241513984),	// EORWrs
  888     UINT64_C(3523215360),	// EORXri
  889     UINT64_C(0),	// EORXrr
  890     UINT64_C(3388997632),	// EORXrs
  891     UINT64_C(620773888),	// EOR_PPzPP
  892     UINT64_C(88080384),	// EOR_ZI
  893     UINT64_C(68747264),	// EOR_ZPmZ_B
  894     UINT64_C(81330176),	// EOR_ZPmZ_D
  895     UINT64_C(72941568),	// EOR_ZPmZ_H
  896     UINT64_C(77135872),	// EOR_ZPmZ_S
  897     UINT64_C(77606912),	// EOR_ZZZ
  898     UINT64_C(1847598080),	// EORv16i8
  899     UINT64_C(773856256),	// EORv8i8
  900     UINT64_C(3600745440),	// ERET
  901     UINT64_C(3600747519),	// ERETAA
  902     UINT64_C(3600748543),	// ERETAB
  903     UINT64_C(327155712),	// EXTRWrri
  904     UINT64_C(2478833664),	// EXTRXrri
  905     UINT64_C(85983232),	// EXT_ZZI
  906     UINT64_C(90177536),	// EXT_ZZI_B
  907     UINT64_C(1845493760),	// EXTv16i8
  908     UINT64_C(771751936),	// EXTv8i8
  909     UINT64_C(0),	// F128CSEL
  910     UINT64_C(2126517248),	// FABD16
  911     UINT64_C(2124469248),	// FABD32
  912     UINT64_C(2128663552),	// FABD64
  913     UINT64_C(1707638784),	// FABD_ZPmZ_D
  914     UINT64_C(1699250176),	// FABD_ZPmZ_H
  915     UINT64_C(1703444480),	// FABD_ZPmZ_S
  916     UINT64_C(782291968),	// FABDv2f32
  917     UINT64_C(1860228096),	// FABDv2f64
  918     UINT64_C(784339968),	// FABDv4f16
  919     UINT64_C(1856033792),	// FABDv4f32
  920     UINT64_C(1858081792),	// FABDv8f16
  921     UINT64_C(509657088),	// FABSDr
  922     UINT64_C(518045696),	// FABSHr
  923     UINT64_C(505462784),	// FABSSr
  924     UINT64_C(81567744),	// FABS_ZPmZ_D
  925     UINT64_C(73179136),	// FABS_ZPmZ_H
  926     UINT64_C(77373440),	// FABS_ZPmZ_S
  927     UINT64_C(245430272),	// FABSv2f32
  928     UINT64_C(1323366400),	// FABSv2f64
  929     UINT64_C(251197440),	// FABSv4f16
  930     UINT64_C(1319172096),	// FABSv4f32
  931     UINT64_C(1324939264),	// FABSv8f16
  932     UINT64_C(2118134784),	// FACGE16
  933     UINT64_C(2116086784),	// FACGE32
  934     UINT64_C(2120281088),	// FACGE64
  935     UINT64_C(1707130896),	// FACGE_PPzZZ_D
  936     UINT64_C(1698742288),	// FACGE_PPzZZ_H
  937     UINT64_C(1702936592),	// FACGE_PPzZZ_S
  938     UINT64_C(773909504),	// FACGEv2f32
  939     UINT64_C(1851845632),	// FACGEv2f64
  940     UINT64_C(775957504),	// FACGEv4f16
  941     UINT64_C(1847651328),	// FACGEv4f32
  942     UINT64_C(1849699328),	// FACGEv8f16
  943     UINT64_C(2126523392),	// FACGT16
  944     UINT64_C(2124475392),	// FACGT32
  945     UINT64_C(2128669696),	// FACGT64
  946     UINT64_C(1707139088),	// FACGT_PPzZZ_D
  947     UINT64_C(1698750480),	// FACGT_PPzZZ_H
  948     UINT64_C(1702944784),	// FACGT_PPzZZ_S
  949     UINT64_C(782298112),	// FACGTv2f32
  950     UINT64_C(1860234240),	// FACGTv2f64
  951     UINT64_C(784346112),	// FACGTv4f16
  952     UINT64_C(1856039936),	// FACGTv4f32
  953     UINT64_C(1858087936),	// FACGTv8f16
  954     UINT64_C(1708662784),	// FADDA_VPZ_D
  955     UINT64_C(1700274176),	// FADDA_VPZ_H
  956     UINT64_C(1704468480),	// FADDA_VPZ_S
  957     UINT64_C(509618176),	// FADDDrr
  958     UINT64_C(518006784),	// FADDHrr
  959     UINT64_C(1691385856),	// FADDP_ZPmZZ_D
  960     UINT64_C(1682997248),	// FADDP_ZPmZZ_H
  961     UINT64_C(1687191552),	// FADDP_ZPmZZ_S
  962     UINT64_C(773903360),	// FADDPv2f32
  963     UINT64_C(1851839488),	// FADDPv2f64
  964     UINT64_C(1580259328),	// FADDPv2i16p
  965     UINT64_C(2117130240),	// FADDPv2i32p
  966     UINT64_C(2121324544),	// FADDPv2i64p
  967     UINT64_C(775951360),	// FADDPv4f16
  968     UINT64_C(1847645184),	// FADDPv4f32
  969     UINT64_C(1849693184),	// FADDPv8f16
  970     UINT64_C(505423872),	// FADDSrr
  971     UINT64_C(1707089920),	// FADDV_VPZ_D
  972     UINT64_C(1698701312),	// FADDV_VPZ_H
  973     UINT64_C(1702895616),	// FADDV_VPZ_S
  974     UINT64_C(1708687360),	// FADD_ZPmI_D
  975     UINT64_C(1700298752),	// FADD_ZPmI_H
  976     UINT64_C(1704493056),	// FADD_ZPmI_S
  977     UINT64_C(1707114496),	// FADD_ZPmZ_D
  978     UINT64_C(1698725888),	// FADD_ZPmZ_H
  979     UINT64_C(1702920192),	// FADD_ZPmZ_S
  980     UINT64_C(1707081728),	// FADD_ZZZ_D
  981     UINT64_C(1698693120),	// FADD_ZZZ_H
  982     UINT64_C(1702887424),	// FADD_ZZZ_S
  983     UINT64_C(237032448),	// FADDv2f32
  984     UINT64_C(1314968576),	// FADDv2f64
  985     UINT64_C(239080448),	// FADDv4f16
  986     UINT64_C(1310774272),	// FADDv4f32
  987     UINT64_C(1312822272),	// FADDv8f16
  988     UINT64_C(1690337280),	// FCADD_ZPmZ_D
  989     UINT64_C(1681948672),	// FCADD_ZPmZ_H
  990     UINT64_C(1686142976),	// FCADD_ZPmZ_S
  991     UINT64_C(780198912),	// FCADDv2f32
  992     UINT64_C(1858135040),	// FCADDv2f64
  993     UINT64_C(776004608),	// FCADDv4f16
  994     UINT64_C(1853940736),	// FCADDv4f32
  995     UINT64_C(1849746432),	// FCADDv8f16
  996     UINT64_C(509608960),	// FCCMPDrr
  997     UINT64_C(509608976),	// FCCMPEDrr
  998     UINT64_C(517997584),	// FCCMPEHrr
  999     UINT64_C(505414672),	// FCCMPESrr
 1000     UINT64_C(517997568),	// FCCMPHrr
 1001     UINT64_C(505414656),	// FCCMPSrr
 1002     UINT64_C(1581261824),	// FCMEQ16
 1003     UINT64_C(1579213824),	// FCMEQ32
 1004     UINT64_C(1583408128),	// FCMEQ64
 1005     UINT64_C(1708269568),	// FCMEQ_PPzZ0_D
 1006     UINT64_C(1699880960),	// FCMEQ_PPzZ0_H
 1007     UINT64_C(1704075264),	// FCMEQ_PPzZ0_S
 1008     UINT64_C(1707106304),	// FCMEQ_PPzZZ_D
 1009     UINT64_C(1698717696),	// FCMEQ_PPzZZ_H
 1010     UINT64_C(1702912000),	// FCMEQ_PPzZZ_S
 1011     UINT64_C(1593366528),	// FCMEQv1i16rz
 1012     UINT64_C(1587599360),	// FCMEQv1i32rz
 1013     UINT64_C(1591793664),	// FCMEQv1i64rz
 1014     UINT64_C(237036544),	// FCMEQv2f32
 1015     UINT64_C(1314972672),	// FCMEQv2f64
 1016     UINT64_C(245422080),	// FCMEQv2i32rz
 1017     UINT64_C(1323358208),	// FCMEQv2i64rz
 1018     UINT64_C(239084544),	// FCMEQv4f16
 1019     UINT64_C(1310778368),	// FCMEQv4f32
 1020     UINT64_C(251189248),	// FCMEQv4i16rz
 1021     UINT64_C(1319163904),	// FCMEQv4i32rz
 1022     UINT64_C(1312826368),	// FCMEQv8f16
 1023     UINT64_C(1324931072),	// FCMEQv8i16rz
 1024     UINT64_C(2118132736),	// FCMGE16
 1025     UINT64_C(2116084736),	// FCMGE32
 1026     UINT64_C(2120279040),	// FCMGE64
 1027     UINT64_C(1708138496),	// FCMGE_PPzZ0_D
 1028     UINT64_C(1699749888),	// FCMGE_PPzZ0_H
 1029     UINT64_C(1703944192),	// FCMGE_PPzZ0_S
 1030     UINT64_C(1707098112),	// FCMGE_PPzZZ_D
 1031     UINT64_C(1698709504),	// FCMGE_PPzZZ_H
 1032     UINT64_C(1702903808),	// FCMGE_PPzZZ_S
 1033     UINT64_C(2130233344),	// FCMGEv1i16rz
 1034     UINT64_C(2124466176),	// FCMGEv1i32rz
 1035     UINT64_C(2128660480),	// FCMGEv1i64rz
 1036     UINT64_C(773907456),	// FCMGEv2f32
 1037     UINT64_C(1851843584),	// FCMGEv2f64
 1038     UINT64_C(782288896),	// FCMGEv2i32rz
 1039     UINT64_C(1860225024),	// FCMGEv2i64rz
 1040     UINT64_C(775955456),	// FCMGEv4f16
 1041     UINT64_C(1847649280),	// FCMGEv4f32
 1042     UINT64_C(788056064),	// FCMGEv4i16rz
 1043     UINT64_C(1856030720),	// FCMGEv4i32rz
 1044     UINT64_C(1849697280),	// FCMGEv8f16
 1045     UINT64_C(1861797888),	// FCMGEv8i16rz
 1046     UINT64_C(2126521344),	// FCMGT16
 1047     UINT64_C(2124473344),	// FCMGT32
 1048     UINT64_C(2128667648),	// FCMGT64
 1049     UINT64_C(1708138512),	// FCMGT_PPzZ0_D
 1050     UINT64_C(1699749904),	// FCMGT_PPzZ0_H
 1051     UINT64_C(1703944208),	// FCMGT_PPzZ0_S
 1052     UINT64_C(1707098128),	// FCMGT_PPzZZ_D
 1053     UINT64_C(1698709520),	// FCMGT_PPzZZ_H
 1054     UINT64_C(1702903824),	// FCMGT_PPzZZ_S
 1055     UINT64_C(1593362432),	// FCMGTv1i16rz
 1056     UINT64_C(1587595264),	// FCMGTv1i32rz
 1057     UINT64_C(1591789568),	// FCMGTv1i64rz
 1058     UINT64_C(782296064),	// FCMGTv2f32
 1059     UINT64_C(1860232192),	// FCMGTv2f64
 1060     UINT64_C(245417984),	// FCMGTv2i32rz
 1061     UINT64_C(1323354112),	// FCMGTv2i64rz
 1062     UINT64_C(784344064),	// FCMGTv4f16
 1063     UINT64_C(1856037888),	// FCMGTv4f32
 1064     UINT64_C(251185152),	// FCMGTv4i16rz
 1065     UINT64_C(1319159808),	// FCMGTv4i32rz
 1066     UINT64_C(1858085888),	// FCMGTv8f16
 1067     UINT64_C(1324926976),	// FCMGTv8i16rz
 1068     UINT64_C(1690304512),	// FCMLA_ZPmZZ_D
 1069     UINT64_C(1681915904),	// FCMLA_ZPmZZ_H
 1070     UINT64_C(1686110208),	// FCMLA_ZPmZZ_S
 1071     UINT64_C(1688211456),	// FCMLA_ZZZI_H
 1072     UINT64_C(1692405760),	// FCMLA_ZZZI_S
 1073     UINT64_C(780190720),	// FCMLAv2f32
 1074     UINT64_C(1858126848),	// FCMLAv2f64
 1075     UINT64_C(775996416),	// FCMLAv4f16
 1076     UINT64_C(792727552),	// FCMLAv4f16_indexed
 1077     UINT64_C(1853932544),	// FCMLAv4f32
 1078     UINT64_C(1870663680),	// FCMLAv4f32_indexed
 1079     UINT64_C(1849738240),	// FCMLAv8f16
 1080     UINT64_C(1866469376),	// FCMLAv8f16_indexed
 1081     UINT64_C(1708204048),	// FCMLE_PPzZ0_D
 1082     UINT64_C(1699815440),	// FCMLE_PPzZ0_H
 1083     UINT64_C(1704009744),	// FCMLE_PPzZ0_S
 1084     UINT64_C(2130237440),	// FCMLEv1i16rz
 1085     UINT64_C(2124470272),	// FCMLEv1i32rz
 1086     UINT64_C(2128664576),	// FCMLEv1i64rz
 1087     UINT64_C(782292992),	// FCMLEv2i32rz
 1088     UINT64_C(1860229120),	// FCMLEv2i64rz
 1089     UINT64_C(788060160),	// FCMLEv4i16rz
 1090     UINT64_C(1856034816),	// FCMLEv4i32rz
 1091     UINT64_C(1861801984),	// FCMLEv8i16rz
 1092     UINT64_C(1708204032),	// FCMLT_PPzZ0_D
 1093     UINT64_C(1699815424),	// FCMLT_PPzZ0_H
 1094     UINT64_C(1704009728),	// FCMLT_PPzZ0_S
 1095     UINT64_C(1593370624),	// FCMLTv1i16rz
 1096     UINT64_C(1587603456),	// FCMLTv1i32rz
 1097     UINT64_C(1591797760),	// FCMLTv1i64rz
 1098     UINT64_C(245426176),	// FCMLTv2i32rz
 1099     UINT64_C(1323362304),	// FCMLTv2i64rz
 1100     UINT64_C(251193344),	// FCMLTv4i16rz
 1101     UINT64_C(1319168000),	// FCMLTv4i32rz
 1102     UINT64_C(1324935168),	// FCMLTv8i16rz
 1103     UINT64_C(1708335104),	// FCMNE_PPzZ0_D
 1104     UINT64_C(1699946496),	// FCMNE_PPzZ0_H
 1105     UINT64_C(1704140800),	// FCMNE_PPzZ0_S
 1106     UINT64_C(1707106320),	// FCMNE_PPzZZ_D
 1107     UINT64_C(1698717712),	// FCMNE_PPzZZ_H
 1108     UINT64_C(1702912016),	// FCMNE_PPzZZ_S
 1109     UINT64_C(509616136),	// FCMPDri
 1110     UINT64_C(509616128),	// FCMPDrr
 1111     UINT64_C(509616152),	// FCMPEDri
 1112     UINT64_C(509616144),	// FCMPEDrr
 1113     UINT64_C(518004760),	// FCMPEHri
 1114     UINT64_C(518004752),	// FCMPEHrr
 1115     UINT64_C(505421848),	// FCMPESri
 1116     UINT64_C(505421840),	// FCMPESrr
 1117     UINT64_C(518004744),	// FCMPHri
 1118     UINT64_C(518004736),	// FCMPHrr
 1119     UINT64_C(505421832),	// FCMPSri
 1120     UINT64_C(505421824),	// FCMPSrr
 1121     UINT64_C(1707130880),	// FCMUO_PPzZZ_D
 1122     UINT64_C(1698742272),	// FCMUO_PPzZZ_H
 1123     UINT64_C(1702936576),	// FCMUO_PPzZZ_S
 1124     UINT64_C(97566720),	// FCPY_ZPmI_D
 1125     UINT64_C(89178112),	// FCPY_ZPmI_H
 1126     UINT64_C(93372416),	// FCPY_ZPmI_S
 1127     UINT64_C(509611008),	// FCSELDrrr
 1128     UINT64_C(517999616),	// FCSELHrrr
 1129     UINT64_C(505416704),	// FCSELSrrr
 1130     UINT64_C(509870080),	// FCVTASUWDr
 1131     UINT64_C(518258688),	// FCVTASUWHr
 1132     UINT64_C(505675776),	// FCVTASUWSr
 1133     UINT64_C(2657353728),	// FCVTASUXDr
 1134     UINT64_C(2665742336),	// FCVTASUXHr
 1135     UINT64_C(2653159424),	// FCVTASUXSr
 1136     UINT64_C(1585039360),	// FCVTASv1f16
 1137     UINT64_C(1579272192),	// FCVTASv1i32
 1138     UINT64_C(1583466496),	// FCVTASv1i64
 1139     UINT64_C(237094912),	// FCVTASv2f32
 1140     UINT64_C(1315031040),	// FCVTASv2f64
 1141     UINT64_C(242862080),	// FCVTASv4f16
 1142     UINT64_C(1310836736),	// FCVTASv4f32
 1143     UINT64_C(1316603904),	// FCVTASv8f16
 1144     UINT64_C(509935616),	// FCVTAUUWDr
 1145     UINT64_C(518324224),	// FCVTAUUWHr
 1146     UINT64_C(505741312),	// FCVTAUUWSr
 1147     UINT64_C(2657419264),	// FCVTAUUXDr
 1148     UINT64_C(2665807872),	// FCVTAUUXHr
 1149     UINT64_C(2653224960),	// FCVTAUUXSr
 1150     UINT64_C(2121910272),	// FCVTAUv1f16
 1151     UINT64_C(2116143104),	// FCVTAUv1i32
 1152     UINT64_C(2120337408),	// FCVTAUv1i64
 1153     UINT64_C(773965824),	// FCVTAUv2f32
 1154     UINT64_C(1851901952),	// FCVTAUv2f64
 1155     UINT64_C(779732992),	// FCVTAUv4f16
 1156     UINT64_C(1847707648),	// FCVTAUv4f32
 1157     UINT64_C(1853474816),	// FCVTAUv8f16
 1158     UINT64_C(518176768),	// FCVTDHr
 1159     UINT64_C(505593856),	// FCVTDSr
 1160     UINT64_C(509853696),	// FCVTHDr
 1161     UINT64_C(505659392),	// FCVTHSr
 1162     UINT64_C(1686740992),	// FCVTLT_ZPmZ_HtoS
 1163     UINT64_C(1691066368),	// FCVTLT_ZPmZ_StoD
 1164     UINT64_C(241268736),	// FCVTLv2i32
 1165     UINT64_C(237074432),	// FCVTLv4i16
 1166     UINT64_C(1315010560),	// FCVTLv4i32
 1167     UINT64_C(1310816256),	// FCVTLv8i16
 1168     UINT64_C(510656512),	// FCVTMSUWDr
 1169     UINT64_C(519045120),	// FCVTMSUWHr
 1170     UINT64_C(506462208),	// FCVTMSUWSr
 1171     UINT64_C(2658140160),	// FCVTMSUXDr
 1172     UINT64_C(2666528768),	// FCVTMSUXHr
 1173     UINT64_C(2653945856),	// FCVTMSUXSr
 1174     UINT64_C(1585035264),	// FCVTMSv1f16
 1175     UINT64_C(1579268096),	// FCVTMSv1i32
 1176     UINT64_C(1583462400),	// FCVTMSv1i64
 1177     UINT64_C(237090816),	// FCVTMSv2f32
 1178     UINT64_C(1315026944),	// FCVTMSv2f64
 1179     UINT64_C(242857984),	// FCVTMSv4f16
 1180     UINT64_C(1310832640),	// FCVTMSv4f32
 1181     UINT64_C(1316599808),	// FCVTMSv8f16
 1182     UINT64_C(510722048),	// FCVTMUUWDr
 1183     UINT64_C(519110656),	// FCVTMUUWHr
 1184     UINT64_C(506527744),	// FCVTMUUWSr
 1185     UINT64_C(2658205696),	// FCVTMUUXDr
 1186     UINT64_C(2666594304),	// FCVTMUUXHr
 1187     UINT64_C(2654011392),	// FCVTMUUXSr
 1188     UINT64_C(2121906176),	// FCVTMUv1f16
 1189     UINT64_C(2116139008),	// FCVTMUv1i32
 1190     UINT64_C(2120333312),	// FCVTMUv1i64
 1191     UINT64_C(773961728),	// FCVTMUv2f32
 1192     UINT64_C(1851897856),	// FCVTMUv2f64
 1193     UINT64_C(779728896),	// FCVTMUv4f16
 1194     UINT64_C(1847703552),	// FCVTMUv4f32
 1195     UINT64_C(1853470720),	// FCVTMUv8f16
 1196     UINT64_C(509607936),	// FCVTNSUWDr
 1197     UINT64_C(517996544),	// FCVTNSUWHr
 1198     UINT64_C(505413632),	// FCVTNSUWSr
 1199     UINT64_C(2657091584),	// FCVTNSUXDr
 1200     UINT64_C(2665480192),	// FCVTNSUXHr
 1201     UINT64_C(2652897280),	// FCVTNSUXSr
 1202     UINT64_C(1585031168),	// FCVTNSv1f16
 1203     UINT64_C(1579264000),	// FCVTNSv1i32
 1204     UINT64_C(1583458304),	// FCVTNSv1i64
 1205     UINT64_C(237086720),	// FCVTNSv2f32
 1206     UINT64_C(1315022848),	// FCVTNSv2f64
 1207     UINT64_C(242853888),	// FCVTNSv4f16
 1208     UINT64_C(1310828544),	// FCVTNSv4f32
 1209     UINT64_C(1316595712),	// FCVTNSv8f16
 1210     UINT64_C(1691000832),	// FCVTNT_ZPmZ_DtoS
 1211     UINT64_C(1686675456),	// FCVTNT_ZPmZ_StoH
 1212     UINT64_C(509673472),	// FCVTNUUWDr
 1213     UINT64_C(518062080),	// FCVTNUUWHr
 1214     UINT64_C(505479168),	// FCVTNUUWSr
 1215     UINT64_C(2657157120),	// FCVTNUUXDr
 1216     UINT64_C(2665545728),	// FCVTNUUXHr
 1217     UINT64_C(2652962816),	// FCVTNUUXSr
 1218     UINT64_C(2121902080),	// FCVTNUv1f16
 1219     UINT64_C(2116134912),	// FCVTNUv1i32
 1220     UINT64_C(2120329216),	// FCVTNUv1i64
 1221     UINT64_C(773957632),	// FCVTNUv2f32
 1222     UINT64_C(1851893760),	// FCVTNUv2f64
 1223     UINT64_C(779724800),	// FCVTNUv4f16
 1224     UINT64_C(1847699456),	// FCVTNUv4f32
 1225     UINT64_C(1853466624),	// FCVTNUv8f16
 1226     UINT64_C(241264640),	// FCVTNv2i32
 1227     UINT64_C(237070336),	// FCVTNv4i16
 1228     UINT64_C(1315006464),	// FCVTNv4i32
 1229     UINT64_C(1310812160),	// FCVTNv8i16
 1230     UINT64_C(510132224),	// FCVTPSUWDr
 1231     UINT64_C(518520832),	// FCVTPSUWHr
 1232     UINT64_C(505937920),	// FCVTPSUWSr
 1233     UINT64_C(2657615872),	// FCVTPSUXDr
 1234     UINT64_C(2666004480),	// FCVTPSUXHr
 1235     UINT64_C(2653421568),	// FCVTPSUXSr
 1236     UINT64_C(1593419776),	// FCVTPSv1f16
 1237     UINT64_C(1587652608),	// FCVTPSv1i32
 1238     UINT64_C(1591846912),	// FCVTPSv1i64
 1239     UINT64_C(245475328),	// FCVTPSv2f32
 1240     UINT64_C(1323411456),	// FCVTPSv2f64
 1241     UINT64_C(251242496),	// FCVTPSv4f16
 1242     UINT64_C(1319217152),	// FCVTPSv4f32
 1243     UINT64_C(1324984320),	// FCVTPSv8f16
 1244     UINT64_C(510197760),	// FCVTPUUWDr
 1245     UINT64_C(518586368),	// FCVTPUUWHr
 1246     UINT64_C(506003456),	// FCVTPUUWSr
 1247     UINT64_C(2657681408),	// FCVTPUUXDr
 1248     UINT64_C(2666070016),	// FCVTPUUXHr
 1249     UINT64_C(2653487104),	// FCVTPUUXSr
 1250     UINT64_C(2130290688),	// FCVTPUv1f16
 1251     UINT64_C(2124523520),	// FCVTPUv1i32
 1252     UINT64_C(2128717824),	// FCVTPUv1i64
 1253     UINT64_C(782346240),	// FCVTPUv2f32
 1254     UINT64_C(1860282368),	// FCVTPUv2f64
 1255     UINT64_C(788113408),	// FCVTPUv4f16
 1256     UINT64_C(1856088064),	// FCVTPUv4f32
 1257     UINT64_C(1861855232),	// FCVTPUv8f16
 1258     UINT64_C(509755392),	// FCVTSDr
 1259     UINT64_C(518144000),	// FCVTSHr
 1260     UINT64_C(1678417920),	// FCVTXNT_ZPmZ_DtoS
 1261     UINT64_C(2120312832),	// FCVTXNv1i64
 1262     UINT64_C(778135552),	// FCVTXNv2f32
 1263     UINT64_C(1851877376),	// FCVTXNv4f32
 1264     UINT64_C(1695195136),	// FCVTX_ZPmZ_DtoS
 1265     UINT64_C(509116416),	// FCVTZSSWDri
 1266     UINT64_C(517505024),	// FCVTZSSWHri
 1267     UINT64_C(504922112),	// FCVTZSSWSri
 1268     UINT64_C(2656567296),	// FCVTZSSXDri
 1269     UINT64_C(2664955904),	// FCVTZSSXHri
 1270     UINT64_C(2652372992),	// FCVTZSSXSri
 1271     UINT64_C(511180800),	// FCVTZSUWDr
 1272     UINT64_C(519569408),	// FCVTZSUWHr
 1273     UINT64_C(506986496),	// FCVTZSUWSr
 1274     UINT64_C(2658664448),	// FCVTZSUXDr
 1275     UINT64_C(2667053056),	// FCVTZSUXHr
 1276     UINT64_C(2654470144),	// FCVTZSUXSr
 1277     UINT64_C(1709088768),	// FCVTZS_ZPmZ_DtoD
 1278     UINT64_C(1708695552),	// FCVTZS_ZPmZ_DtoS
 1279     UINT64_C(1700700160),	// FCVTZS_ZPmZ_HtoD
 1280     UINT64_C(1700438016),	// FCVTZS_ZPmZ_HtoH
 1281     UINT64_C(1700569088),	// FCVTZS_ZPmZ_HtoS
 1282     UINT64_C(1708957696),	// FCVTZS_ZPmZ_StoD
 1283     UINT64_C(1704763392),	// FCVTZS_ZPmZ_StoS
 1284     UINT64_C(1598094336),	// FCVTZSd
 1285     UINT64_C(1594948608),	// FCVTZSh
 1286     UINT64_C(1595997184),	// FCVTZSs
 1287     UINT64_C(1593423872),	// FCVTZSv1f16
 1288     UINT64_C(1587656704),	// FCVTZSv1i32
 1289     UINT64_C(1591851008),	// FCVTZSv1i64
 1290     UINT64_C(245479424),	// FCVTZSv2f32
 1291     UINT64_C(1323415552),	// FCVTZSv2f64
 1292     UINT64_C(253819904),	// FCVTZSv2i32_shift
 1293     UINT64_C(1329658880),	// FCVTZSv2i64_shift
 1294     UINT64_C(251246592),	// FCVTZSv4f16
 1295     UINT64_C(1319221248),	// FCVTZSv4f32
 1296     UINT64_C(252771328),	// FCVTZSv4i16_shift
 1297     UINT64_C(1327561728),	// FCVTZSv4i32_shift
 1298     UINT64_C(1324988416),	// FCVTZSv8f16
 1299     UINT64_C(1326513152),	// FCVTZSv8i16_shift
 1300     UINT64_C(509181952),	// FCVTZUSWDri
 1301     UINT64_C(517570560),	// FCVTZUSWHri
 1302     UINT64_C(504987648),	// FCVTZUSWSri
 1303     UINT64_C(2656632832),	// FCVTZUSXDri
 1304     UINT64_C(2665021440),	// FCVTZUSXHri
 1305     UINT64_C(2652438528),	// FCVTZUSXSri
 1306     UINT64_C(511246336),	// FCVTZUUWDr
 1307     UINT64_C(519634944),	// FCVTZUUWHr
 1308     UINT64_C(507052032),	// FCVTZUUWSr
 1309     UINT64_C(2658729984),	// FCVTZUUXDr
 1310     UINT64_C(2667118592),	// FCVTZUUXHr
 1311     UINT64_C(2654535680),	// FCVTZUUXSr
 1312     UINT64_C(1709154304),	// FCVTZU_ZPmZ_DtoD
 1313     UINT64_C(1708761088),	// FCVTZU_ZPmZ_DtoS
 1314     UINT64_C(1700765696),	// FCVTZU_ZPmZ_HtoD
 1315     UINT64_C(1700503552),	// FCVTZU_ZPmZ_HtoH
 1316     UINT64_C(1700634624),	// FCVTZU_ZPmZ_HtoS
 1317     UINT64_C(1709023232),	// FCVTZU_ZPmZ_StoD
 1318     UINT64_C(1704828928),	// FCVTZU_ZPmZ_StoS
 1319     UINT64_C(2134965248),	// FCVTZUd
 1320     UINT64_C(2131819520),	// FCVTZUh
 1321     UINT64_C(2132868096),	// FCVTZUs
 1322     UINT64_C(2130294784),	// FCVTZUv1f16
 1323     UINT64_C(2124527616),	// FCVTZUv1i32
 1324     UINT64_C(2128721920),	// FCVTZUv1i64
 1325     UINT64_C(782350336),	// FCVTZUv2f32
 1326     UINT64_C(1860286464),	// FCVTZUv2f64
 1327     UINT64_C(790690816),	// FCVTZUv2i32_shift
 1328     UINT64_C(1866529792),	// FCVTZUv2i64_shift
 1329     UINT64_C(788117504),	// FCVTZUv4f16
 1330     UINT64_C(1856092160),	// FCVTZUv4f32
 1331     UINT64_C(789642240),	// FCVTZUv4i16_shift
 1332     UINT64_C(1864432640),	// FCVTZUv4i32_shift
 1333     UINT64_C(1861859328),	// FCVTZUv8f16
 1334     UINT64_C(1863384064),	// FCVTZUv8i16_shift
 1335     UINT64_C(1707646976),	// FCVT_ZPmZ_DtoH
 1336     UINT64_C(1707778048),	// FCVT_ZPmZ_DtoS
 1337     UINT64_C(1707712512),	// FCVT_ZPmZ_HtoD
 1338     UINT64_C(1703518208),	// FCVT_ZPmZ_HtoS
 1339     UINT64_C(1707843584),	// FCVT_ZPmZ_StoD
 1340     UINT64_C(1703452672),	// FCVT_ZPmZ_StoH
 1341     UINT64_C(509614080),	// FDIVDrr
 1342     UINT64_C(518002688),	// FDIVHrr
 1343     UINT64_C(1707900928),	// FDIVR_ZPmZ_D
 1344     UINT64_C(1699512320),	// FDIVR_ZPmZ_H
 1345     UINT64_C(1703706624),	// FDIVR_ZPmZ_S
 1346     UINT64_C(505419776),	// FDIVSrr
 1347     UINT64_C(1707966464),	// FDIV_ZPmZ_D
 1348     UINT64_C(1699577856),	// FDIV_ZPmZ_H
 1349     UINT64_C(1703772160),	// FDIV_ZPmZ_S
 1350     UINT64_C(773913600),	// FDIVv2f32
 1351     UINT64_C(1851849728),	// FDIVv2f64
 1352     UINT64_C(775961600),	// FDIVv4f16
 1353     UINT64_C(1847655424),	// FDIVv4f32
 1354     UINT64_C(1849703424),	// FDIVv8f16
 1355     UINT64_C(637124608),	// FDUP_ZI_D
 1356     UINT64_C(628736000),	// FDUP_ZI_H
 1357     UINT64_C(632930304),	// FDUP_ZI_S
 1358     UINT64_C(81836032),	// FEXPA_ZZ_D
 1359     UINT64_C(73447424),	// FEXPA_ZZ_H
 1360     UINT64_C(77641728),	// FEXPA_ZZ_S
 1361     UINT64_C(511574016),	// FJCVTZS
 1362     UINT64_C(1696505856),	// FLOGB_ZPmZ_D
 1363     UINT64_C(1696243712),	// FLOGB_ZPmZ_H
 1364     UINT64_C(1696374784),	// FLOGB_ZPmZ_S
 1365     UINT64_C(524288000),	// FMADDDrrr
 1366     UINT64_C(532676608),	// FMADDHrrr
 1367     UINT64_C(520093696),	// FMADDSrrr
 1368     UINT64_C(1709211648),	// FMAD_ZPmZZ_D
 1369     UINT64_C(1700823040),	// FMAD_ZPmZZ_H
 1370     UINT64_C(1705017344),	// FMAD_ZPmZZ_S
 1371     UINT64_C(509626368),	// FMAXDrr
 1372     UINT64_C(518014976),	// FMAXHrr
 1373     UINT64_C(509634560),	// FMAXNMDrr
 1374     UINT64_C(518023168),	// FMAXNMHrr
 1375     UINT64_C(1691648000),	// FMAXNMP_ZPmZZ_D
 1376     UINT64_C(1683259392),	// FMAXNMP_ZPmZZ_H
 1377     UINT64_C(1687453696),	// FMAXNMP_ZPmZZ_S
 1378     UINT64_C(773899264),	// FMAXNMPv2f32
 1379     UINT64_C(1851835392),	// FMAXNMPv2f64
 1380     UINT64_C(1580255232),	// FMAXNMPv2i16p
 1381     UINT64_C(2117126144),	// FMAXNMPv2i32p
 1382     UINT64_C(2121320448),	// FMAXNMPv2i64p
 1383     UINT64_C(775947264),	// FMAXNMPv4f16
 1384     UINT64_C(1847641088),	// FMAXNMPv4f32
 1385     UINT64_C(1849689088),	// FMAXNMPv8f16
 1386     UINT64_C(505440256),	// FMAXNMSrr
 1387     UINT64_C(1707352064),	// FMAXNMV_VPZ_D
 1388     UINT64_C(1698963456),	// FMAXNMV_VPZ_H
 1389     UINT64_C(1703157760),	// FMAXNMV_VPZ_S
 1390     UINT64_C(238077952),	// FMAXNMVv4i16v
 1391     UINT64_C(1848690688),	// FMAXNMVv4i32v
 1392     UINT64_C(1311819776),	// FMAXNMVv8i16v
 1393     UINT64_C(1708949504),	// FMAXNM_ZPmI_D
 1394     UINT64_C(1700560896),	// FMAXNM_ZPmI_H
 1395     UINT64_C(1704755200),	// FMAXNM_ZPmI_S
 1396     UINT64_C(1707376640),	// FMAXNM_ZPmZ_D
 1397     UINT64_C(1698988032),	// FMAXNM_ZPmZ_H
 1398     UINT64_C(1703182336),	// FMAXNM_ZPmZ_S
 1399     UINT64_C(237028352),	// FMAXNMv2f32
 1400     UINT64_C(1314964480),	// FMAXNMv2f64
 1401     UINT64_C(239076352),	// FMAXNMv4f16
 1402     UINT64_C(1310770176),	// FMAXNMv4f32
 1403     UINT64_C(1312818176),	// FMAXNMv8f16
 1404     UINT64_C(1691779072),	// FMAXP_ZPmZZ_D
 1405     UINT64_C(1683390464),	// FMAXP_ZPmZZ_H
 1406     UINT64_C(1687584768),	// FMAXP_ZPmZZ_S
 1407     UINT64_C(773911552),	// FMAXPv2f32
 1408     UINT64_C(1851847680),	// FMAXPv2f64
 1409     UINT64_C(1580267520),	// FMAXPv2i16p
 1410     UINT64_C(2117138432),	// FMAXPv2i32p
 1411     UINT64_C(2121332736),	// FMAXPv2i64p
 1412     UINT64_C(775959552),	// FMAXPv4f16
 1413     UINT64_C(1847653376),	// FMAXPv4f32
 1414     UINT64_C(1849701376),	// FMAXPv8f16
 1415     UINT64_C(505432064),	// FMAXSrr
 1416     UINT64_C(1707483136),	// FMAXV_VPZ_D
 1417     UINT64_C(1699094528),	// FMAXV_VPZ_H
 1418     UINT64_C(1703288832),	// FMAXV_VPZ_S
 1419     UINT64_C(238090240),	// FMAXVv4i16v
 1420     UINT64_C(1848702976),	// FMAXVv4i32v
 1421     UINT64_C(1311832064),	// FMAXVv8i16v
 1422     UINT64_C(1709080576),	// FMAX_ZPmI_D
 1423     UINT64_C(1700691968),	// FMAX_ZPmI_H
 1424     UINT64_C(1704886272),	// FMAX_ZPmI_S
 1425     UINT64_C(1707507712),	// FMAX_ZPmZ_D
 1426     UINT64_C(1699119104),	// FMAX_ZPmZ_H
 1427     UINT64_C(1703313408),	// FMAX_ZPmZ_S
 1428     UINT64_C(237040640),	// FMAXv2f32
 1429     UINT64_C(1314976768),	// FMAXv2f64
 1430     UINT64_C(239088640),	// FMAXv4f16
 1431     UINT64_C(1310782464),	// FMAXv4f32
 1432     UINT64_C(1312830464),	// FMAXv8f16
 1433     UINT64_C(509630464),	// FMINDrr
 1434     UINT64_C(518019072),	// FMINHrr
 1435     UINT64_C(509638656),	// FMINNMDrr
 1436     UINT64_C(518027264),	// FMINNMHrr
 1437     UINT64_C(1691713536),	// FMINNMP_ZPmZZ_D
 1438     UINT64_C(1683324928),	// FMINNMP_ZPmZZ_H
 1439     UINT64_C(1687519232),	// FMINNMP_ZPmZZ_S
 1440     UINT64_C(782287872),	// FMINNMPv2f32
 1441     UINT64_C(1860224000),	// FMINNMPv2f64
 1442     UINT64_C(1588643840),	// FMINNMPv2i16p
 1443     UINT64_C(2125514752),	// FMINNMPv2i32p
 1444     UINT64_C(2129709056),	// FMINNMPv2i64p
 1445     UINT64_C(784335872),	// FMINNMPv4f16
 1446     UINT64_C(1856029696),	// FMINNMPv4f32
 1447     UINT64_C(1858077696),	// FMINNMPv8f16
 1448     UINT64_C(505444352),	// FMINNMSrr
 1449     UINT64_C(1707417600),	// FMINNMV_VPZ_D
 1450     UINT64_C(1699028992),	// FMINNMV_VPZ_H
 1451     UINT64_C(1703223296),	// FMINNMV_VPZ_S
 1452     UINT64_C(246466560),	// FMINNMVv4i16v
 1453     UINT64_C(1857079296),	// FMINNMVv4i32v
 1454     UINT64_C(1320208384),	// FMINNMVv8i16v
 1455     UINT64_C(1709015040),	// FMINNM_ZPmI_D
 1456     UINT64_C(1700626432),	// FMINNM_ZPmI_H
 1457     UINT64_C(1704820736),	// FMINNM_ZPmI_S
 1458     UINT64_C(1707442176),	// FMINNM_ZPmZ_D
 1459     UINT64_C(1699053568),	// FMINNM_ZPmZ_H
 1460     UINT64_C(1703247872),	// FMINNM_ZPmZ_S
 1461     UINT64_C(245416960),	// FMINNMv2f32
 1462     UINT64_C(1323353088),	// FMINNMv2f64
 1463     UINT64_C(247464960),	// FMINNMv4f16
 1464     UINT64_C(1319158784),	// FMINNMv4f32
 1465     UINT64_C(1321206784),	// FMINNMv8f16
 1466     UINT64_C(1691844608),	// FMINP_ZPmZZ_D
 1467     UINT64_C(1683456000),	// FMINP_ZPmZZ_H
 1468     UINT64_C(1687650304),	// FMINP_ZPmZZ_S
 1469     UINT64_C(782300160),	// FMINPv2f32
 1470     UINT64_C(1860236288),	// FMINPv2f64
 1471     UINT64_C(1588656128),	// FMINPv2i16p
 1472     UINT64_C(2125527040),	// FMINPv2i32p
 1473     UINT64_C(2129721344),	// FMINPv2i64p
 1474     UINT64_C(784348160),	// FMINPv4f16
 1475     UINT64_C(1856041984),	// FMINPv4f32
 1476     UINT64_C(1858089984),	// FMINPv8f16
 1477     UINT64_C(505436160),	// FMINSrr
 1478     UINT64_C(1707548672),	// FMINV_VPZ_D
 1479     UINT64_C(1699160064),	// FMINV_VPZ_H
 1480     UINT64_C(1703354368),	// FMINV_VPZ_S
 1481     UINT64_C(246478848),	// FMINVv4i16v
 1482     UINT64_C(1857091584),	// FMINVv4i32v
 1483     UINT64_C(1320220672),	// FMINVv8i16v
 1484     UINT64_C(1709146112),	// FMIN_ZPmI_D
 1485     UINT64_C(1700757504),	// FMIN_ZPmI_H
 1486     UINT64_C(1704951808),	// FMIN_ZPmI_S
 1487     UINT64_C(1707573248),	// FMIN_ZPmZ_D
 1488     UINT64_C(1699184640),	// FMIN_ZPmZ_H
 1489     UINT64_C(1703378944),	// FMIN_ZPmZ_S
 1490     UINT64_C(245429248),	// FMINv2f32
 1491     UINT64_C(1323365376),	// FMINv2f64
 1492     UINT64_C(247477248),	// FMINv4f16
 1493     UINT64_C(1319171072),	// FMINv4f32
 1494     UINT64_C(1321219072),	// FMINv8f16
 1495     UINT64_C(796950528),	// FMLAL2lanev4f16
 1496     UINT64_C(1870692352),	// FMLAL2lanev8f16
 1497     UINT64_C(773901312),	// FMLAL2v4f16
 1498     UINT64_C(1847643136),	// FMLAL2v8f16
 1499     UINT64_C(1688223744),	// FMLALB_ZZZI_SHH
 1500     UINT64_C(1688240128),	// FMLALB_ZZZ_SHH
 1501     UINT64_C(1688224768),	// FMLALT_ZZZI_SHH
 1502     UINT64_C(1688241152),	// FMLALT_ZZZ_SHH
 1503     UINT64_C(260046848),	// FMLALlanev4f16
 1504     UINT64_C(1333788672),	// FMLALlanev8f16
 1505     UINT64_C(237038592),	// FMLALv4f16
 1506     UINT64_C(1310780416),	// FMLALv8f16
 1507     UINT64_C(1709178880),	// FMLA_ZPmZZ_D
 1508     UINT64_C(1700790272),	// FMLA_ZPmZZ_H
 1509     UINT64_C(1704984576),	// FMLA_ZPmZZ_S
 1510     UINT64_C(1692401664),	// FMLA_ZZZI_D
 1511     UINT64_C(1679818752),	// FMLA_ZZZI_H
 1512     UINT64_C(1688207360),	// FMLA_ZZZI_S
 1513     UINT64_C(1593839616),	// FMLAv1i16_indexed
 1514     UINT64_C(1602228224),	// FMLAv1i32_indexed
 1515     UINT64_C(1606422528),	// FMLAv1i64_indexed
 1516     UINT64_C(237030400),	// FMLAv2f32
 1517     UINT64_C(1314966528),	// FMLAv2f64
 1518     UINT64_C(260050944),	// FMLAv2i32_indexed
 1519     UINT64_C(1337987072),	// FMLAv2i64_indexed
 1520     UINT64_C(239078400),	// FMLAv4f16
 1521     UINT64_C(1310772224),	// FMLAv4f32
 1522     UINT64_C(251662336),	// FMLAv4i16_indexed
 1523     UINT64_C(1333792768),	// FMLAv4i32_indexed
 1524     UINT64_C(1312820224),	// FMLAv8f16
 1525     UINT64_C(1325404160),	// FMLAv8i16_indexed
 1526     UINT64_C(796966912),	// FMLSL2lanev4f16
 1527     UINT64_C(1870708736),	// FMLSL2lanev8f16
 1528     UINT64_C(782289920),	// FMLSL2v4f16
 1529     UINT64_C(1856031744),	// FMLSL2v8f16
 1530     UINT64_C(1688231936),	// FMLSLB_ZZZI_SHH
 1531     UINT64_C(1688248320),	// FMLSLB_ZZZ_SHH
 1532     UINT64_C(1688232960),	// FMLSLT_ZZZI_SHH
 1533     UINT64_C(1688249344),	// FMLSLT_ZZZ_SHH
 1534     UINT64_C(260063232),	// FMLSLlanev4f16
 1535     UINT64_C(1333805056),	// FMLSLlanev8f16
 1536     UINT64_C(245427200),	// FMLSLv4f16
 1537     UINT64_C(1319169024),	// FMLSLv8f16
 1538     UINT64_C(1709187072),	// FMLS_ZPmZZ_D
 1539     UINT64_C(1700798464),	// FMLS_ZPmZZ_H
 1540     UINT64_C(1704992768),	// FMLS_ZPmZZ_S
 1541     UINT64_C(1692402688),	// FMLS_ZZZI_D
 1542     UINT64_C(1679819776),	// FMLS_ZZZI_H
 1543     UINT64_C(1688208384),	// FMLS_ZZZI_S
 1544     UINT64_C(1593856000),	// FMLSv1i16_indexed
 1545     UINT64_C(1602244608),	// FMLSv1i32_indexed
 1546     UINT64_C(1606438912),	// FMLSv1i64_indexed
 1547     UINT64_C(245419008),	// FMLSv2f32
 1548     UINT64_C(1323355136),	// FMLSv2f64
 1549     UINT64_C(260067328),	// FMLSv2i32_indexed
 1550     UINT64_C(1338003456),	// FMLSv2i64_indexed
 1551     UINT64_C(247467008),	// FMLSv4f16
 1552     UINT64_C(1319160832),	// FMLSv4f32
 1553     UINT64_C(251678720),	// FMLSv4i16_indexed
 1554     UINT64_C(1333809152),	// FMLSv4i32_indexed
 1555     UINT64_C(1321208832),	// FMLSv8f16
 1556     UINT64_C(1325420544),	// FMLSv8i16_indexed
 1557     UINT64_C(0),	// FMOVD0
 1558     UINT64_C(2662203392),	// FMOVDXHighr
 1559     UINT64_C(2657484800),	// FMOVDXr
 1560     UINT64_C(509612032),	// FMOVDi
 1561     UINT64_C(509624320),	// FMOVDr
 1562     UINT64_C(0),	// FMOVH0
 1563     UINT64_C(518389760),	// FMOVHWr
 1564     UINT64_C(2665873408),	// FMOVHXr
 1565     UINT64_C(518000640),	// FMOVHi
 1566     UINT64_C(518012928),	// FMOVHr
 1567     UINT64_C(0),	// FMOVS0
 1568     UINT64_C(505806848),	// FMOVSWr
 1569     UINT64_C(505417728),	// FMOVSi
 1570     UINT64_C(505430016),	// FMOVSr
 1571     UINT64_C(518455296),	// FMOVWHr
 1572     UINT64_C(505872384),	// FMOVWSr
 1573     UINT64_C(2662268928),	// FMOVXDHighr
 1574     UINT64_C(2657550336),	// FMOVXDr
 1575     UINT64_C(2665938944),	// FMOVXHr
 1576     UINT64_C(251720704),	// FMOVv2f32_ns
 1577     UINT64_C(1862333440),	// FMOVv2f64_ns
 1578     UINT64_C(251722752),	// FMOVv4f16_ns
 1579     UINT64_C(1325462528),	// FMOVv4f32_ns
 1580     UINT64_C(1325464576),	// FMOVv8f16_ns
 1581     UINT64_C(1709219840),	// FMSB_ZPmZZ_D
 1582     UINT64_C(1700831232),	// FMSB_ZPmZZ_H
 1583     UINT64_C(1705025536),	// FMSB_ZPmZZ_S
 1584     UINT64_C(524320768),	// FMSUBDrrr
 1585     UINT64_C(532709376),	// FMSUBHrrr
 1586     UINT64_C(520126464),	// FMSUBSrrr
 1587     UINT64_C(509609984),	// FMULDrr
 1588     UINT64_C(517998592),	// FMULHrr
 1589     UINT64_C(505415680),	// FMULSrr
 1590     UINT64_C(1581259776),	// FMULX16
 1591     UINT64_C(1579211776),	// FMULX32
 1592     UINT64_C(1583406080),	// FMULX64
 1593     UINT64_C(1707769856),	// FMULX_ZPmZ_D
 1594     UINT64_C(1699381248),	// FMULX_ZPmZ_H
 1595     UINT64_C(1703575552),	// FMULX_ZPmZ_S
 1596     UINT64_C(2130743296),	// FMULXv1i16_indexed
 1597     UINT64_C(2139131904),	// FMULXv1i32_indexed
 1598     UINT64_C(2143326208),	// FMULXv1i64_indexed
 1599     UINT64_C(237034496),	// FMULXv2f32
 1600     UINT64_C(1314970624),	// FMULXv2f64
 1601     UINT64_C(796954624),	// FMULXv2i32_indexed
 1602     UINT64_C(1874890752),	// FMULXv2i64_indexed
 1603     UINT64_C(239082496),	// FMULXv4f16
 1604     UINT64_C(1310776320),	// FMULXv4f32
 1605     UINT64_C(788566016),	// FMULXv4i16_indexed
 1606     UINT64_C(1870696448),	// FMULXv4i32_indexed
 1607     UINT64_C(1312824320),	// FMULXv8f16
 1608     UINT64_C(1862307840),	// FMULXv8i16_indexed
 1609     UINT64_C(1708818432),	// FMUL_ZPmI_D
 1610     UINT64_C(1700429824),	// FMUL_ZPmI_H
 1611     UINT64_C(1704624128),	// FMUL_ZPmI_S
 1612     UINT64_C(1707245568),	// FMUL_ZPmZ_D
 1613     UINT64_C(1698856960),	// FMUL_ZPmZ_H
 1614     UINT64_C(1703051264),	// FMUL_ZPmZ_S
 1615     UINT64_C(1692409856),	// FMUL_ZZZI_D
 1616     UINT64_C(1679826944),	// FMUL_ZZZI_H
 1617     UINT64_C(1688215552),	// FMUL_ZZZI_S
 1618     UINT64_C(1707083776),	// FMUL_ZZZ_D
 1619     UINT64_C(1698695168),	// FMUL_ZZZ_H
 1620     UINT64_C(1702889472),	// FMUL_ZZZ_S
 1621     UINT64_C(1593872384),	// FMULv1i16_indexed
 1622     UINT64_C(1602260992),	// FMULv1i32_indexed
 1623     UINT64_C(1606455296),	// FMULv1i64_indexed
 1624     UINT64_C(773905408),	// FMULv2f32
 1625     UINT64_C(1851841536),	// FMULv2f64
 1626     UINT64_C(260083712),	// FMULv2i32_indexed
 1627     UINT64_C(1338019840),	// FMULv2i64_indexed
 1628     UINT64_C(775953408),	// FMULv4f16
 1629     UINT64_C(1847647232),	// FMULv4f32
 1630     UINT64_C(251695104),	// FMULv4i16_indexed
 1631     UINT64_C(1333825536),	// FMULv4i32_indexed
 1632     UINT64_C(1849695232),	// FMULv8f16
 1633     UINT64_C(1325436928),	// FMULv8i16_indexed
 1634     UINT64_C(509689856),	// FNEGDr
 1635     UINT64_C(518078464),	// FNEGHr
 1636     UINT64_C(505495552),	// FNEGSr
 1637     UINT64_C(81633280),	// FNEG_ZPmZ_D
 1638     UINT64_C(73244672),	// FNEG_ZPmZ_H
 1639     UINT64_C(77438976),	// FNEG_ZPmZ_S
 1640     UINT64_C(782301184),	// FNEGv2f32
 1641     UINT64_C(1860237312),	// FNEGv2f64
 1642     UINT64_C(788068352),	// FNEGv4f16
 1643     UINT64_C(1856043008),	// FNEGv4f32
 1644     UINT64_C(1861810176),	// FNEGv8f16
 1645     UINT64_C(526385152),	// FNMADDDrrr
 1646     UINT64_C(534773760),	// FNMADDHrrr
 1647     UINT64_C(522190848),	// FNMADDSrrr
 1648     UINT64_C(1709228032),	// FNMAD_ZPmZZ_D
 1649     UINT64_C(1700839424),	// FNMAD_ZPmZZ_H
 1650     UINT64_C(1705033728),	// FNMAD_ZPmZZ_S
 1651     UINT64_C(1709195264),	// FNMLA_ZPmZZ_D
 1652     UINT64_C(1700806656),	// FNMLA_ZPmZZ_H
 1653     UINT64_C(1705000960),	// FNMLA_ZPmZZ_S
 1654     UINT64_C(1709203456),	// FNMLS_ZPmZZ_D
 1655     UINT64_C(1700814848),	// FNMLS_ZPmZZ_H
 1656     UINT64_C(1705009152),	// FNMLS_ZPmZZ_S
 1657     UINT64_C(1709236224),	// FNMSB_ZPmZZ_D
 1658     UINT64_C(1700847616),	// FNMSB_ZPmZZ_H
 1659     UINT64_C(1705041920),	// FNMSB_ZPmZZ_S
 1660     UINT64_C(526417920),	// FNMSUBDrrr
 1661     UINT64_C(534806528),	// FNMSUBHrrr
 1662     UINT64_C(522223616),	// FNMSUBSrrr
 1663     UINT64_C(509642752),	// FNMULDrr
 1664     UINT64_C(518031360),	// FNMULHrr
 1665     UINT64_C(505448448),	// FNMULSrr
 1666     UINT64_C(1708011520),	// FRECPE_ZZ_D
 1667     UINT64_C(1699622912),	// FRECPE_ZZ_H
 1668     UINT64_C(1703817216),	// FRECPE_ZZ_S
 1669     UINT64_C(1593432064),	// FRECPEv1f16
 1670     UINT64_C(1587664896),	// FRECPEv1i32
 1671     UINT64_C(1591859200),	// FRECPEv1i64
 1672     UINT64_C(245487616),	// FRECPEv2f32
 1673     UINT64_C(1323423744),	// FRECPEv2f64
 1674     UINT64_C(251254784),	// FRECPEv4f16
 1675     UINT64_C(1319229440),	// FRECPEv4f32
 1676     UINT64_C(1324996608),	// FRECPEv8f16
 1677     UINT64_C(1581267968),	// FRECPS16
 1678     UINT64_C(1579219968),	// FRECPS32
 1679     UINT64_C(1583414272),	// FRECPS64
 1680     UINT64_C(1707087872),	// FRECPS_ZZZ_D
 1681     UINT64_C(1698699264),	// FRECPS_ZZZ_H
 1682     UINT64_C(1702893568),	// FRECPS_ZZZ_S
 1683     UINT64_C(237042688),	// FRECPSv2f32
 1684     UINT64_C(1314978816),	// FRECPSv2f64
 1685     UINT64_C(239090688),	// FRECPSv4f16
 1686     UINT64_C(1310784512),	// FRECPSv4f32
 1687     UINT64_C(1312832512),	// FRECPSv8f16
 1688     UINT64_C(1707909120),	// FRECPX_ZPmZ_D
 1689     UINT64_C(1699520512),	// FRECPX_ZPmZ_H
 1690     UINT64_C(1703714816),	// FRECPX_ZPmZ_S
 1691     UINT64_C(1593440256),	// FRECPXv1f16
 1692     UINT64_C(1587673088),	// FRECPXv1i32
 1693     UINT64_C(1591867392),	// FRECPXv1i64
 1694     UINT64_C(510181376),	// FRINT32XDr
 1695     UINT64_C(505987072),	// FRINT32XSr
 1696     UINT64_C(773974016),	// FRINT32Xv2f32
 1697     UINT64_C(1851910144),	// FRINT32Xv2f64
 1698     UINT64_C(1847715840),	// FRINT32Xv4f32
 1699     UINT64_C(510148608),	// FRINT32ZDr
 1700     UINT64_C(505954304),	// FRINT32ZSr
 1701     UINT64_C(237103104),	// FRINT32Zv2f32
 1702     UINT64_C(1315039232),	// FRINT32Zv2f64
 1703     UINT64_C(1310844928),	// FRINT32Zv4f32
 1704     UINT64_C(510246912),	// FRINT64XDr
 1705     UINT64_C(506052608),	// FRINT64XSr
 1706     UINT64_C(773978112),	// FRINT64Xv2f32
 1707     UINT64_C(1851914240),	// FRINT64Xv2f64
 1708     UINT64_C(1847719936),	// FRINT64Xv4f32
 1709     UINT64_C(510214144),	// FRINT64ZDr
 1710     UINT64_C(506019840),	// FRINT64ZSr
 1711     UINT64_C(237107200),	// FRINT64Zv2f32
 1712     UINT64_C(1315043328),	// FRINT64Zv2f64
 1713     UINT64_C(1310849024),	// FRINT64Zv4f32
 1714     UINT64_C(510017536),	// FRINTADr
 1715     UINT64_C(518406144),	// FRINTAHr
 1716     UINT64_C(505823232),	// FRINTASr
 1717     UINT64_C(1707384832),	// FRINTA_ZPmZ_D
 1718     UINT64_C(1698996224),	// FRINTA_ZPmZ_H
 1719     UINT64_C(1703190528),	// FRINTA_ZPmZ_S
 1720     UINT64_C(773949440),	// FRINTAv2f32
 1721     UINT64_C(1851885568),	// FRINTAv2f64
 1722     UINT64_C(779716608),	// FRINTAv4f16
 1723     UINT64_C(1847691264),	// FRINTAv4f32
 1724     UINT64_C(1853458432),	// FRINTAv8f16
 1725     UINT64_C(510115840),	// FRINTIDr
 1726     UINT64_C(518504448),	// FRINTIHr
 1727     UINT64_C(505921536),	// FRINTISr
 1728     UINT64_C(1707581440),	// FRINTI_ZPmZ_D
 1729     UINT64_C(1699192832),	// FRINTI_ZPmZ_H
 1730     UINT64_C(1703387136),	// FRINTI_ZPmZ_S
 1731     UINT64_C(782342144),	// FRINTIv2f32
 1732     UINT64_C(1860278272),	// FRINTIv2f64
 1733     UINT64_C(788109312),	// FRINTIv4f16
 1734     UINT64_C(1856083968),	// FRINTIv4f32
 1735     UINT64_C(1861851136),	// FRINTIv8f16
 1736     UINT64_C(509952000),	// FRINTMDr
 1737     UINT64_C(518340608),	// FRINTMHr
 1738     UINT64_C(505757696),	// FRINTMSr
 1739     UINT64_C(1707253760),	// FRINTM_ZPmZ_D
 1740     UINT64_C(1698865152),	// FRINTM_ZPmZ_H
 1741     UINT64_C(1703059456),	// FRINTM_ZPmZ_S
 1742     UINT64_C(237082624),	// FRINTMv2f32
 1743     UINT64_C(1315018752),	// FRINTMv2f64
 1744     UINT64_C(242849792),	// FRINTMv4f16
 1745     UINT64_C(1310824448),	// FRINTMv4f32
 1746     UINT64_C(1316591616),	// FRINTMv8f16
 1747     UINT64_C(509886464),	// FRINTNDr
 1748     UINT64_C(518275072),	// FRINTNHr
 1749     UINT64_C(505692160),	// FRINTNSr
 1750     UINT64_C(1707122688),	// FRINTN_ZPmZ_D
 1751     UINT64_C(1698734080),	// FRINTN_ZPmZ_H
 1752     UINT64_C(1702928384),	// FRINTN_ZPmZ_S
 1753     UINT64_C(237078528),	// FRINTNv2f32
 1754     UINT64_C(1315014656),	// FRINTNv2f64
 1755     UINT64_C(242845696),	// FRINTNv4f16
 1756     UINT64_C(1310820352),	// FRINTNv4f32
 1757     UINT64_C(1316587520),	// FRINTNv8f16
 1758     UINT64_C(509919232),	// FRINTPDr
 1759     UINT64_C(518307840),	// FRINTPHr
 1760     UINT64_C(505724928),	// FRINTPSr
 1761     UINT64_C(1707188224),	// FRINTP_ZPmZ_D
 1762     UINT64_C(1698799616),	// FRINTP_ZPmZ_H
 1763     UINT64_C(1702993920),	// FRINTP_ZPmZ_S
 1764     UINT64_C(245467136),	// FRINTPv2f32
 1765     UINT64_C(1323403264),	// FRINTPv2f64
 1766     UINT64_C(251234304),	// FRINTPv4f16
 1767     UINT64_C(1319208960),	// FRINTPv4f32
 1768     UINT64_C(1324976128),	// FRINTPv8f16
 1769     UINT64_C(510083072),	// FRINTXDr
 1770     UINT64_C(518471680),	// FRINTXHr
 1771     UINT64_C(505888768),	// FRINTXSr
 1772     UINT64_C(1707515904),	// FRINTX_ZPmZ_D
 1773     UINT64_C(1699127296),	// FRINTX_ZPmZ_H
 1774     UINT64_C(1703321600),	// FRINTX_ZPmZ_S
 1775     UINT64_C(773953536),	// FRINTXv2f32
 1776     UINT64_C(1851889664),	// FRINTXv2f64
 1777     UINT64_C(779720704),	// FRINTXv4f16
 1778     UINT64_C(1847695360),	// FRINTXv4f32
 1779     UINT64_C(1853462528),	// FRINTXv8f16
 1780     UINT64_C(509984768),	// FRINTZDr
 1781     UINT64_C(518373376),	// FRINTZHr
 1782     UINT64_C(505790464),	// FRINTZSr
 1783     UINT64_C(1707319296),	// FRINTZ_ZPmZ_D
 1784     UINT64_C(1698930688),	// FRINTZ_ZPmZ_H
 1785     UINT64_C(1703124992),	// FRINTZ_ZPmZ_S
 1786     UINT64_C(245471232),	// FRINTZv2f32
 1787     UINT64_C(1323407360),	// FRINTZv2f64
 1788     UINT64_C(251238400),	// FRINTZv4f16
 1789     UINT64_C(1319213056),	// FRINTZv4f32
 1790     UINT64_C(1324980224),	// FRINTZv8f16
 1791     UINT64_C(1708077056),	// FRSQRTE_ZZ_D
 1792     UINT64_C(1699688448),	// FRSQRTE_ZZ_H
 1793     UINT64_C(1703882752),	// FRSQRTE_ZZ_S
 1794     UINT64_C(2130302976),	// FRSQRTEv1f16
 1795     UINT64_C(2124535808),	// FRSQRTEv1i32
 1796     UINT64_C(2128730112),	// FRSQRTEv1i64
 1797     UINT64_C(782358528),	// FRSQRTEv2f32
 1798     UINT64_C(1860294656),	// FRSQRTEv2f64
 1799     UINT64_C(788125696),	// FRSQRTEv4f16
 1800     UINT64_C(1856100352),	// FRSQRTEv4f32
 1801     UINT64_C(1861867520),	// FRSQRTEv8f16
 1802     UINT64_C(1589656576),	// FRSQRTS16
 1803     UINT64_C(1587608576),	// FRSQRTS32
 1804     UINT64_C(1591802880),	// FRSQRTS64
 1805     UINT64_C(1707088896),	// FRSQRTS_ZZZ_D
 1806     UINT64_C(1698700288),	// FRSQRTS_ZZZ_H
 1807     UINT64_C(1702894592),	// FRSQRTS_ZZZ_S
 1808     UINT64_C(245431296),	// FRSQRTSv2f32
 1809     UINT64_C(1323367424),	// FRSQRTSv2f64
 1810     UINT64_C(247479296),	// FRSQRTSv4f16
 1811     UINT64_C(1319173120),	// FRSQRTSv4f32
 1812     UINT64_C(1321221120),	// FRSQRTSv8f16
 1813     UINT64_C(1707704320),	// FSCALE_ZPmZ_D
 1814     UINT64_C(1699315712),	// FSCALE_ZPmZ_H
 1815     UINT64_C(1703510016),	// FSCALE_ZPmZ_S
 1816     UINT64_C(509722624),	// FSQRTDr
 1817     UINT64_C(518111232),	// FSQRTHr
 1818     UINT64_C(505528320),	// FSQRTSr
 1819     UINT64_C(1707974656),	// FSQRT_ZPmZ_D
 1820     UINT64_C(1699586048),	// FSQRT_ZPmZ_H
 1821     UINT64_C(1703780352),	// FSQRT_ZPmZ_S
 1822     UINT64_C(782366720),	// FSQRTv2f32
 1823     UINT64_C(1860302848),	// FSQRTv2f64
 1824     UINT64_C(788133888),	// FSQRTv4f16
 1825     UINT64_C(1856108544),	// FSQRTv4f32
 1826     UINT64_C(1861875712),	// FSQRTv8f16
 1827     UINT64_C(509622272),	// FSUBDrr
 1828     UINT64_C(518010880),	// FSUBHrr
 1829     UINT64_C(1708883968),	// FSUBR_ZPmI_D
 1830     UINT64_C(1700495360),	// FSUBR_ZPmI_H
 1831     UINT64_C(1704689664),	// FSUBR_ZPmI_S
 1832     UINT64_C(1707311104),	// FSUBR_ZPmZ_D
 1833     UINT64_C(1698922496),	// FSUBR_ZPmZ_H
 1834     UINT64_C(1703116800),	// FSUBR_ZPmZ_S
 1835     UINT64_C(505427968),	// FSUBSrr
 1836     UINT64_C(1708752896),	// FSUB_ZPmI_D
 1837     UINT64_C(1700364288),	// FSUB_ZPmI_H
 1838     UINT64_C(1704558592),	// FSUB_ZPmI_S
 1839     UINT64_C(1707180032),	// FSUB_ZPmZ_D
 1840     UINT64_C(1698791424),	// FSUB_ZPmZ_H
 1841     UINT64_C(1702985728),	// FSUB_ZPmZ_S
 1842     UINT64_C(1707082752),	// FSUB_ZZZ_D
 1843     UINT64_C(1698694144),	// FSUB_ZZZ_H
 1844     UINT64_C(1702888448),	// FSUB_ZZZ_S
 1845     UINT64_C(245421056),	// FSUBv2f32
 1846     UINT64_C(1323357184),	// FSUBv2f64
 1847     UINT64_C(247469056),	// FSUBv4f16
 1848     UINT64_C(1319162880),	// FSUBv4f32
 1849     UINT64_C(1321210880),	// FSUBv8f16
 1850     UINT64_C(1708163072),	// FTMAD_ZZI_D
 1851     UINT64_C(1699774464),	// FTMAD_ZZI_H
 1852     UINT64_C(1703968768),	// FTMAD_ZZI_S
 1853     UINT64_C(1707084800),	// FTSMUL_ZZZ_D
 1854     UINT64_C(1698696192),	// FTSMUL_ZZZ_H
 1855     UINT64_C(1702890496),	// FTSMUL_ZZZ_S
 1856     UINT64_C(81833984),	// FTSSEL_ZZZ_D
 1857     UINT64_C(73445376),	// FTSSEL_ZZZ_H
 1858     UINT64_C(77639680),	// FTSSEL_ZZZ_S
 1859     UINT64_C(3290480640),	// GLD1B_D_IMM_REAL
 1860     UINT64_C(3292577792),	// GLD1B_D_REAL
 1861     UINT64_C(3292545024),	// GLD1B_D_SXTW_REAL
 1862     UINT64_C(3288350720),	// GLD1B_D_UXTW_REAL
 1863     UINT64_C(2216738816),	// GLD1B_S_IMM_REAL
 1864     UINT64_C(2218803200),	// GLD1B_S_SXTW_REAL
 1865     UINT64_C(2214608896),	// GLD1B_S_UXTW_REAL
 1866     UINT64_C(3315646464),	// GLD1D_IMM_REAL
 1867     UINT64_C(3317743616),	// GLD1D_REAL
 1868     UINT64_C(3319840768),	// GLD1D_SCALED_REAL
 1869     UINT64_C(3317710848),	// GLD1D_SXTW_REAL
 1870     UINT64_C(3319808000),	// GLD1D_SXTW_SCALED_REAL
 1871     UINT64_C(3313516544),	// GLD1D_UXTW_REAL
 1872     UINT64_C(3315613696),	// GLD1D_UXTW_SCALED_REAL
 1873     UINT64_C(3298869248),	// GLD1H_D_IMM_REAL
 1874     UINT64_C(3300966400),	// GLD1H_D_REAL
 1875     UINT64_C(3303063552),	// GLD1H_D_SCALED_REAL
 1876     UINT64_C(3300933632),	// GLD1H_D_SXTW_REAL
 1877     UINT64_C(3303030784),	// GLD1H_D_SXTW_SCALED_REAL
 1878     UINT64_C(3296739328),	// GLD1H_D_UXTW_REAL
 1879     UINT64_C(3298836480),	// GLD1H_D_UXTW_SCALED_REAL
 1880     UINT64_C(2225127424),	// GLD1H_S_IMM_REAL
 1881     UINT64_C(2227191808),	// GLD1H_S_SXTW_REAL
 1882     UINT64_C(2229288960),	// GLD1H_S_SXTW_SCALED_REAL
 1883     UINT64_C(2222997504),	// GLD1H_S_UXTW_REAL
 1884     UINT64_C(2225094656),	// GLD1H_S_UXTW_SCALED_REAL
 1885     UINT64_C(3290464256),	// GLD1SB_D_IMM_REAL
 1886     UINT64_C(3292561408),	// GLD1SB_D_REAL
 1887     UINT64_C(3292528640),	// GLD1SB_D_SXTW_REAL
 1888     UINT64_C(3288334336),	// GLD1SB_D_UXTW_REAL
 1889     UINT64_C(2216722432),	// GLD1SB_S_IMM_REAL
 1890     UINT64_C(2218786816),	// GLD1SB_S_SXTW_REAL
 1891     UINT64_C(2214592512),	// GLD1SB_S_UXTW_REAL
 1892     UINT64_C(3298852864),	// GLD1SH_D_IMM_REAL
 1893     UINT64_C(3300950016),	// GLD1SH_D_REAL
 1894     UINT64_C(3303047168),	// GLD1SH_D_SCALED_REAL
 1895     UINT64_C(3300917248),	// GLD1SH_D_SXTW_REAL
 1896     UINT64_C(3303014400),	// GLD1SH_D_SXTW_SCALED_REAL
 1897     UINT64_C(3296722944),	// GLD1SH_D_UXTW_REAL
 1898     UINT64_C(3298820096),	// GLD1SH_D_UXTW_SCALED_REAL
 1899     UINT64_C(2225111040),	// GLD1SH_S_IMM_REAL
 1900     UINT64_C(2227175424),	// GLD1SH_S_SXTW_REAL
 1901     UINT64_C(2229272576),	// GLD1SH_S_SXTW_SCALED_REAL
 1902     UINT64_C(2222981120),	// GLD1SH_S_UXTW_REAL
 1903     UINT64_C(2225078272),	// GLD1SH_S_UXTW_SCALED_REAL
 1904     UINT64_C(3307241472),	// GLD1SW_D_IMM_REAL
 1905     UINT64_C(3309338624),	// GLD1SW_D_REAL
 1906     UINT64_C(3311435776),	// GLD1SW_D_SCALED_REAL
 1907     UINT64_C(3309305856),	// GLD1SW_D_SXTW_REAL
 1908     UINT64_C(3311403008),	// GLD1SW_D_SXTW_SCALED_REAL
 1909     UINT64_C(3305111552),	// GLD1SW_D_UXTW_REAL
 1910     UINT64_C(3307208704),	// GLD1SW_D_UXTW_SCALED_REAL
 1911     UINT64_C(3307257856),	// GLD1W_D_IMM_REAL
 1912     UINT64_C(3309355008),	// GLD1W_D_REAL
 1913     UINT64_C(3311452160),	// GLD1W_D_SCALED_REAL
 1914     UINT64_C(3309322240),	// GLD1W_D_SXTW_REAL
 1915     UINT64_C(3311419392),	// GLD1W_D_SXTW_SCALED_REAL
 1916     UINT64_C(3305127936),	// GLD1W_D_UXTW_REAL
 1917     UINT64_C(3307225088),	// GLD1W_D_UXTW_SCALED_REAL
 1918     UINT64_C(2233516032),	// GLD1W_IMM_REAL
 1919     UINT64_C(2235580416),	// GLD1W_SXTW_REAL
 1920     UINT64_C(2237677568),	// GLD1W_SXTW_SCALED_REAL
 1921     UINT64_C(2231386112),	// GLD1W_UXTW_REAL
 1922     UINT64_C(2233483264),	// GLD1W_UXTW_SCALED_REAL
 1923     UINT64_C(3290488832),	// GLDFF1B_D_IMM_REAL
 1924     UINT64_C(3292585984),	// GLDFF1B_D_REAL
 1925     UINT64_C(3292553216),	// GLDFF1B_D_SXTW_REAL
 1926     UINT64_C(3288358912),	// GLDFF1B_D_UXTW_REAL
 1927     UINT64_C(2216747008),	// GLDFF1B_S_IMM_REAL
 1928     UINT64_C(2218811392),	// GLDFF1B_S_SXTW_REAL
 1929     UINT64_C(2214617088),	// GLDFF1B_S_UXTW_REAL
 1930     UINT64_C(3315654656),	// GLDFF1D_IMM_REAL
 1931     UINT64_C(3317751808),	// GLDFF1D_REAL
 1932     UINT64_C(3319848960),	// GLDFF1D_SCALED_REAL
 1933     UINT64_C(3317719040),	// GLDFF1D_SXTW_REAL
 1934     UINT64_C(3319816192),	// GLDFF1D_SXTW_SCALED_REAL
 1935     UINT64_C(3313524736),	// GLDFF1D_UXTW_REAL
 1936     UINT64_C(3315621888),	// GLDFF1D_UXTW_SCALED_REAL
 1937     UINT64_C(3298877440),	// GLDFF1H_D_IMM_REAL
 1938     UINT64_C(3300974592),	// GLDFF1H_D_REAL
 1939     UINT64_C(3303071744),	// GLDFF1H_D_SCALED_REAL
 1940     UINT64_C(3300941824),	// GLDFF1H_D_SXTW_REAL
 1941     UINT64_C(3303038976),	// GLDFF1H_D_SXTW_SCALED_REAL
 1942     UINT64_C(3296747520),	// GLDFF1H_D_UXTW_REAL
 1943     UINT64_C(3298844672),	// GLDFF1H_D_UXTW_SCALED_REAL
 1944     UINT64_C(2225135616),	// GLDFF1H_S_IMM_REAL
 1945     UINT64_C(2227200000),	// GLDFF1H_S_SXTW_REAL
 1946     UINT64_C(2229297152),	// GLDFF1H_S_SXTW_SCALED_REAL
 1947     UINT64_C(2223005696),	// GLDFF1H_S_UXTW_REAL
 1948     UINT64_C(2225102848),	// GLDFF1H_S_UXTW_SCALED_REAL
 1949     UINT64_C(3290472448),	// GLDFF1SB_D_IMM_REAL
 1950     UINT64_C(3292569600),	// GLDFF1SB_D_REAL
 1951     UINT64_C(3292536832),	// GLDFF1SB_D_SXTW_REAL
 1952     UINT64_C(3288342528),	// GLDFF1SB_D_UXTW_REAL
 1953     UINT64_C(2216730624),	// GLDFF1SB_S_IMM_REAL
 1954     UINT64_C(2218795008),	// GLDFF1SB_S_SXTW_REAL
 1955     UINT64_C(2214600704),	// GLDFF1SB_S_UXTW_REAL
 1956     UINT64_C(3298861056),	// GLDFF1SH_D_IMM_REAL
 1957     UINT64_C(3300958208),	// GLDFF1SH_D_REAL
 1958     UINT64_C(3303055360),	// GLDFF1SH_D_SCALED_REAL
 1959     UINT64_C(3300925440),	// GLDFF1SH_D_SXTW_REAL
 1960     UINT64_C(3303022592),	// GLDFF1SH_D_SXTW_SCALED_REAL
 1961     UINT64_C(3296731136),	// GLDFF1SH_D_UXTW_REAL
 1962     UINT64_C(3298828288),	// GLDFF1SH_D_UXTW_SCALED_REAL
 1963     UINT64_C(2225119232),	// GLDFF1SH_S_IMM_REAL
 1964     UINT64_C(2227183616),	// GLDFF1SH_S_SXTW_REAL
 1965     UINT64_C(2229280768),	// GLDFF1SH_S_SXTW_SCALED_REAL
 1966     UINT64_C(2222989312),	// GLDFF1SH_S_UXTW_REAL
 1967     UINT64_C(2225086464),	// GLDFF1SH_S_UXTW_SCALED_REAL
 1968     UINT64_C(3307249664),	// GLDFF1SW_D_IMM_REAL
 1969     UINT64_C(3309346816),	// GLDFF1SW_D_REAL
 1970     UINT64_C(3311443968),	// GLDFF1SW_D_SCALED_REAL
 1971     UINT64_C(3309314048),	// GLDFF1SW_D_SXTW_REAL
 1972     UINT64_C(3311411200),	// GLDFF1SW_D_SXTW_SCALED_REAL
 1973     UINT64_C(3305119744),	// GLDFF1SW_D_UXTW_REAL
 1974     UINT64_C(3307216896),	// GLDFF1SW_D_UXTW_SCALED_REAL
 1975     UINT64_C(3307266048),	// GLDFF1W_D_IMM_REAL
 1976     UINT64_C(3309363200),	// GLDFF1W_D_REAL
 1977     UINT64_C(3311460352),	// GLDFF1W_D_SCALED_REAL
 1978     UINT64_C(3309330432),	// GLDFF1W_D_SXTW_REAL
 1979     UINT64_C(3311427584),	// GLDFF1W_D_SXTW_SCALED_REAL
 1980     UINT64_C(3305136128),	// GLDFF1W_D_UXTW_REAL
 1981     UINT64_C(3307233280),	// GLDFF1W_D_UXTW_SCALED_REAL
 1982     UINT64_C(2233524224),	// GLDFF1W_IMM_REAL
 1983     UINT64_C(2235588608),	// GLDFF1W_SXTW_REAL
 1984     UINT64_C(2237685760),	// GLDFF1W_SXTW_SCALED_REAL
 1985     UINT64_C(2231394304),	// GLDFF1W_UXTW_REAL
 1986     UINT64_C(2233491456),	// GLDFF1W_UXTW_SCALED_REAL
 1987     UINT64_C(2596279296),	// GMI
 1988     UINT64_C(3573751839),	// HINT
 1989     UINT64_C(1172357120),	// HISTCNT_ZPzZZ_D
 1990     UINT64_C(1168162816),	// HISTCNT_ZPzZZ_S
 1991     UINT64_C(1159766016),	// HISTSEG_ZZZ
 1992     UINT64_C(3560964096),	// HLT
 1993     UINT64_C(3556769794),	// HVC
 1994     UINT64_C(0),	// HWASAN_CHECK_MEMACCESS
 1995     UINT64_C(0),	// HWASAN_CHECK_MEMACCESS_SHORTGRANULES
 1996     UINT64_C(70311936),	// INCB_XPiI
 1997     UINT64_C(82894848),	// INCD_XPiI
 1998     UINT64_C(82886656),	// INCD_ZPiI
 1999     UINT64_C(74506240),	// INCH_XPiI
 2000     UINT64_C(74498048),	// INCH_ZPiI
 2001     UINT64_C(623675392),	// INCP_XP_B
 2002     UINT64_C(636258304),	// INCP_XP_D
 2003     UINT64_C(627869696),	// INCP_XP_H
 2004     UINT64_C(632064000),	// INCP_XP_S
 2005     UINT64_C(636256256),	// INCP_ZP_D
 2006     UINT64_C(627867648),	// INCP_ZP_H
 2007     UINT64_C(632061952),	// INCP_ZP_S
 2008     UINT64_C(78700544),	// INCW_XPiI
 2009     UINT64_C(78692352),	// INCW_ZPiI
 2010     UINT64_C(69222400),	// INDEX_II_B
 2011     UINT64_C(81805312),	// INDEX_II_D
 2012     UINT64_C(73416704),	// INDEX_II_H
 2013     UINT64_C(77611008),	// INDEX_II_S
 2014     UINT64_C(69224448),	// INDEX_IR_B
 2015     UINT64_C(81807360),	// INDEX_IR_D
 2016     UINT64_C(73418752),	// INDEX_IR_H
 2017     UINT64_C(77613056),	// INDEX_IR_S
 2018     UINT64_C(69223424),	// INDEX_RI_B
 2019     UINT64_C(81806336),	// INDEX_RI_D
 2020     UINT64_C(73417728),	// INDEX_RI_H
 2021     UINT64_C(77612032),	// INDEX_RI_S
 2022     UINT64_C(69225472),	// INDEX_RR_B
 2023     UINT64_C(81808384),	// INDEX_RR_D
 2024     UINT64_C(73419776),	// INDEX_RR_H
 2025     UINT64_C(77614080),	// INDEX_RR_S
 2026     UINT64_C(86259712),	// INSR_ZR_B
 2027     UINT64_C(98842624),	// INSR_ZR_D
 2028     UINT64_C(90454016),	// INSR_ZR_H
 2029     UINT64_C(94648320),	// INSR_ZR_S
 2030     UINT64_C(87308288),	// INSR_ZV_B
 2031     UINT64_C(99891200),	// INSR_ZV_D
 2032     UINT64_C(91502592),	// INSR_ZV_H
 2033     UINT64_C(95696896),	// INSR_ZV_S
 2034     UINT64_C(1308761088),	// INSvi16gpr
 2035     UINT64_C(1845625856),	// INSvi16lane
 2036     UINT64_C(1308892160),	// INSvi32gpr
 2037     UINT64_C(1845756928),	// INSvi32lane
 2038     UINT64_C(1309154304),	// INSvi64gpr
 2039     UINT64_C(1846019072),	// INSvi64lane
 2040     UINT64_C(1308695552),	// INSvi8gpr
 2041     UINT64_C(1845560320),	// INSvi8lane
 2042     UINT64_C(2596278272),	// IRG
 2043     UINT64_C(0),	// IRGstack
 2044     UINT64_C(3573756127),	// ISB
 2045     UINT64_C(0),	// JumpTableDest16
 2046     UINT64_C(0),	// JumpTableDest32
 2047     UINT64_C(0),	// JumpTableDest8
 2048     UINT64_C(86024192),	// LASTA_RPZ_B
 2049     UINT64_C(98607104),	// LASTA_RPZ_D
 2050     UINT64_C(90218496),	// LASTA_RPZ_H
 2051     UINT64_C(94412800),	// LASTA_RPZ_S
 2052     UINT64_C(86147072),	// LASTA_VPZ_B
 2053     UINT64_C(98729984),	// LASTA_VPZ_D
 2054     UINT64_C(90341376),	// LASTA_VPZ_H
 2055     UINT64_C(94535680),	// LASTA_VPZ_S
 2056     UINT64_C(86089728),	// LASTB_RPZ_B
 2057     UINT64_C(98672640),	// LASTB_RPZ_D
 2058     UINT64_C(90284032),	// LASTB_RPZ_H
 2059     UINT64_C(94478336),	// LASTB_RPZ_S
 2060     UINT64_C(86212608),	// LASTB_VPZ_B
 2061     UINT64_C(98795520),	// LASTB_VPZ_D
 2062     UINT64_C(90406912),	// LASTB_VPZ_H
 2063     UINT64_C(94601216),	// LASTB_VPZ_S
 2064     UINT64_C(2751479808),	// LD1B
 2065     UINT64_C(2757771264),	// LD1B_D
 2066     UINT64_C(2757795840),	// LD1B_D_IMM
 2067     UINT64_C(2753576960),	// LD1B_H
 2068     UINT64_C(2753601536),	// LD1B_H_IMM
 2069     UINT64_C(2751504384),	// LD1B_IMM
 2070     UINT64_C(2755674112),	// LD1B_S
 2071     UINT64_C(2755698688),	// LD1B_S_IMM
 2072     UINT64_C(2782937088),	// LD1D
 2073     UINT64_C(2782961664),	// LD1D_IMM
 2074     UINT64_C(1279270912),	// LD1Fourv16b
 2075     UINT64_C(1287659520),	// LD1Fourv16b_POST
 2076     UINT64_C(205532160),	// LD1Fourv1d
 2077     UINT64_C(213920768),	// LD1Fourv1d_POST
 2078     UINT64_C(1279273984),	// LD1Fourv2d
 2079     UINT64_C(1287662592),	// LD1Fourv2d_POST
 2080     UINT64_C(205531136),	// LD1Fourv2s
 2081     UINT64_C(213919744),	// LD1Fourv2s_POST
 2082     UINT64_C(205530112),	// LD1Fourv4h
 2083     UINT64_C(213918720),	// LD1Fourv4h_POST
 2084     UINT64_C(1279272960),	// LD1Fourv4s
 2085     UINT64_C(1287661568),	// LD1Fourv4s_POST
 2086     UINT64_C(205529088),	// LD1Fourv8b
 2087     UINT64_C(213917696),	// LD1Fourv8b_POST
 2088     UINT64_C(1279271936),	// LD1Fourv8h
 2089     UINT64_C(1287660544),	// LD1Fourv8h_POST
 2090     UINT64_C(2761965568),	// LD1H
 2091     UINT64_C(2766159872),	// LD1H_D
 2092     UINT64_C(2766184448),	// LD1H_D_IMM
 2093     UINT64_C(2761990144),	// LD1H_IMM
 2094     UINT64_C(2764062720),	// LD1H_S
 2095     UINT64_C(2764087296),	// LD1H_S_IMM
 2096     UINT64_C(1279291392),	// LD1Onev16b
 2097     UINT64_C(1287680000),	// LD1Onev16b_POST
 2098     UINT64_C(205552640),	// LD1Onev1d
 2099     UINT64_C(213941248),	// LD1Onev1d_POST
 2100     UINT64_C(1279294464),	// LD1Onev2d
 2101     UINT64_C(1287683072),	// LD1Onev2d_POST
 2102     UINT64_C(205551616),	// LD1Onev2s
 2103     UINT64_C(213940224),	// LD1Onev2s_POST
 2104     UINT64_C(205550592),	// LD1Onev4h
 2105     UINT64_C(213939200),	// LD1Onev4h_POST
 2106     UINT64_C(1279293440),	// LD1Onev4s
 2107     UINT64_C(1287682048),	// LD1Onev4s_POST
 2108     UINT64_C(205549568),	// LD1Onev8b
 2109     UINT64_C(213938176),	// LD1Onev8b_POST
 2110     UINT64_C(1279292416),	// LD1Onev8h
 2111     UINT64_C(1287681024),	// LD1Onev8h_POST
 2112     UINT64_C(2218844160),	// LD1RB_D_IMM
 2113     UINT64_C(2218827776),	// LD1RB_H_IMM
 2114     UINT64_C(2218819584),	// LD1RB_IMM
 2115     UINT64_C(2218835968),	// LD1RB_S_IMM
 2116     UINT64_C(2244009984),	// LD1RD_IMM
 2117     UINT64_C(2227232768),	// LD1RH_D_IMM
 2118     UINT64_C(2227216384),	// LD1RH_IMM
 2119     UINT64_C(2227224576),	// LD1RH_S_IMM
 2120     UINT64_C(2751463424),	// LD1RQ_B
 2121     UINT64_C(2751471616),	// LD1RQ_B_IMM
 2122     UINT64_C(2776629248),	// LD1RQ_D
 2123     UINT64_C(2776637440),	// LD1RQ_D_IMM
 2124     UINT64_C(2759852032),	// LD1RQ_H
 2125     UINT64_C(2759860224),	// LD1RQ_H_IMM
 2126     UINT64_C(2768240640),	// LD1RQ_W
 2127     UINT64_C(2768248832),	// LD1RQ_W_IMM
 2128     UINT64_C(2243985408),	// LD1RSB_D_IMM
 2129     UINT64_C(2244001792),	// LD1RSB_H_IMM
 2130     UINT64_C(2243993600),	// LD1RSB_S_IMM
 2131     UINT64_C(2235596800),	// LD1RSH_D_IMM
 2132     UINT64_C(2235604992),	// LD1RSH_S_IMM
 2133     UINT64_C(2227208192),	// LD1RSW_IMM
 2134     UINT64_C(2235621376),	// LD1RW_D_IMM
 2135     UINT64_C(2235613184),	// LD1RW_IMM
 2136     UINT64_C(1296089088),	// LD1Rv16b
 2137     UINT64_C(1304477696),	// LD1Rv16b_POST
 2138     UINT64_C(222350336),	// LD1Rv1d
 2139     UINT64_C(230738944),	// LD1Rv1d_POST
 2140     UINT64_C(1296092160),	// LD1Rv2d
 2141     UINT64_C(1304480768),	// LD1Rv2d_POST
 2142     UINT64_C(222349312),	// LD1Rv2s
 2143     UINT64_C(230737920),	// LD1Rv2s_POST
 2144     UINT64_C(222348288),	// LD1Rv4h
 2145     UINT64_C(230736896),	// LD1Rv4h_POST
 2146     UINT64_C(1296091136),	// LD1Rv4s
 2147     UINT64_C(1304479744),	// LD1Rv4s_POST
 2148     UINT64_C(222347264),	// LD1Rv8b
 2149     UINT64_C(230735872),	// LD1Rv8b_POST
 2150     UINT64_C(1296090112),	// LD1Rv8h
 2151     UINT64_C(1304478720),	// LD1Rv8h_POST
 2152     UINT64_C(2776645632),	// LD1SB_D
 2153     UINT64_C(2776670208),	// LD1SB_D_IMM
 2154     UINT64_C(2780839936),	// LD1SB_H
 2155     UINT64_C(2780864512),	// LD1SB_H_IMM
 2156     UINT64_C(2778742784),	// LD1SB_S
 2157     UINT64_C(2778767360),	// LD1SB_S_IMM
 2158     UINT64_C(2768257024),	// LD1SH_D
 2159     UINT64_C(2768281600),	// LD1SH_D_IMM
 2160     UINT64_C(2770354176),	// LD1SH_S
 2161     UINT64_C(2770378752),	// LD1SH_S_IMM
 2162     UINT64_C(2759868416),	// LD1SW_D
 2163     UINT64_C(2759892992),	// LD1SW_D_IMM
 2164     UINT64_C(1279287296),	// LD1Threev16b
 2165     UINT64_C(1287675904),	// LD1Threev16b_POST
 2166     UINT64_C(205548544),	// LD1Threev1d
 2167     UINT64_C(213937152),	// LD1Threev1d_POST
 2168     UINT64_C(1279290368),	// LD1Threev2d
 2169     UINT64_C(1287678976),	// LD1Threev2d_POST
 2170     UINT64_C(205547520),	// LD1Threev2s
 2171     UINT64_C(213936128),	// LD1Threev2s_POST
 2172     UINT64_C(205546496),	// LD1Threev4h
 2173     UINT64_C(213935104),	// LD1Threev4h_POST
 2174     UINT64_C(1279289344),	// LD1Threev4s
 2175     UINT64_C(1287677952),	// LD1Threev4s_POST
 2176     UINT64_C(205545472),	// LD1Threev8b
 2177     UINT64_C(213934080),	// LD1Threev8b_POST
 2178     UINT64_C(1279288320),	// LD1Threev8h
 2179     UINT64_C(1287676928),	// LD1Threev8h_POST
 2180     UINT64_C(1279303680),	// LD1Twov16b
 2181     UINT64_C(1287692288),	// LD1Twov16b_POST
 2182     UINT64_C(205564928),	// LD1Twov1d
 2183     UINT64_C(213953536),	// LD1Twov1d_POST
 2184     UINT64_C(1279306752),	// LD1Twov2d
 2185     UINT64_C(1287695360),	// LD1Twov2d_POST
 2186     UINT64_C(205563904),	// LD1Twov2s
 2187     UINT64_C(213952512),	// LD1Twov2s_POST
 2188     UINT64_C(205562880),	// LD1Twov4h
 2189     UINT64_C(213951488),	// LD1Twov4h_POST
 2190     UINT64_C(1279305728),	// LD1Twov4s
 2191     UINT64_C(1287694336),	// LD1Twov4s_POST
 2192     UINT64_C(205561856),	// LD1Twov8b
 2193     UINT64_C(213950464),	// LD1Twov8b_POST
 2194     UINT64_C(1279304704),	// LD1Twov8h
 2195     UINT64_C(1287693312),	// LD1Twov8h_POST
 2196     UINT64_C(2772451328),	// LD1W
 2197     UINT64_C(2774548480),	// LD1W_D
 2198     UINT64_C(2774573056),	// LD1W_D_IMM
 2199     UINT64_C(2772475904),	// LD1W_IMM
 2200     UINT64_C(222314496),	// LD1i16
 2201     UINT64_C(230703104),	// LD1i16_POST
 2202     UINT64_C(222330880),	// LD1i32
 2203     UINT64_C(230719488),	// LD1i32_POST
 2204     UINT64_C(222331904),	// LD1i64
 2205     UINT64_C(230720512),	// LD1i64_POST
 2206     UINT64_C(222298112),	// LD1i8
 2207     UINT64_C(230686720),	// LD1i8_POST
 2208     UINT64_C(2753609728),	// LD2B
 2209     UINT64_C(2753617920),	// LD2B_IMM
 2210     UINT64_C(2778775552),	// LD2D
 2211     UINT64_C(2778783744),	// LD2D_IMM
 2212     UINT64_C(2761998336),	// LD2H
 2213     UINT64_C(2762006528),	// LD2H_IMM
 2214     UINT64_C(1298186240),	// LD2Rv16b
 2215     UINT64_C(1306574848),	// LD2Rv16b_POST
 2216     UINT64_C(224447488),	// LD2Rv1d
 2217     UINT64_C(232836096),	// LD2Rv1d_POST
 2218     UINT64_C(1298189312),	// LD2Rv2d
 2219     UINT64_C(1306577920),	// LD2Rv2d_POST
 2220     UINT64_C(224446464),	// LD2Rv2s
 2221     UINT64_C(232835072),	// LD2Rv2s_POST
 2222     UINT64_C(224445440),	// LD2Rv4h
 2223     UINT64_C(232834048),	// LD2Rv4h_POST
 2224     UINT64_C(1298188288),	// LD2Rv4s
 2225     UINT64_C(1306576896),	// LD2Rv4s_POST
 2226     UINT64_C(224444416),	// LD2Rv8b
 2227     UINT64_C(232833024),	// LD2Rv8b_POST
 2228     UINT64_C(1298187264),	// LD2Rv8h
 2229     UINT64_C(1306575872),	// LD2Rv8h_POST
 2230     UINT64_C(1279295488),	// LD2Twov16b
 2231     UINT64_C(1287684096),	// LD2Twov16b_POST
 2232     UINT64_C(1279298560),	// LD2Twov2d
 2233     UINT64_C(1287687168),	// LD2Twov2d_POST
 2234     UINT64_C(205555712),	// LD2Twov2s
 2235     UINT64_C(213944320),	// LD2Twov2s_POST
 2236     UINT64_C(205554688),	// LD2Twov4h
 2237     UINT64_C(213943296),	// LD2Twov4h_POST
 2238     UINT64_C(1279297536),	// LD2Twov4s
 2239     UINT64_C(1287686144),	// LD2Twov4s_POST
 2240     UINT64_C(205553664),	// LD2Twov8b
 2241     UINT64_C(213942272),	// LD2Twov8b_POST
 2242     UINT64_C(1279296512),	// LD2Twov8h
 2243     UINT64_C(1287685120),	// LD2Twov8h_POST
 2244     UINT64_C(2770386944),	// LD2W
 2245     UINT64_C(2770395136),	// LD2W_IMM
 2246     UINT64_C(224411648),	// LD2i16
 2247     UINT64_C(232800256),	// LD2i16_POST
 2248     UINT64_C(224428032),	// LD2i32
 2249     UINT64_C(232816640),	// LD2i32_POST
 2250     UINT64_C(224429056),	// LD2i64
 2251     UINT64_C(232817664),	// LD2i64_POST
 2252     UINT64_C(224395264),	// LD2i8
 2253     UINT64_C(232783872),	// LD2i8_POST
 2254     UINT64_C(2755706880),	// LD3B
 2255     UINT64_C(2755715072),	// LD3B_IMM
 2256     UINT64_C(2780872704),	// LD3D
 2257     UINT64_C(2780880896),	// LD3D_IMM
 2258     UINT64_C(2764095488),	// LD3H
 2259     UINT64_C(2764103680),	// LD3H_IMM
 2260     UINT64_C(1296097280),	// LD3Rv16b
 2261     UINT64_C(1304485888),	// LD3Rv16b_POST
 2262     UINT64_C(222358528),	// LD3Rv1d
 2263     UINT64_C(230747136),	// LD3Rv1d_POST
 2264     UINT64_C(1296100352),	// LD3Rv2d
 2265     UINT64_C(1304488960),	// LD3Rv2d_POST
 2266     UINT64_C(222357504),	// LD3Rv2s
 2267     UINT64_C(230746112),	// LD3Rv2s_POST
 2268     UINT64_C(222356480),	// LD3Rv4h
 2269     UINT64_C(230745088),	// LD3Rv4h_POST
 2270     UINT64_C(1296099328),	// LD3Rv4s
 2271     UINT64_C(1304487936),	// LD3Rv4s_POST
 2272     UINT64_C(222355456),	// LD3Rv8b
 2273     UINT64_C(230744064),	// LD3Rv8b_POST
 2274     UINT64_C(1296098304),	// LD3Rv8h
 2275     UINT64_C(1304486912),	// LD3Rv8h_POST
 2276     UINT64_C(1279279104),	// LD3Threev16b
 2277     UINT64_C(1287667712),	// LD3Threev16b_POST
 2278     UINT64_C(1279282176),	// LD3Threev2d
 2279     UINT64_C(1287670784),	// LD3Threev2d_POST
 2280     UINT64_C(205539328),	// LD3Threev2s
 2281     UINT64_C(213927936),	// LD3Threev2s_POST
 2282     UINT64_C(205538304),	// LD3Threev4h
 2283     UINT64_C(213926912),	// LD3Threev4h_POST
 2284     UINT64_C(1279281152),	// LD3Threev4s
 2285     UINT64_C(1287669760),	// LD3Threev4s_POST
 2286     UINT64_C(205537280),	// LD3Threev8b
 2287     UINT64_C(213925888),	// LD3Threev8b_POST
 2288     UINT64_C(1279280128),	// LD3Threev8h
 2289     UINT64_C(1287668736),	// LD3Threev8h_POST
 2290     UINT64_C(2772484096),	// LD3W
 2291     UINT64_C(2772492288),	// LD3W_IMM
 2292     UINT64_C(222322688),	// LD3i16
 2293     UINT64_C(230711296),	// LD3i16_POST
 2294     UINT64_C(222339072),	// LD3i32
 2295     UINT64_C(230727680),	// LD3i32_POST
 2296     UINT64_C(222340096),	// LD3i64
 2297     UINT64_C(230728704),	// LD3i64_POST
 2298     UINT64_C(222306304),	// LD3i8
 2299     UINT64_C(230694912),	// LD3i8_POST
 2300     UINT64_C(2757804032),	// LD4B
 2301     UINT64_C(2757812224),	// LD4B_IMM
 2302     UINT64_C(2782969856),	// LD4D
 2303     UINT64_C(2782978048),	// LD4D_IMM
 2304     UINT64_C(1279262720),	// LD4Fourv16b
 2305     UINT64_C(1287651328),	// LD4Fourv16b_POST
 2306     UINT64_C(1279265792),	// LD4Fourv2d
 2307     UINT64_C(1287654400),	// LD4Fourv2d_POST
 2308     UINT64_C(205522944),	// LD4Fourv2s
 2309     UINT64_C(213911552),	// LD4Fourv2s_POST
 2310     UINT64_C(205521920),	// LD4Fourv4h
 2311     UINT64_C(213910528),	// LD4Fourv4h_POST
 2312     UINT64_C(1279264768),	// LD4Fourv4s
 2313     UINT64_C(1287653376),	// LD4Fourv4s_POST
 2314     UINT64_C(205520896),	// LD4Fourv8b
 2315     UINT64_C(213909504),	// LD4Fourv8b_POST
 2316     UINT64_C(1279263744),	// LD4Fourv8h
 2317     UINT64_C(1287652352),	// LD4Fourv8h_POST
 2318     UINT64_C(2766192640),	// LD4H
 2319     UINT64_C(2766200832),	// LD4H_IMM
 2320     UINT64_C(1298194432),	// LD4Rv16b
 2321     UINT64_C(1306583040),	// LD4Rv16b_POST
 2322     UINT64_C(224455680),	// LD4Rv1d
 2323     UINT64_C(232844288),	// LD4Rv1d_POST
 2324     UINT64_C(1298197504),	// LD4Rv2d
 2325     UINT64_C(1306586112),	// LD4Rv2d_POST
 2326     UINT64_C(224454656),	// LD4Rv2s
 2327     UINT64_C(232843264),	// LD4Rv2s_POST
 2328     UINT64_C(224453632),	// LD4Rv4h
 2329     UINT64_C(232842240),	// LD4Rv4h_POST
 2330     UINT64_C(1298196480),	// LD4Rv4s
 2331     UINT64_C(1306585088),	// LD4Rv4s_POST
 2332     UINT64_C(224452608),	// LD4Rv8b
 2333     UINT64_C(232841216),	// LD4Rv8b_POST
 2334     UINT64_C(1298195456),	// LD4Rv8h
 2335     UINT64_C(1306584064),	// LD4Rv8h_POST
 2336     UINT64_C(2774581248),	// LD4W
 2337     UINT64_C(2774589440),	// LD4W_IMM
 2338     UINT64_C(224419840),	// LD4i16
 2339     UINT64_C(232808448),	// LD4i16_POST
 2340     UINT64_C(224436224),	// LD4i32
 2341     UINT64_C(232824832),	// LD4i32_POST
 2342     UINT64_C(224437248),	// LD4i64
 2343     UINT64_C(232825856),	// LD4i64_POST
 2344     UINT64_C(224403456),	// LD4i8
 2345     UINT64_C(232792064),	// LD4i8_POST
 2346     UINT64_C(950009856),	// LDADDAB
 2347     UINT64_C(2023751680),	// LDADDAH
 2348     UINT64_C(954204160),	// LDADDALB
 2349     UINT64_C(2027945984),	// LDADDALH
 2350     UINT64_C(3101687808),	// LDADDALW
 2351     UINT64_C(4175429632),	// LDADDALX
 2352     UINT64_C(3097493504),	// LDADDAW
 2353     UINT64_C(4171235328),	// LDADDAX
 2354     UINT64_C(941621248),	// LDADDB
 2355     UINT64_C(2015363072),	// LDADDH
 2356     UINT64_C(945815552),	// LDADDLB
 2357     UINT64_C(2019557376),	// LDADDLH
 2358     UINT64_C(3093299200),	// LDADDLW
 2359     UINT64_C(4167041024),	// LDADDLX
 2360     UINT64_C(3089104896),	// LDADDW
 2361     UINT64_C(4162846720),	// LDADDX
 2362     UINT64_C(952090624),	// LDAPRB
 2363     UINT64_C(2025832448),	// LDAPRH
 2364     UINT64_C(3099574272),	// LDAPRW
 2365     UINT64_C(4173316096),	// LDAPRX
 2366     UINT64_C(423624704),	// LDAPURBi
 2367     UINT64_C(1497366528),	// LDAPURHi
 2368     UINT64_C(432013312),	// LDAPURSBWi
 2369     UINT64_C(427819008),	// LDAPURSBXi
 2370     UINT64_C(1505755136),	// LDAPURSHWi
 2371     UINT64_C(1501560832),	// LDAPURSHXi
 2372     UINT64_C(2575302656),	// LDAPURSWi
 2373     UINT64_C(3644850176),	// LDAPURXi
 2374     UINT64_C(2571108352),	// LDAPURi
 2375     UINT64_C(148896768),	// LDARB
 2376     UINT64_C(1222638592),	// LDARH
 2377     UINT64_C(2296380416),	// LDARW
 2378     UINT64_C(3370122240),	// LDARX
 2379     UINT64_C(2288025600),	// LDAXPW
 2380     UINT64_C(3361767424),	// LDAXPX
 2381     UINT64_C(140508160),	// LDAXRB
 2382     UINT64_C(1214249984),	// LDAXRH
 2383     UINT64_C(2287991808),	// LDAXRW
 2384     UINT64_C(3361733632),	// LDAXRX
 2385     UINT64_C(950013952),	// LDCLRAB
 2386     UINT64_C(2023755776),	// LDCLRAH
 2387     UINT64_C(954208256),	// LDCLRALB
 2388     UINT64_C(2027950080),	// LDCLRALH
 2389     UINT64_C(3101691904),	// LDCLRALW
 2390     UINT64_C(4175433728),	// LDCLRALX
 2391     UINT64_C(3097497600),	// LDCLRAW
 2392     UINT64_C(4171239424),	// LDCLRAX
 2393     UINT64_C(941625344),	// LDCLRB
 2394     UINT64_C(2015367168),	// LDCLRH
 2395     UINT64_C(945819648),	// LDCLRLB
 2396     UINT64_C(2019561472),	// LDCLRLH
 2397     UINT64_C(3093303296),	// LDCLRLW
 2398     UINT64_C(4167045120),	// LDCLRLX
 2399     UINT64_C(3089108992),	// LDCLRW
 2400     UINT64_C(4162850816),	// LDCLRX
 2401     UINT64_C(950018048),	// LDEORAB
 2402     UINT64_C(2023759872),	// LDEORAH
 2403     UINT64_C(954212352),	// LDEORALB
 2404     UINT64_C(2027954176),	// LDEORALH
 2405     UINT64_C(3101696000),	// LDEORALW
 2406     UINT64_C(4175437824),	// LDEORALX
 2407     UINT64_C(3097501696),	// LDEORAW
 2408     UINT64_C(4171243520),	// LDEORAX
 2409     UINT64_C(941629440),	// LDEORB
 2410     UINT64_C(2015371264),	// LDEORH
 2411     UINT64_C(945823744),	// LDEORLB
 2412     UINT64_C(2019565568),	// LDEORLH
 2413     UINT64_C(3093307392),	// LDEORLW
 2414     UINT64_C(4167049216),	// LDEORLX
 2415     UINT64_C(3089113088),	// LDEORW
 2416     UINT64_C(4162854912),	// LDEORX
 2417     UINT64_C(2757779456),	// LDFF1B_D_REAL
 2418     UINT64_C(2753585152),	// LDFF1B_H_REAL
 2419     UINT64_C(2751488000),	// LDFF1B_REAL
 2420     UINT64_C(2755682304),	// LDFF1B_S_REAL
 2421     UINT64_C(2782945280),	// LDFF1D_REAL
 2422     UINT64_C(2766168064),	// LDFF1H_D_REAL
 2423     UINT64_C(2761973760),	// LDFF1H_REAL
 2424     UINT64_C(2764070912),	// LDFF1H_S_REAL
 2425     UINT64_C(2776653824),	// LDFF1SB_D_REAL
 2426     UINT64_C(2780848128),	// LDFF1SB_H_REAL
 2427     UINT64_C(2778750976),	// LDFF1SB_S_REAL
 2428     UINT64_C(2768265216),	// LDFF1SH_D_REAL
 2429     UINT64_C(2770362368),	// LDFF1SH_S_REAL
 2430     UINT64_C(2759876608),	// LDFF1SW_D_REAL
 2431     UINT64_C(2774556672),	// LDFF1W_D_REAL
 2432     UINT64_C(2772459520),	// LDFF1W_REAL
 2433     UINT64_C(3646947328),	// LDG
 2434     UINT64_C(3655335936),	// LDGM
 2435     UINT64_C(148864000),	// LDLARB
 2436     UINT64_C(1222605824),	// LDLARH
 2437     UINT64_C(2296347648),	// LDLARW
 2438     UINT64_C(3370089472),	// LDLARX
 2439     UINT64_C(2758844416),	// LDNF1B_D_IMM
 2440     UINT64_C(2754650112),	// LDNF1B_H_IMM
 2441     UINT64_C(2752552960),	// LDNF1B_IMM
 2442     UINT64_C(2756747264),	// LDNF1B_S_IMM
 2443     UINT64_C(2784010240),	// LDNF1D_IMM
 2444     UINT64_C(2767233024),	// LDNF1H_D_IMM
 2445     UINT64_C(2763038720),	// LDNF1H_IMM
 2446     UINT64_C(2765135872),	// LDNF1H_S_IMM
 2447     UINT64_C(2777718784),	// LDNF1SB_D_IMM
 2448     UINT64_C(2781913088),	// LDNF1SB_H_IMM
 2449     UINT64_C(2779815936),	// LDNF1SB_S_IMM
 2450     UINT64_C(2769330176),	// LDNF1SH_D_IMM
 2451     UINT64_C(2771427328),	// LDNF1SH_S_IMM
 2452     UINT64_C(2760941568),	// LDNF1SW_D_IMM
 2453     UINT64_C(2775621632),	// LDNF1W_D_IMM
 2454     UINT64_C(2773524480),	// LDNF1W_IMM
 2455     UINT64_C(1816133632),	// LDNPDi
 2456     UINT64_C(2889875456),	// LDNPQi
 2457     UINT64_C(742391808),	// LDNPSi
 2458     UINT64_C(675282944),	// LDNPWi
 2459     UINT64_C(2822766592),	// LDNPXi
 2460     UINT64_C(2751520768),	// LDNT1B_ZRI
 2461     UINT64_C(2751512576),	// LDNT1B_ZRR
 2462     UINT64_C(3288383488),	// LDNT1B_ZZR_D_REAL
 2463     UINT64_C(2214633472),	// LDNT1B_ZZR_S_REAL
 2464     UINT64_C(2776686592),	// LDNT1D_ZRI
 2465     UINT64_C(2776678400),	// LDNT1D_ZRR
 2466     UINT64_C(3313549312),	// LDNT1D_ZZR_D_REAL
 2467     UINT64_C(2759909376),	// LDNT1H_ZRI
 2468     UINT64_C(2759901184),	// LDNT1H_ZRR
 2469     UINT64_C(3296772096),	// LDNT1H_ZZR_D_REAL
 2470     UINT64_C(2223022080),	// LDNT1H_ZZR_S_REAL
 2471     UINT64_C(3288367104),	// LDNT1SB_ZZR_D_REAL
 2472     UINT64_C(2214625280),	// LDNT1SB_ZZR_S_REAL
 2473     UINT64_C(3296755712),	// LDNT1SH_ZZR_D_REAL
 2474     UINT64_C(2223013888),	// LDNT1SH_ZZR_S_REAL
 2475     UINT64_C(3305144320),	// LDNT1SW_ZZR_D_REAL
 2476     UINT64_C(2768297984),	// LDNT1W_ZRI
 2477     UINT64_C(2768289792),	// LDNT1W_ZRR
 2478     UINT64_C(3305160704),	// LDNT1W_ZZR_D_REAL
 2479     UINT64_C(2231410688),	// LDNT1W_ZZR_S_REAL
 2480     UINT64_C(1832910848),	// LDPDi
 2481     UINT64_C(1824522240),	// LDPDpost
 2482     UINT64_C(1841299456),	// LDPDpre
 2483     UINT64_C(2906652672),	// LDPQi
 2484     UINT64_C(2898264064),	// LDPQpost
 2485     UINT64_C(2915041280),	// LDPQpre
 2486     UINT64_C(1765801984),	// LDPSWi
 2487     UINT64_C(1757413376),	// LDPSWpost
 2488     UINT64_C(1774190592),	// LDPSWpre
 2489     UINT64_C(759169024),	// LDPSi
 2490     UINT64_C(750780416),	// LDPSpost
 2491     UINT64_C(767557632),	// LDPSpre
 2492     UINT64_C(692060160),	// LDPWi
 2493     UINT64_C(683671552),	// LDPWpost
 2494     UINT64_C(700448768),	// LDPWpre
 2495     UINT64_C(2839543808),	// LDPXi
 2496     UINT64_C(2831155200),	// LDPXpost
 2497     UINT64_C(2847932416),	// LDPXpre
 2498     UINT64_C(4162847744),	// LDRAAindexed
 2499     UINT64_C(4162849792),	// LDRAAwriteback
 2500     UINT64_C(4171236352),	// LDRABindexed
 2501     UINT64_C(4171238400),	// LDRABwriteback
 2502     UINT64_C(943719424),	// LDRBBpost
 2503     UINT64_C(943721472),	// LDRBBpre
 2504     UINT64_C(945833984),	// LDRBBroW
 2505     UINT64_C(945842176),	// LDRBBroX
 2506     UINT64_C(960495616),	// LDRBBui
 2507     UINT64_C(1010828288),	// LDRBpost
 2508     UINT64_C(1010830336),	// LDRBpre
 2509     UINT64_C(1012942848),	// LDRBroW
 2510     UINT64_C(1012951040),	// LDRBroX
 2511     UINT64_C(1027604480),	// LDRBui
 2512     UINT64_C(1543503872),	// LDRDl
 2513     UINT64_C(4232053760),	// LDRDpost
 2514     UINT64_C(4232055808),	// LDRDpre
 2515     UINT64_C(4234168320),	// LDRDroW
 2516     UINT64_C(4234176512),	// LDRDroX
 2517     UINT64_C(4248829952),	// LDRDui
 2518     UINT64_C(2017461248),	// LDRHHpost
 2519     UINT64_C(2017463296),	// LDRHHpre
 2520     UINT64_C(2019575808),	// LDRHHroW
 2521     UINT64_C(2019584000),	// LDRHHroX
 2522     UINT64_C(2034237440),	// LDRHHui
 2523     UINT64_C(2084570112),	// LDRHpost
 2524     UINT64_C(2084572160),	// LDRHpre
 2525     UINT64_C(2086684672),	// LDRHroW
 2526     UINT64_C(2086692864),	// LDRHroX
 2527     UINT64_C(2101346304),	// LDRHui
 2528     UINT64_C(2617245696),	// LDRQl
 2529     UINT64_C(1019216896),	// LDRQpost
 2530     UINT64_C(1019218944),	// LDRQpre
 2531     UINT64_C(1021331456),	// LDRQroW
 2532     UINT64_C(1021339648),	// LDRQroX
 2533     UINT64_C(1035993088),	// LDRQui
 2534     UINT64_C(952108032),	// LDRSBWpost
 2535     UINT64_C(952110080),	// LDRSBWpre
 2536     UINT64_C(954222592),	// LDRSBWroW
 2537     UINT64_C(954230784),	// LDRSBWroX
 2538     UINT64_C(968884224),	// LDRSBWui
 2539     UINT64_C(947913728),	// LDRSBXpost
 2540     UINT64_C(947915776),	// LDRSBXpre
 2541     UINT64_C(950028288),	// LDRSBXroW
 2542     UINT64_C(950036480),	// LDRSBXroX
 2543     UINT64_C(964689920),	// LDRSBXui
 2544     UINT64_C(2025849856),	// LDRSHWpost
 2545     UINT64_C(2025851904),	// LDRSHWpre
 2546     UINT64_C(2027964416),	// LDRSHWroW
 2547     UINT64_C(2027972608),	// LDRSHWroX
 2548     UINT64_C(2042626048),	// LDRSHWui
 2549     UINT64_C(2021655552),	// LDRSHXpost
 2550     UINT64_C(2021657600),	// LDRSHXpre
 2551     UINT64_C(2023770112),	// LDRSHXroW
 2552     UINT64_C(2023778304),	// LDRSHXroX
 2553     UINT64_C(2038431744),	// LDRSHXui
 2554     UINT64_C(2550136832),	// LDRSWl
 2555     UINT64_C(3095397376),	// LDRSWpost
 2556     UINT64_C(3095399424),	// LDRSWpre
 2557     UINT64_C(3097511936),	// LDRSWroW
 2558     UINT64_C(3097520128),	// LDRSWroX
 2559     UINT64_C(3112173568),	// LDRSWui
 2560     UINT64_C(469762048),	// LDRSl
 2561     UINT64_C(3158311936),	// LDRSpost
 2562     UINT64_C(3158313984),	// LDRSpre
 2563     UINT64_C(3160426496),	// LDRSroW
 2564     UINT64_C(3160434688),	// LDRSroX
 2565     UINT64_C(3175088128),	// LDRSui
 2566     UINT64_C(402653184),	// LDRWl
 2567     UINT64_C(3091203072),	// LDRWpost
 2568     UINT64_C(3091205120),	// LDRWpre
 2569     UINT64_C(3093317632),	// LDRWroW
 2570     UINT64_C(3093325824),	// LDRWroX
 2571     UINT64_C(3107979264),	// LDRWui
 2572     UINT64_C(1476395008),	// LDRXl
 2573     UINT64_C(4164944896),	// LDRXpost
 2574     UINT64_C(4164946944),	// LDRXpre
 2575     UINT64_C(4167059456),	// LDRXroW
 2576     UINT64_C(4167067648),	// LDRXroX
 2577     UINT64_C(4181721088),	// LDRXui
 2578     UINT64_C(2239758336),	// LDR_PXI
 2579     UINT64_C(2239774720),	// LDR_ZXI
 2580     UINT64_C(950022144),	// LDSETAB
 2581     UINT64_C(2023763968),	// LDSETAH
 2582     UINT64_C(954216448),	// LDSETALB
 2583     UINT64_C(2027958272),	// LDSETALH
 2584     UINT64_C(3101700096),	// LDSETALW
 2585     UINT64_C(4175441920),	// LDSETALX
 2586     UINT64_C(3097505792),	// LDSETAW
 2587     UINT64_C(4171247616),	// LDSETAX
 2588     UINT64_C(941633536),	// LDSETB
 2589     UINT64_C(2015375360),	// LDSETH
 2590     UINT64_C(945827840),	// LDSETLB
 2591     UINT64_C(2019569664),	// LDSETLH
 2592     UINT64_C(3093311488),	// LDSETLW
 2593     UINT64_C(4167053312),	// LDSETLX
 2594     UINT64_C(3089117184),	// LDSETW
 2595     UINT64_C(4162859008),	// LDSETX
 2596     UINT64_C(950026240),	// LDSMAXAB
 2597     UINT64_C(2023768064),	// LDSMAXAH
 2598     UINT64_C(954220544),	// LDSMAXALB
 2599     UINT64_C(2027962368),	// LDSMAXALH
 2600     UINT64_C(3101704192),	// LDSMAXALW
 2601     UINT64_C(4175446016),	// LDSMAXALX
 2602     UINT64_C(3097509888),	// LDSMAXAW
 2603     UINT64_C(4171251712),	// LDSMAXAX
 2604     UINT64_C(941637632),	// LDSMAXB
 2605     UINT64_C(2015379456),	// LDSMAXH
 2606     UINT64_C(945831936),	// LDSMAXLB
 2607     UINT64_C(2019573760),	// LDSMAXLH
 2608     UINT64_C(3093315584),	// LDSMAXLW
 2609     UINT64_C(4167057408),	// LDSMAXLX
 2610     UINT64_C(3089121280),	// LDSMAXW
 2611     UINT64_C(4162863104),	// LDSMAXX
 2612     UINT64_C(950030336),	// LDSMINAB
 2613     UINT64_C(2023772160),	// LDSMINAH
 2614     UINT64_C(954224640),	// LDSMINALB
 2615     UINT64_C(2027966464),	// LDSMINALH
 2616     UINT64_C(3101708288),	// LDSMINALW
 2617     UINT64_C(4175450112),	// LDSMINALX
 2618     UINT64_C(3097513984),	// LDSMINAW
 2619     UINT64_C(4171255808),	// LDSMINAX
 2620     UINT64_C(941641728),	// LDSMINB
 2621     UINT64_C(2015383552),	// LDSMINH
 2622     UINT64_C(945836032),	// LDSMINLB
 2623     UINT64_C(2019577856),	// LDSMINLH
 2624     UINT64_C(3093319680),	// LDSMINLW
 2625     UINT64_C(4167061504),	// LDSMINLX
 2626     UINT64_C(3089125376),	// LDSMINW
 2627     UINT64_C(4162867200),	// LDSMINX
 2628     UINT64_C(943720448),	// LDTRBi
 2629     UINT64_C(2017462272),	// LDTRHi
 2630     UINT64_C(952109056),	// LDTRSBWi
 2631     UINT64_C(947914752),	// LDTRSBXi
 2632     UINT64_C(2025850880),	// LDTRSHWi
 2633     UINT64_C(2021656576),	// LDTRSHXi
 2634     UINT64_C(3095398400),	// LDTRSWi
 2635     UINT64_C(3091204096),	// LDTRWi
 2636     UINT64_C(4164945920),	// LDTRXi
 2637     UINT64_C(950034432),	// LDUMAXAB
 2638     UINT64_C(2023776256),	// LDUMAXAH
 2639     UINT64_C(954228736),	// LDUMAXALB
 2640     UINT64_C(2027970560),	// LDUMAXALH
 2641     UINT64_C(3101712384),	// LDUMAXALW
 2642     UINT64_C(4175454208),	// LDUMAXALX
 2643     UINT64_C(3097518080),	// LDUMAXAW
 2644     UINT64_C(4171259904),	// LDUMAXAX
 2645     UINT64_C(941645824),	// LDUMAXB
 2646     UINT64_C(2015387648),	// LDUMAXH
 2647     UINT64_C(945840128),	// LDUMAXLB
 2648     UINT64_C(2019581952),	// LDUMAXLH
 2649     UINT64_C(3093323776),	// LDUMAXLW
 2650     UINT64_C(4167065600),	// LDUMAXLX
 2651     UINT64_C(3089129472),	// LDUMAXW
 2652     UINT64_C(4162871296),	// LDUMAXX
 2653     UINT64_C(950038528),	// LDUMINAB
 2654     UINT64_C(2023780352),	// LDUMINAH
 2655     UINT64_C(954232832),	// LDUMINALB
 2656     UINT64_C(2027974656),	// LDUMINALH
 2657     UINT64_C(3101716480),	// LDUMINALW
 2658     UINT64_C(4175458304),	// LDUMINALX
 2659     UINT64_C(3097522176),	// LDUMINAW
 2660     UINT64_C(4171264000),	// LDUMINAX
 2661     UINT64_C(941649920),	// LDUMINB
 2662     UINT64_C(2015391744),	// LDUMINH
 2663     UINT64_C(945844224),	// LDUMINLB
 2664     UINT64_C(2019586048),	// LDUMINLH
 2665     UINT64_C(3093327872),	// LDUMINLW
 2666     UINT64_C(4167069696),	// LDUMINLX
 2667     UINT64_C(3089133568),	// LDUMINW
 2668     UINT64_C(4162875392),	// LDUMINX
 2669     UINT64_C(943718400),	// LDURBBi
 2670     UINT64_C(1010827264),	// LDURBi
 2671     UINT64_C(4232052736),	// LDURDi
 2672     UINT64_C(2017460224),	// LDURHHi
 2673     UINT64_C(2084569088),	// LDURHi
 2674     UINT64_C(1019215872),	// LDURQi
 2675     UINT64_C(952107008),	// LDURSBWi
 2676     UINT64_C(947912704),	// LDURSBXi
 2677     UINT64_C(2025848832),	// LDURSHWi
 2678     UINT64_C(2021654528),	// LDURSHXi
 2679     UINT64_C(3095396352),	// LDURSWi
 2680     UINT64_C(3158310912),	// LDURSi
 2681     UINT64_C(3091202048),	// LDURWi
 2682     UINT64_C(4164943872),	// LDURXi
 2683     UINT64_C(2287992832),	// LDXPW
 2684     UINT64_C(3361734656),	// LDXPX
 2685     UINT64_C(140475392),	// LDXRB
 2686     UINT64_C(1214217216),	// LDXRH
 2687     UINT64_C(2287959040),	// LDXRW
 2688     UINT64_C(3361700864),	// LDXRX
 2689     UINT64_C(0),	// LOADgot
 2690     UINT64_C(68648960),	// LSLR_ZPmZ_B
 2691     UINT64_C(81231872),	// LSLR_ZPmZ_D
 2692     UINT64_C(72843264),	// LSLR_ZPmZ_H
 2693     UINT64_C(77037568),	// LSLR_ZPmZ_S
 2694     UINT64_C(448798720),	// LSLVWr
 2695     UINT64_C(2596282368),	// LSLVXr
 2696     UINT64_C(68911104),	// LSL_WIDE_ZPmZ_B
 2697     UINT64_C(73105408),	// LSL_WIDE_ZPmZ_H
 2698     UINT64_C(77299712),	// LSL_WIDE_ZPmZ_S
 2699     UINT64_C(69241856),	// LSL_WIDE_ZZZ_B
 2700     UINT64_C(73436160),	// LSL_WIDE_ZZZ_H
 2701     UINT64_C(77630464),	// LSL_WIDE_ZZZ_S
 2702     UINT64_C(67338496),	// LSL_ZPmI_B
 2703     UINT64_C(75726848),	// LSL_ZPmI_D
 2704     UINT64_C(67338752),	// LSL_ZPmI_H
 2705     UINT64_C(71532544),	// LSL_ZPmI_S
 2706     UINT64_C(68386816),	// LSL_ZPmZ_B
 2707     UINT64_C(80969728),	// LSL_ZPmZ_D
 2708     UINT64_C(72581120),	// LSL_ZPmZ_H
 2709     UINT64_C(76775424),	// LSL_ZPmZ_S
 2710     UINT64_C(69770240),	// LSL_ZZI_B
 2711     UINT64_C(77634560),	// LSL_ZZI_D
 2712     UINT64_C(70294528),	// LSL_ZZI_H
 2713     UINT64_C(73440256),	// LSL_ZZI_S
 2714     UINT64_C(68517888),	// LSRR_ZPmZ_B
 2715     UINT64_C(81100800),	// LSRR_ZPmZ_D
 2716     UINT64_C(72712192),	// LSRR_ZPmZ_H
 2717     UINT64_C(76906496),	// LSRR_ZPmZ_S
 2718     UINT64_C(448799744),	// LSRVWr
 2719     UINT64_C(2596283392),	// LSRVXr
 2720     UINT64_C(68780032),	// LSR_WIDE_ZPmZ_B
 2721     UINT64_C(72974336),	// LSR_WIDE_ZPmZ_H
 2722     UINT64_C(77168640),	// LSR_WIDE_ZPmZ_S
 2723     UINT64_C(69239808),	// LSR_WIDE_ZZZ_B
 2724     UINT64_C(73434112),	// LSR_WIDE_ZZZ_H
 2725     UINT64_C(77628416),	// LSR_WIDE_ZZZ_S
 2726     UINT64_C(67207424),	// LSR_ZPmI_B
 2727     UINT64_C(75595776),	// LSR_ZPmI_D
 2728     UINT64_C(67207680),	// LSR_ZPmI_H
 2729     UINT64_C(71401472),	// LSR_ZPmI_S
 2730     UINT64_C(68255744),	// LSR_ZPmZ_B
 2731     UINT64_C(80838656),	// LSR_ZPmZ_D
 2732     UINT64_C(72450048),	// LSR_ZPmZ_H
 2733     UINT64_C(76644352),	// LSR_ZPmZ_S
 2734     UINT64_C(69768192),	// LSR_ZZI_B
 2735     UINT64_C(77632512),	// LSR_ZZI_D
 2736     UINT64_C(70292480),	// LSR_ZZI_H
 2737     UINT64_C(73438208),	// LSR_ZZI_S
 2738     UINT64_C(452984832),	// MADDWrrr
 2739     UINT64_C(2600468480),	// MADDXrrr
 2740     UINT64_C(67158016),	// MAD_ZPmZZ_B
 2741     UINT64_C(79740928),	// MAD_ZPmZZ_D
 2742     UINT64_C(71352320),	// MAD_ZPmZZ_H
 2743     UINT64_C(75546624),	// MAD_ZPmZZ_S
 2744     UINT64_C(1159757824),	// MATCH_PPzZZ_B
 2745     UINT64_C(1163952128),	// MATCH_PPzZZ_H
 2746     UINT64_C(67125248),	// MLA_ZPmZZ_B
 2747     UINT64_C(79708160),	// MLA_ZPmZZ_D
 2748     UINT64_C(71319552),	// MLA_ZPmZZ_H
 2749     UINT64_C(75513856),	// MLA_ZPmZZ_S
 2750     UINT64_C(1155532800),	// MLA_ZZZI_D
 2751     UINT64_C(1142949888),	// MLA_ZZZI_H
 2752     UINT64_C(1151338496),	// MLA_ZZZI_S
 2753     UINT64_C(1310757888),	// MLAv16i8
 2754     UINT64_C(245404672),	// MLAv2i32
 2755     UINT64_C(796917760),	// MLAv2i32_indexed
 2756     UINT64_C(241210368),	// MLAv4i16
 2757     UINT64_C(792723456),	// MLAv4i16_indexed
 2758     UINT64_C(1319146496),	// MLAv4i32
 2759     UINT64_C(1870659584),	// MLAv4i32_indexed
 2760     UINT64_C(1314952192),	// MLAv8i16
 2761     UINT64_C(1866465280),	// MLAv8i16_indexed
 2762     UINT64_C(237016064),	// MLAv8i8
 2763     UINT64_C(67133440),	// MLS_ZPmZZ_B
 2764     UINT64_C(79716352),	// MLS_ZPmZZ_D
 2765     UINT64_C(71327744),	// MLS_ZPmZZ_H
 2766     UINT64_C(75522048),	// MLS_ZPmZZ_S
 2767     UINT64_C(1155533824),	// MLS_ZZZI_D
 2768     UINT64_C(1142950912),	// MLS_ZZZI_H
 2769     UINT64_C(1151339520),	// MLS_ZZZI_S
 2770     UINT64_C(1847628800),	// MLSv16i8
 2771     UINT64_C(782275584),	// MLSv2i32
 2772     UINT64_C(796934144),	// MLSv2i32_indexed
 2773     UINT64_C(778081280),	// MLSv4i16
 2774     UINT64_C(792739840),	// MLSv4i16_indexed
 2775     UINT64_C(1856017408),	// MLSv4i32
 2776     UINT64_C(1870675968),	// MLSv4i32_indexed
 2777     UINT64_C(1851823104),	// MLSv8i16
 2778     UINT64_C(1866481664),	// MLSv8i16_indexed
 2779     UINT64_C(773886976),	// MLSv8i8
 2780     UINT64_C(788587520),	// MOVID
 2781     UINT64_C(1325458432),	// MOVIv16b_ns
 2782     UINT64_C(1862329344),	// MOVIv2d_ns
 2783     UINT64_C(251659264),	// MOVIv2i32
 2784     UINT64_C(251708416),	// MOVIv2s_msl
 2785     UINT64_C(251692032),	// MOVIv4i16
 2786     UINT64_C(1325401088),	// MOVIv4i32
 2787     UINT64_C(1325450240),	// MOVIv4s_msl
 2788     UINT64_C(251716608),	// MOVIv8b_ns
 2789     UINT64_C(1325433856),	// MOVIv8i16
 2790     UINT64_C(1920991232),	// MOVKWi
 2791     UINT64_C(4068474880),	// MOVKXi
 2792     UINT64_C(0),	// MOVMCSym
 2793     UINT64_C(310378496),	// MOVNWi
 2794     UINT64_C(2457862144),	// MOVNXi
 2795     UINT64_C(68231168),	// MOVPRFX_ZPmZ_B
 2796     UINT64_C(80814080),	// MOVPRFX_ZPmZ_D
 2797     UINT64_C(72425472),	// MOVPRFX_ZPmZ_H
 2798     UINT64_C(76619776),	// MOVPRFX_ZPmZ_S
 2799     UINT64_C(68165632),	// MOVPRFX_ZPzZ_B
 2800     UINT64_C(80748544),	// MOVPRFX_ZPzZ_D
 2801     UINT64_C(72359936),	// MOVPRFX_ZPzZ_H
 2802     UINT64_C(76554240),	// MOVPRFX_ZPzZ_S
 2803     UINT64_C(69254144),	// MOVPRFX_ZZ
 2804     UINT64_C(1384120320),	// MOVZWi
 2805     UINT64_C(3531603968),	// MOVZXi
 2806     UINT64_C(0),	// MOVaddr
 2807     UINT64_C(0),	// MOVaddrBA
 2808     UINT64_C(0),	// MOVaddrCP
 2809     UINT64_C(0),	// MOVaddrEXT
 2810     UINT64_C(0),	// MOVaddrJT
 2811     UINT64_C(0),	// MOVaddrTLS
 2812     UINT64_C(0),	// MOVbaseTLS
 2813     UINT64_C(0),	// MOVi32imm
 2814     UINT64_C(0),	// MOVi64imm
 2815     UINT64_C(3575644160),	// MRS
 2816     UINT64_C(67166208),	// MSB_ZPmZZ_B
 2817     UINT64_C(79749120),	// MSB_ZPmZZ_D
 2818     UINT64_C(71360512),	// MSB_ZPmZZ_H
 2819     UINT64_C(75554816),	// MSB_ZPmZZ_S
 2820     UINT64_C(3573547008),	// MSR
 2821     UINT64_C(3573563423),	// MSRpstateImm1
 2822     UINT64_C(3573563423),	// MSRpstateImm4
 2823     UINT64_C(453017600),	// MSUBWrrr
 2824     UINT64_C(2600501248),	// MSUBXrrr
 2825     UINT64_C(623951872),	// MUL_ZI_B
 2826     UINT64_C(636534784),	// MUL_ZI_D
 2827     UINT64_C(628146176),	// MUL_ZI_H
 2828     UINT64_C(632340480),	// MUL_ZI_S
 2829     UINT64_C(68157440),	// MUL_ZPmZ_B
 2830     UINT64_C(80740352),	// MUL_ZPmZ_D
 2831     UINT64_C(72351744),	// MUL_ZPmZ_H
 2832     UINT64_C(76546048),	// MUL_ZPmZ_S
 2833     UINT64_C(1155594240),	// MUL_ZZZI_D
 2834     UINT64_C(1143011328),	// MUL_ZZZI_H
 2835     UINT64_C(1151399936),	// MUL_ZZZI_S
 2836     UINT64_C(69230592),	// MUL_ZZZ_B
 2837     UINT64_C(81813504),	// MUL_ZZZ_D
 2838     UINT64_C(73424896),	// MUL_ZZZ_H
 2839     UINT64_C(77619200),	// MUL_ZZZ_S
 2840     UINT64_C(1310759936),	// MULv16i8
 2841     UINT64_C(245406720),	// MULv2i32
 2842     UINT64_C(260079616),	// MULv2i32_indexed
 2843     UINT64_C(241212416),	// MULv4i16
 2844     UINT64_C(255885312),	// MULv4i16_indexed
 2845     UINT64_C(1319148544),	// MULv4i32
 2846     UINT64_C(1333821440),	// MULv4i32_indexed
 2847     UINT64_C(1314954240),	// MULv8i16
 2848     UINT64_C(1329627136),	// MULv8i16_indexed
 2849     UINT64_C(237018112),	// MULv8i8
 2850     UINT64_C(788530176),	// MVNIv2i32
 2851     UINT64_C(788579328),	// MVNIv2s_msl
 2852     UINT64_C(788562944),	// MVNIv4i16
 2853     UINT64_C(1862272000),	// MVNIv4i32
 2854     UINT64_C(1862321152),	// MVNIv4s_msl
 2855     UINT64_C(1862304768),	// MVNIv8i16
 2856     UINT64_C(633356816),	// NANDS_PPzPP
 2857     UINT64_C(629162512),	// NAND_PPzPP
 2858     UINT64_C(81804288),	// NBSL_ZZZZ_D
 2859     UINT64_C(68657152),	// NEG_ZPmZ_B
 2860     UINT64_C(81240064),	// NEG_ZPmZ_D
 2861     UINT64_C(72851456),	// NEG_ZPmZ_H
 2862     UINT64_C(77045760),	// NEG_ZPmZ_S
 2863     UINT64_C(1847638016),	// NEGv16i8
 2864     UINT64_C(2128656384),	// NEGv1i64
 2865     UINT64_C(782284800),	// NEGv2i32
 2866     UINT64_C(1860220928),	// NEGv2i64
 2867     UINT64_C(778090496),	// NEGv4i16
 2868     UINT64_C(1856026624),	// NEGv4i32
 2869     UINT64_C(1851832320),	// NEGv8i16
 2870     UINT64_C(773896192),	// NEGv8i8
 2871     UINT64_C(1159757840),	// NMATCH_PPzZZ_B
 2872     UINT64_C(1163952144),	// NMATCH_PPzZZ_H
 2873     UINT64_C(633356800),	// NORS_PPzPP
 2874     UINT64_C(629162496),	// NOR_PPzPP
 2875     UINT64_C(69115904),	// NOT_ZPmZ_B
 2876     UINT64_C(81698816),	// NOT_ZPmZ_D
 2877     UINT64_C(73310208),	// NOT_ZPmZ_H
 2878     UINT64_C(77504512),	// NOT_ZPmZ_S
 2879     UINT64_C(1847613440),	// NOTv16i8
 2880     UINT64_C(773871616),	// NOTv8i8
 2881     UINT64_C(633356304),	// ORNS_PPzPP
 2882     UINT64_C(0),	// ORNWrr
 2883     UINT64_C(706740224),	// ORNWrs
 2884     UINT64_C(0),	// ORNXrr
 2885     UINT64_C(2854223872),	// ORNXrs
 2886     UINT64_C(629162000),	// ORN_PPzPP
 2887     UINT64_C(1323310080),	// ORNv16i8
 2888     UINT64_C(249568256),	// ORNv8i8
 2889     UINT64_C(633356288),	// ORRS_PPzPP
 2890     UINT64_C(838860800),	// ORRWri
 2891     UINT64_C(0),	// ORRWrr
 2892     UINT64_C(704643072),	// ORRWrs
 2893     UINT64_C(2986344448),	// ORRXri
 2894     UINT64_C(0),	// ORRXrr
 2895     UINT64_C(2852126720),	// ORRXrs
 2896     UINT64_C(629161984),	// ORR_PPzPP
 2897     UINT64_C(83886080),	// ORR_ZI
 2898     UINT64_C(68681728),	// ORR_ZPmZ_B
 2899     UINT64_C(81264640),	// ORR_ZPmZ_D
 2900     UINT64_C(72876032),	// ORR_ZPmZ_H
 2901     UINT64_C(77070336),	// ORR_ZPmZ_S
 2902     UINT64_C(73412608),	// ORR_ZZZ
 2903     UINT64_C(1319115776),	// ORRv16i8
 2904     UINT64_C(251663360),	// ORRv2i32
 2905     UINT64_C(251696128),	// ORRv4i16
 2906     UINT64_C(1325405184),	// ORRv4i32
 2907     UINT64_C(1325437952),	// ORRv8i16
 2908     UINT64_C(245373952),	// ORRv8i8
 2909     UINT64_C(68689920),	// ORV_VPZ_B
 2910     UINT64_C(81272832),	// ORV_VPZ_D
 2911     UINT64_C(72884224),	// ORV_VPZ_H
 2912     UINT64_C(77078528),	// ORV_VPZ_S
 2913     UINT64_C(3670083584),	// PACDA
 2914     UINT64_C(3670084608),	// PACDB
 2915     UINT64_C(3670092768),	// PACDZA
 2916     UINT64_C(3670093792),	// PACDZB
 2917     UINT64_C(2596286464),	// PACGA
 2918     UINT64_C(3670081536),	// PACIA
 2919     UINT64_C(3573752095),	// PACIA1716
 2920     UINT64_C(3573752639),	// PACIASP
 2921     UINT64_C(3573752607),	// PACIAZ
 2922     UINT64_C(3670082560),	// PACIB
 2923     UINT64_C(3573752159),	// PACIB1716
 2924     UINT64_C(3573752703),	// PACIBSP
 2925     UINT64_C(3573752671),	// PACIBZ
 2926     UINT64_C(3670090720),	// PACIZA
 2927     UINT64_C(3670091744),	// PACIZB
 2928     UINT64_C(622388224),	// PFALSE
 2929     UINT64_C(1170237440),	// PMULLB_ZZZ_D
 2930     UINT64_C(1161848832),	// PMULLB_ZZZ_H
 2931     UINT64_C(1157654528),	// PMULLB_ZZZ_Q
 2932     UINT64_C(1170238464),	// PMULLT_ZZZ_D
 2933     UINT64_C(1161849856),	// PMULLT_ZZZ_H
 2934     UINT64_C(1157655552),	// PMULLT_ZZZ_Q
 2935     UINT64_C(1310777344),	// PMULLv16i8
 2936     UINT64_C(249618432),	// PMULLv1i64
 2937     UINT64_C(1323360256),	// PMULLv2i64
 2938     UINT64_C(237035520),	// PMULLv8i8
 2939     UINT64_C(69231616),	// PMUL_ZZZ_B
 2940     UINT64_C(1847630848),	// PMULv16i8
 2941     UINT64_C(773889024),	// PMULv8i8
 2942     UINT64_C(622445568),	// PNEXT_B
 2943     UINT64_C(635028480),	// PNEXT_D
 2944     UINT64_C(626639872),	// PNEXT_H
 2945     UINT64_C(630834176),	// PNEXT_S
 2946     UINT64_C(3288391680),	// PRFB_D_PZI
 2947     UINT64_C(3294658560),	// PRFB_D_SCALED
 2948     UINT64_C(3294625792),	// PRFB_D_SXTW_SCALED
 2949     UINT64_C(3290431488),	// PRFB_D_UXTW_SCALED
 2950     UINT64_C(2243952640),	// PRFB_PRI
 2951     UINT64_C(2214641664),	// PRFB_PRR
 2952     UINT64_C(2214649856),	// PRFB_S_PZI
 2953     UINT64_C(2220883968),	// PRFB_S_SXTW_SCALED
 2954     UINT64_C(2216689664),	// PRFB_S_UXTW_SCALED
 2955     UINT64_C(3313557504),	// PRFD_D_PZI
 2956     UINT64_C(3294683136),	// PRFD_D_SCALED
 2957     UINT64_C(3294650368),	// PRFD_D_SXTW_SCALED
 2958     UINT64_C(3290456064),	// PRFD_D_UXTW_SCALED
 2959     UINT64_C(2243977216),	// PRFD_PRI
 2960     UINT64_C(2239807488),	// PRFD_PRR
 2961     UINT64_C(2239815680),	// PRFD_S_PZI
 2962     UINT64_C(2220908544),	// PRFD_S_SXTW_SCALED
 2963     UINT64_C(2216714240),	// PRFD_S_UXTW_SCALED
 2964     UINT64_C(3296780288),	// PRFH_D_PZI
 2965     UINT64_C(3294666752),	// PRFH_D_SCALED
 2966     UINT64_C(3294633984),	// PRFH_D_SXTW_SCALED
 2967     UINT64_C(3290439680),	// PRFH_D_UXTW_SCALED
 2968     UINT64_C(2243960832),	// PRFH_PRI
 2969     UINT64_C(2223030272),	// PRFH_PRR
 2970     UINT64_C(2223038464),	// PRFH_S_PZI
 2971     UINT64_C(2220892160),	// PRFH_S_SXTW_SCALED
 2972     UINT64_C(2216697856),	// PRFH_S_UXTW_SCALED
 2973     UINT64_C(3623878656),	// PRFMl
 2974     UINT64_C(4171253760),	// PRFMroW
 2975     UINT64_C(4171261952),	// PRFMroX
 2976     UINT64_C(4185915392),	// PRFMui
 2977     UINT64_C(2231418880),	// PRFS_PRR
 2978     UINT64_C(4169138176),	// PRFUMi
 2979     UINT64_C(3305168896),	// PRFW_D_PZI
 2980     UINT64_C(3294674944),	// PRFW_D_SCALED
 2981     UINT64_C(3294642176),	// PRFW_D_SXTW_SCALED
 2982     UINT64_C(3290447872),	// PRFW_D_UXTW_SCALED
 2983     UINT64_C(2243969024),	// PRFW_PRI
 2984     UINT64_C(2231427072),	// PRFW_S_PZI
 2985     UINT64_C(2220900352),	// PRFW_S_SXTW_SCALED
 2986     UINT64_C(2216706048),	// PRFW_S_UXTW_SCALED
 2987     UINT64_C(626049024),	// PTEST_PP
 2988     UINT64_C(622452736),	// PTRUES_B
 2989     UINT64_C(635035648),	// PTRUES_D
 2990     UINT64_C(626647040),	// PTRUES_H
 2991     UINT64_C(630841344),	// PTRUES_S
 2992     UINT64_C(622387200),	// PTRUE_B
 2993     UINT64_C(634970112),	// PTRUE_D
 2994     UINT64_C(626581504),	// PTRUE_H
 2995     UINT64_C(630775808),	// PTRUE_S
 2996     UINT64_C(87113728),	// PUNPKHI_PP
 2997     UINT64_C(87048192),	// PUNPKLO_PP
 2998     UINT64_C(1163945984),	// RADDHNB_ZZZ_B
 2999     UINT64_C(1168140288),	// RADDHNB_ZZZ_H
 3000     UINT64_C(1172334592),	// RADDHNB_ZZZ_S
 3001     UINT64_C(1163947008),	// RADDHNT_ZZZ_B
 3002     UINT64_C(1168141312),	// RADDHNT_ZZZ_H
 3003     UINT64_C(1172335616),	// RADDHNT_ZZZ_S
 3004     UINT64_C(782254080),	// RADDHNv2i64_v2i32
 3005     UINT64_C(1855995904),	// RADDHNv2i64_v4i32
 3006     UINT64_C(778059776),	// RADDHNv4i32_v4i16
 3007     UINT64_C(1851801600),	// RADDHNv4i32_v8i16
 3008     UINT64_C(1847607296),	// RADDHNv8i16_v16i8
 3009     UINT64_C(773865472),	// RADDHNv8i16_v8i8
 3010     UINT64_C(3462433792),	// RAX1
 3011     UINT64_C(1159787520),	// RAX1_ZZZ_D
 3012     UINT64_C(1522532352),	// RBITWr
 3013     UINT64_C(3670016000),	// RBITXr
 3014     UINT64_C(86474752),	// RBIT_ZPmZ_B
 3015     UINT64_C(99057664),	// RBIT_ZPmZ_D
 3016     UINT64_C(90669056),	// RBIT_ZPmZ_H
 3017     UINT64_C(94863360),	// RBIT_ZPmZ_S
 3018     UINT64_C(1851807744),	// RBITv16i8
 3019     UINT64_C(778065920),	// RBITv8i8
 3020     UINT64_C(626585600),	// RDFFRS_PPz
 3021     UINT64_C(622456832),	// RDFFR_P
 3022     UINT64_C(622391296),	// RDFFR_PPz
 3023     UINT64_C(79646720),	// RDVLI_XI
 3024     UINT64_C(3596550144),	// RET
 3025     UINT64_C(3596553215),	// RETAA
 3026     UINT64_C(3596554239),	// RETAB
 3027     UINT64_C(0),	// RET_ReallyLR
 3028     UINT64_C(1522533376),	// REV16Wr
 3029     UINT64_C(3670017024),	// REV16Xr
 3030     UINT64_C(1310726144),	// REV16v16i8
 3031     UINT64_C(236984320),	// REV16v8i8
 3032     UINT64_C(3670018048),	// REV32Xr
 3033     UINT64_C(1847592960),	// REV32v16i8
 3034     UINT64_C(778045440),	// REV32v4i16
 3035     UINT64_C(1851787264),	// REV32v8i16
 3036     UINT64_C(773851136),	// REV32v8i8
 3037     UINT64_C(1310722048),	// REV64v16i8
 3038     UINT64_C(245368832),	// REV64v2i32
 3039     UINT64_C(241174528),	// REV64v4i16
 3040     UINT64_C(1319110656),	// REV64v4i32
 3041     UINT64_C(1314916352),	// REV64v8i16
 3042     UINT64_C(236980224),	// REV64v8i8
 3043     UINT64_C(98861056),	// REVB_ZPmZ_D
 3044     UINT64_C(90472448),	// REVB_ZPmZ_H
 3045     UINT64_C(94666752),	// REVB_ZPmZ_S
 3046     UINT64_C(98926592),	// REVH_ZPmZ_D
 3047     UINT64_C(94732288),	// REVH_ZPmZ_S
 3048     UINT64_C(98992128),	// REVW_ZPmZ_D
 3049     UINT64_C(1522534400),	// REVWr
 3050     UINT64_C(3670019072),	// REVXr
 3051     UINT64_C(87310336),	// REV_PP_B
 3052     UINT64_C(99893248),	// REV_PP_D
 3053     UINT64_C(91504640),	// REV_PP_H
 3054     UINT64_C(95698944),	// REV_PP_S
 3055     UINT64_C(87570432),	// REV_ZZ_B
 3056     UINT64_C(100153344),	// REV_ZZ_D
 3057     UINT64_C(91764736),	// REV_ZZ_H
 3058     UINT64_C(95959040),	// REV_ZZ_S
 3059     UINT64_C(3120563200),	// RMIF
 3060     UINT64_C(448801792),	// RORVWr
 3061     UINT64_C(2596285440),	// RORVXr
 3062     UINT64_C(1160255488),	// RSHRNB_ZZI_B
 3063     UINT64_C(1160779776),	// RSHRNB_ZZI_H
 3064     UINT64_C(1163925504),	// RSHRNB_ZZI_S
 3065     UINT64_C(1160256512),	// RSHRNT_ZZI_B
 3066     UINT64_C(1160780800),	// RSHRNT_ZZI_H
 3067     UINT64_C(1163926528),	// RSHRNT_ZZI_S
 3068     UINT64_C(1325960192),	// RSHRNv16i8_shift
 3069     UINT64_C(253791232),	// RSHRNv2i32_shift
 3070     UINT64_C(252742656),	// RSHRNv4i16_shift
 3071     UINT64_C(1327533056),	// RSHRNv4i32_shift
 3072     UINT64_C(1326484480),	// RSHRNv8i16_shift
 3073     UINT64_C(252218368),	// RSHRNv8i8_shift
 3074     UINT64_C(1163950080),	// RSUBHNB_ZZZ_B
 3075     UINT64_C(1168144384),	// RSUBHNB_ZZZ_H
 3076     UINT64_C(1172338688),	// RSUBHNB_ZZZ_S
 3077     UINT64_C(1163951104),	// RSUBHNT_ZZZ_B
 3078     UINT64_C(1168145408),	// RSUBHNT_ZZZ_H
 3079     UINT64_C(1172339712),	// RSUBHNT_ZZZ_S
 3080     UINT64_C(782262272),	// RSUBHNv2i64_v2i32
 3081     UINT64_C(1856004096),	// RSUBHNv2i64_v4i32
 3082     UINT64_C(778067968),	// RSUBHNv4i32_v4i16
 3083     UINT64_C(1851809792),	// RSUBHNv4i32_v8i16
 3084     UINT64_C(1847615488),	// RSUBHNv8i16_v16i8
 3085     UINT64_C(773873664),	// RSUBHNv8i16_v8i8
 3086     UINT64_C(1170259968),	// SABALB_ZZZ_D
 3087     UINT64_C(1161871360),	// SABALB_ZZZ_H
 3088     UINT64_C(1166065664),	// SABALB_ZZZ_S
 3089     UINT64_C(1170260992),	// SABALT_ZZZ_D
 3090     UINT64_C(1161872384),	// SABALT_ZZZ_H
 3091     UINT64_C(1166066688),	// SABALT_ZZZ_S
 3092     UINT64_C(1310740480),	// SABALv16i8_v8i16
 3093     UINT64_C(245387264),	// SABALv2i32_v2i64
 3094     UINT64_C(241192960),	// SABALv4i16_v4i32
 3095     UINT64_C(1319129088),	// SABALv4i32_v2i64
 3096     UINT64_C(1314934784),	// SABALv8i16_v4i32
 3097     UINT64_C(236998656),	// SABALv8i8_v8i16
 3098     UINT64_C(1157691392),	// SABA_ZZZ_B
 3099     UINT64_C(1170274304),	// SABA_ZZZ_D
 3100     UINT64_C(1161885696),	// SABA_ZZZ_H
 3101     UINT64_C(1166080000),	// SABA_ZZZ_S
 3102     UINT64_C(1310751744),	// SABAv16i8
 3103     UINT64_C(245398528),	// SABAv2i32
 3104     UINT64_C(241204224),	// SABAv4i16
 3105     UINT64_C(1319140352),	// SABAv4i32
 3106     UINT64_C(1314946048),	// SABAv8i16
 3107     UINT64_C(237009920),	// SABAv8i8
 3108     UINT64_C(1170223104),	// SABDLB_ZZZ_D
 3109     UINT64_C(1161834496),	// SABDLB_ZZZ_H
 3110     UINT64_C(1166028800),	// SABDLB_ZZZ_S
 3111     UINT64_C(1170224128),	// SABDLT_ZZZ_D
 3112     UINT64_C(1161835520),	// SABDLT_ZZZ_H
 3113     UINT64_C(1166029824),	// SABDLT_ZZZ_S
 3114     UINT64_C(1310748672),	// SABDLv16i8_v8i16
 3115     UINT64_C(245395456),	// SABDLv2i32_v2i64
 3116     UINT64_C(241201152),	// SABDLv4i16_v4i32
 3117     UINT64_C(1319137280),	// SABDLv4i32_v2i64
 3118     UINT64_C(1314942976),	// SABDLv8i16_v4i32
 3119     UINT64_C(237006848),	// SABDLv8i8_v8i16
 3120     UINT64_C(67895296),	// SABD_ZPmZ_B
 3121     UINT64_C(80478208),	// SABD_ZPmZ_D
 3122     UINT64_C(72089600),	// SABD_ZPmZ_H
 3123     UINT64_C(76283904),	// SABD_ZPmZ_S
 3124     UINT64_C(1310749696),	// SABDv16i8
 3125     UINT64_C(245396480),	// SABDv2i32
 3126     UINT64_C(241202176),	// SABDv4i16
 3127     UINT64_C(1319138304),	// SABDv4i32
 3128     UINT64_C(1314944000),	// SABDv8i16
 3129     UINT64_C(237007872),	// SABDv8i8
 3130     UINT64_C(1153736704),	// SADALP_ZPmZ_D
 3131     UINT64_C(1145348096),	// SADALP_ZPmZ_H
 3132     UINT64_C(1149542400),	// SADALP_ZPmZ_S
 3133     UINT64_C(1310746624),	// SADALPv16i8_v8i16
 3134     UINT64_C(245393408),	// SADALPv2i32_v1i64
 3135     UINT64_C(241199104),	// SADALPv4i16_v2i32
 3136     UINT64_C(1319135232),	// SADALPv4i32_v2i64
 3137     UINT64_C(1314940928),	// SADALPv8i16_v4i32
 3138     UINT64_C(237004800),	// SADALPv8i8_v4i16
 3139     UINT64_C(1170243584),	// SADDLBT_ZZZ_D
 3140     UINT64_C(1161854976),	// SADDLBT_ZZZ_H
 3141     UINT64_C(1166049280),	// SADDLBT_ZZZ_S
 3142     UINT64_C(1170210816),	// SADDLB_ZZZ_D
 3143     UINT64_C(1161822208),	// SADDLB_ZZZ_H
 3144     UINT64_C(1166016512),	// SADDLB_ZZZ_S
 3145     UINT64_C(1310730240),	// SADDLPv16i8_v8i16
 3146     UINT64_C(245377024),	// SADDLPv2i32_v1i64
 3147     UINT64_C(241182720),	// SADDLPv4i16_v2i32
 3148     UINT64_C(1319118848),	// SADDLPv4i32_v2i64
 3149     UINT64_C(1314924544),	// SADDLPv8i16_v4i32
 3150     UINT64_C(236988416),	// SADDLPv8i8_v4i16
 3151     UINT64_C(1170211840),	// SADDLT_ZZZ_D
 3152     UINT64_C(1161823232),	// SADDLT_ZZZ_H
 3153     UINT64_C(1166017536),	// SADDLT_ZZZ_S
 3154     UINT64_C(1311782912),	// SADDLVv16i8v
 3155     UINT64_C(242235392),	// SADDLVv4i16v
 3156     UINT64_C(1320171520),	// SADDLVv4i32v
 3157     UINT64_C(1315977216),	// SADDLVv8i16v
 3158     UINT64_C(238041088),	// SADDLVv8i8v
 3159     UINT64_C(1310720000),	// SADDLv16i8_v8i16
 3160     UINT64_C(245366784),	// SADDLv2i32_v2i64
 3161     UINT64_C(241172480),	// SADDLv4i16_v4i32
 3162     UINT64_C(1319108608),	// SADDLv4i32_v2i64
 3163     UINT64_C(1314914304),	// SADDLv8i16_v4i32
 3164     UINT64_C(236978176),	// SADDLv8i8_v8i16
 3165     UINT64_C(67117056),	// SADDV_VPZ_B
 3166     UINT64_C(71311360),	// SADDV_VPZ_H
 3167     UINT64_C(75505664),	// SADDV_VPZ_S
 3168     UINT64_C(1170227200),	// SADDWB_ZZZ_D
 3169     UINT64_C(1161838592),	// SADDWB_ZZZ_H
 3170     UINT64_C(1166032896),	// SADDWB_ZZZ_S
 3171     UINT64_C(1170228224),	// SADDWT_ZZZ_D
 3172     UINT64_C(1161839616),	// SADDWT_ZZZ_H
 3173     UINT64_C(1166033920),	// SADDWT_ZZZ_S
 3174     UINT64_C(1310724096),	// SADDWv16i8_v8i16
 3175     UINT64_C(245370880),	// SADDWv2i32_v2i64
 3176     UINT64_C(241176576),	// SADDWv4i16_v4i32
 3177     UINT64_C(1319112704),	// SADDWv4i32_v2i64
 3178     UINT64_C(1314918400),	// SADDWv8i16_v4i32
 3179     UINT64_C(236982272),	// SADDWv8i8_v8i16
 3180     UINT64_C(3573756159),	// SB
 3181     UINT64_C(1170264064),	// SBCLB_ZZZ_D
 3182     UINT64_C(1166069760),	// SBCLB_ZZZ_S
 3183     UINT64_C(1170265088),	// SBCLT_ZZZ_D
 3184     UINT64_C(1166070784),	// SBCLT_ZZZ_S
 3185     UINT64_C(2046820352),	// SBCSWr
 3186     UINT64_C(4194304000),	// SBCSXr
 3187     UINT64_C(1509949440),	// SBCWr
 3188     UINT64_C(3657433088),	// SBCXr
 3189     UINT64_C(318767104),	// SBFMWri
 3190     UINT64_C(2470445056),	// SBFMXri
 3191     UINT64_C(507674624),	// SCVTFSWDri
 3192     UINT64_C(516063232),	// SCVTFSWHri
 3193     UINT64_C(503480320),	// SCVTFSWSri
 3194     UINT64_C(2655125504),	// SCVTFSXDri
 3195     UINT64_C(2663514112),	// SCVTFSXHri
 3196     UINT64_C(2650931200),	// SCVTFSXSri
 3197     UINT64_C(509739008),	// SCVTFUWDri
 3198     UINT64_C(518127616),	// SCVTFUWHri
 3199     UINT64_C(505544704),	// SCVTFUWSri
 3200     UINT64_C(2657222656),	// SCVTFUXDri
 3201     UINT64_C(2665611264),	// SCVTFUXHri
 3202     UINT64_C(2653028352),	// SCVTFUXSri
 3203     UINT64_C(1708564480),	// SCVTF_ZPmZ_DtoD
 3204     UINT64_C(1700175872),	// SCVTF_ZPmZ_DtoH
 3205     UINT64_C(1708433408),	// SCVTF_ZPmZ_DtoS
 3206     UINT64_C(1699913728),	// SCVTF_ZPmZ_HtoH
 3207     UINT64_C(1708171264),	// SCVTF_ZPmZ_StoD
 3208     UINT64_C(1700044800),	// SCVTF_ZPmZ_StoH
 3209     UINT64_C(1704239104),	// SCVTF_ZPmZ_StoS
 3210     UINT64_C(1598088192),	// SCVTFd
 3211     UINT64_C(1594942464),	// SCVTFh
 3212     UINT64_C(1595991040),	// SCVTFs
 3213     UINT64_C(1585043456),	// SCVTFv1i16
 3214     UINT64_C(1579276288),	// SCVTFv1i32
 3215     UINT64_C(1583470592),	// SCVTFv1i64
 3216     UINT64_C(237099008),	// SCVTFv2f32
 3217     UINT64_C(1315035136),	// SCVTFv2f64
 3218     UINT64_C(253813760),	// SCVTFv2i32_shift
 3219     UINT64_C(1329652736),	// SCVTFv2i64_shift
 3220     UINT64_C(242866176),	// SCVTFv4f16
 3221     UINT64_C(1310840832),	// SCVTFv4f32
 3222     UINT64_C(252765184),	// SCVTFv4i16_shift
 3223     UINT64_C(1327555584),	// SCVTFv4i32_shift
 3224     UINT64_C(1316608000),	// SCVTFv8f16
 3225     UINT64_C(1326507008),	// SCVTFv8i16_shift
 3226     UINT64_C(81133568),	// SDIVR_ZPmZ_D
 3227     UINT64_C(76939264),	// SDIVR_ZPmZ_S
 3228     UINT64_C(448793600),	// SDIVWr
 3229     UINT64_C(2596277248),	// SDIVXr
 3230     UINT64_C(81002496),	// SDIV_ZPmZ_D
 3231     UINT64_C(76808192),	// SDIV_ZPmZ_S
 3232     UINT64_C(1155530752),	// SDOT_ZZZI_D
 3233     UINT64_C(1151336448),	// SDOT_ZZZI_S
 3234     UINT64_C(1153433600),	// SDOT_ZZZ_D
 3235     UINT64_C(1149239296),	// SDOT_ZZZ_S
 3236     UINT64_C(1333846016),	// SDOTlanev16i8
 3237     UINT64_C(260104192),	// SDOTlanev8i8
 3238     UINT64_C(1317049344),	// SDOTv16i8
 3239     UINT64_C(243307520),	// SDOTv8i8
 3240     UINT64_C(620773904),	// SEL_PPPP
 3241     UINT64_C(86032384),	// SEL_ZPZZ_B
 3242     UINT64_C(98615296),	// SEL_ZPZZ_D
 3243     UINT64_C(90226688),	// SEL_ZPZZ_H
 3244     UINT64_C(94420992),	// SEL_ZPZZ_S
 3245     UINT64_C(973096973),	// SETF16
 3246     UINT64_C(973080589),	// SETF8
 3247     UINT64_C(623677440),	// SETFFR
 3248     UINT64_C(1577058304),	// SHA1Crrr
 3249     UINT64_C(1579681792),	// SHA1Hrr
 3250     UINT64_C(1577066496),	// SHA1Mrrr
 3251     UINT64_C(1577062400),	// SHA1Prrr
 3252     UINT64_C(1577070592),	// SHA1SU0rrr
 3253     UINT64_C(1579685888),	// SHA1SU1rr
 3254     UINT64_C(1577078784),	// SHA256H2rrr
 3255     UINT64_C(1577074688),	// SHA256Hrrr
 3256     UINT64_C(1579689984),	// SHA256SU0rr
 3257     UINT64_C(1577082880),	// SHA256SU1rrr
 3258     UINT64_C(3462430720),	// SHA512H
 3259     UINT64_C(3462431744),	// SHA512H2
 3260     UINT64_C(3468722176),	// SHA512SU0
 3261     UINT64_C(3462432768),	// SHA512SU1
 3262     UINT64_C(1141932032),	// SHADD_ZPmZ_B
 3263     UINT64_C(1154514944),	// SHADD_ZPmZ_D
 3264     UINT64_C(1146126336),	// SHADD_ZPmZ_H
 3265     UINT64_C(1150320640),	// SHADD_ZPmZ_S
 3266     UINT64_C(1310721024),	// SHADDv16i8
 3267     UINT64_C(245367808),	// SHADDv2i32
 3268     UINT64_C(241173504),	// SHADDv4i16
 3269     UINT64_C(1319109632),	// SHADDv4i32
 3270     UINT64_C(1314915328),	// SHADDv8i16
 3271     UINT64_C(236979200),	// SHADDv8i8
 3272     UINT64_C(1847670784),	// SHLLv16i8
 3273     UINT64_C(782317568),	// SHLLv2i32
 3274     UINT64_C(778123264),	// SHLLv4i16
 3275     UINT64_C(1856059392),	// SHLLv4i32
 3276     UINT64_C(1851865088),	// SHLLv8i16
 3277     UINT64_C(773928960),	// SHLLv8i8
 3278     UINT64_C(1598051328),	// SHLd
 3279     UINT64_C(1325945856),	// SHLv16i8_shift
 3280     UINT64_C(253776896),	// SHLv2i32_shift
 3281     UINT64_C(1329615872),	// SHLv2i64_shift
 3282     UINT64_C(252728320),	// SHLv4i16_shift
 3283     UINT64_C(1327518720),	// SHLv4i32_shift
 3284     UINT64_C(1326470144),	// SHLv8i16_shift
 3285     UINT64_C(252204032),	// SHLv8i8_shift
 3286     UINT64_C(1160253440),	// SHRNB_ZZI_B
 3287     UINT64_C(1160777728),	// SHRNB_ZZI_H
 3288     UINT64_C(1163923456),	// SHRNB_ZZI_S
 3289     UINT64_C(1160254464),	// SHRNT_ZZI_B
 3290     UINT64_C(1160778752),	// SHRNT_ZZI_H
 3291     UINT64_C(1163924480),	// SHRNT_ZZI_S
 3292     UINT64_C(1325958144),	// SHRNv16i8_shift
 3293     UINT64_C(253789184),	// SHRNv2i32_shift
 3294     UINT64_C(252740608),	// SHRNv4i16_shift
 3295     UINT64_C(1327531008),	// SHRNv4i32_shift
 3296     UINT64_C(1326482432),	// SHRNv8i16_shift
 3297     UINT64_C(252216320),	// SHRNv8i8_shift
 3298     UINT64_C(1142325248),	// SHSUBR_ZPmZ_B
 3299     UINT64_C(1154908160),	// SHSUBR_ZPmZ_D
 3300     UINT64_C(1146519552),	// SHSUBR_ZPmZ_H
 3301     UINT64_C(1150713856),	// SHSUBR_ZPmZ_S
 3302     UINT64_C(1142063104),	// SHSUB_ZPmZ_B
 3303     UINT64_C(1154646016),	// SHSUB_ZPmZ_D
 3304     UINT64_C(1146257408),	// SHSUB_ZPmZ_H
 3305     UINT64_C(1150451712),	// SHSUB_ZPmZ_S
 3306     UINT64_C(1310729216),	// SHSUBv16i8
 3307     UINT64_C(245376000),	// SHSUBv2i32
 3308     UINT64_C(241181696),	// SHSUBv4i16
 3309     UINT64_C(1319117824),	// SHSUBv4i32
 3310     UINT64_C(1314923520),	// SHSUBv8i16
 3311     UINT64_C(236987392),	// SHSUBv8i8
 3312     UINT64_C(1158214656),	// SLI_ZZI_B
 3313     UINT64_C(1166078976),	// SLI_ZZI_D
 3314     UINT64_C(1158738944),	// SLI_ZZI_H
 3315     UINT64_C(1161884672),	// SLI_ZZI_S
 3316     UINT64_C(2134922240),	// SLId
 3317     UINT64_C(1862816768),	// SLIv16i8_shift
 3318     UINT64_C(790647808),	// SLIv2i32_shift
 3319     UINT64_C(1866486784),	// SLIv2i64_shift
 3320     UINT64_C(789599232),	// SLIv4i16_shift
 3321     UINT64_C(1864389632),	// SLIv4i32_shift
 3322     UINT64_C(1863341056),	// SLIv8i16_shift
 3323     UINT64_C(789074944),	// SLIv8i8_shift
 3324     UINT64_C(3462447104),	// SM3PARTW1
 3325     UINT64_C(3462448128),	// SM3PARTW2
 3326     UINT64_C(3460300800),	// SM3SS1
 3327     UINT64_C(3460333568),	// SM3TT1A
 3328     UINT64_C(3460334592),	// SM3TT1B
 3329     UINT64_C(3460335616),	// SM3TT2A
 3330     UINT64_C(3460336640),	// SM3TT2B
 3331     UINT64_C(3468723200),	// SM4E
 3332     UINT64_C(1159786496),	// SM4EKEY_ZZZ_S
 3333     UINT64_C(3462449152),	// SM4ENCKEY
 3334     UINT64_C(1159979008),	// SM4E_ZZZ_S
 3335     UINT64_C(2602565632),	// SMADDLrrr
 3336     UINT64_C(1142202368),	// SMAXP_ZPmZ_B
 3337     UINT64_C(1154785280),	// SMAXP_ZPmZ_D
 3338     UINT64_C(1146396672),	// SMAXP_ZPmZ_H
 3339     UINT64_C(1150590976),	// SMAXP_ZPmZ_S
 3340     UINT64_C(1310761984),	// SMAXPv16i8
 3341     UINT64_C(245408768),	// SMAXPv2i32
 3342     UINT64_C(241214464),	// SMAXPv4i16
 3343     UINT64_C(1319150592),	// SMAXPv4i32
 3344     UINT64_C(1314956288),	// SMAXPv8i16
 3345     UINT64_C(237020160),	// SMAXPv8i8
 3346     UINT64_C(67641344),	// SMAXV_VPZ_B
 3347     UINT64_C(80224256),	// SMAXV_VPZ_D
 3348     UINT64_C(71835648),	// SMAXV_VPZ_H
 3349     UINT64_C(76029952),	// SMAXV_VPZ_S
 3350     UINT64_C(1311811584),	// SMAXVv16i8v
 3351     UINT64_C(242264064),	// SMAXVv4i16v
 3352     UINT64_C(1320200192),	// SMAXVv4i32v
 3353     UINT64_C(1316005888),	// SMAXVv8i16v
 3354     UINT64_C(238069760),	// SMAXVv8i8v
 3355     UINT64_C(623427584),	// SMAX_ZI_B
 3356     UINT64_C(636010496),	// SMAX_ZI_D
 3357     UINT64_C(627621888),	// SMAX_ZI_H
 3358     UINT64_C(631816192),	// SMAX_ZI_S
 3359     UINT64_C(67633152),	// SMAX_ZPmZ_B
 3360     UINT64_C(80216064),	// SMAX_ZPmZ_D
 3361     UINT64_C(71827456),	// SMAX_ZPmZ_H
 3362     UINT64_C(76021760),	// SMAX_ZPmZ_S
 3363     UINT64_C(1310745600),	// SMAXv16i8
 3364     UINT64_C(245392384),	// SMAXv2i32
 3365     UINT64_C(241198080),	// SMAXv4i16
 3366     UINT64_C(1319134208),	// SMAXv4i32
 3367     UINT64_C(1314939904),	// SMAXv8i16
 3368     UINT64_C(237003776),	// SMAXv8i8
 3369     UINT64_C(3556769795),	// SMC
 3370     UINT64_C(1142333440),	// SMINP_ZPmZ_B
 3371     UINT64_C(1154916352),	// SMINP_ZPmZ_D
 3372     UINT64_C(1146527744),	// SMINP_ZPmZ_H
 3373     UINT64_C(1150722048),	// SMINP_ZPmZ_S
 3374     UINT64_C(1310764032),	// SMINPv16i8
 3375     UINT64_C(245410816),	// SMINPv2i32
 3376     UINT64_C(241216512),	// SMINPv4i16
 3377     UINT64_C(1319152640),	// SMINPv4i32
 3378     UINT64_C(1314958336),	// SMINPv8i16
 3379     UINT64_C(237022208),	// SMINPv8i8
 3380     UINT64_C(67772416),	// SMINV_VPZ_B
 3381     UINT64_C(80355328),	// SMINV_VPZ_D
 3382     UINT64_C(71966720),	// SMINV_VPZ_H
 3383     UINT64_C(76161024),	// SMINV_VPZ_S
 3384     UINT64_C(1311877120),	// SMINVv16i8v
 3385     UINT64_C(242329600),	// SMINVv4i16v
 3386     UINT64_C(1320265728),	// SMINVv4i32v
 3387     UINT64_C(1316071424),	// SMINVv8i16v
 3388     UINT64_C(238135296),	// SMINVv8i8v
 3389     UINT64_C(623558656),	// SMIN_ZI_B
 3390     UINT64_C(636141568),	// SMIN_ZI_D
 3391     UINT64_C(627752960),	// SMIN_ZI_H
 3392     UINT64_C(631947264),	// SMIN_ZI_S
 3393     UINT64_C(67764224),	// SMIN_ZPmZ_B
 3394     UINT64_C(80347136),	// SMIN_ZPmZ_D
 3395     UINT64_C(71958528),	// SMIN_ZPmZ_H
 3396     UINT64_C(76152832),	// SMIN_ZPmZ_S
 3397     UINT64_C(1310747648),	// SMINv16i8
 3398     UINT64_C(245394432),	// SMINv2i32
 3399     UINT64_C(241200128),	// SMINv4i16
 3400     UINT64_C(1319136256),	// SMINv4i32
 3401     UINT64_C(1314941952),	// SMINv8i16
 3402     UINT64_C(237005824),	// SMINv8i8
 3403     UINT64_C(1155563520),	// SMLALB_ZZZI_D
 3404     UINT64_C(1151369216),	// SMLALB_ZZZI_S
 3405     UINT64_C(1153449984),	// SMLALB_ZZZ_D
 3406     UINT64_C(1145061376),	// SMLALB_ZZZ_H
 3407     UINT64_C(1149255680),	// SMLALB_ZZZ_S
 3408     UINT64_C(1155564544),	// SMLALT_ZZZI_D
 3409     UINT64_C(1151370240),	// SMLALT_ZZZI_S
 3410     UINT64_C(1153451008),	// SMLALT_ZZZ_D
 3411     UINT64_C(1145062400),	// SMLALT_ZZZ_H
 3412     UINT64_C(1149256704),	// SMLALT_ZZZ_S
 3413     UINT64_C(1310752768),	// SMLALv16i8_v8i16
 3414     UINT64_C(260055040),	// SMLALv2i32_indexed
 3415     UINT64_C(245399552),	// SMLALv2i32_v2i64
 3416     UINT64_C(255860736),	// SMLALv4i16_indexed
 3417     UINT64_C(241205248),	// SMLALv4i16_v4i32
 3418     UINT64_C(1333796864),	// SMLALv4i32_indexed
 3419     UINT64_C(1319141376),	// SMLALv4i32_v2i64
 3420     UINT64_C(1329602560),	// SMLALv8i16_indexed
 3421     UINT64_C(1314947072),	// SMLALv8i16_v4i32
 3422     UINT64_C(237010944),	// SMLALv8i8_v8i16
 3423     UINT64_C(1155571712),	// SMLSLB_ZZZI_D
 3424     UINT64_C(1151377408),	// SMLSLB_ZZZI_S
 3425     UINT64_C(1153454080),	// SMLSLB_ZZZ_D
 3426     UINT64_C(1145065472),	// SMLSLB_ZZZ_H
 3427     UINT64_C(1149259776),	// SMLSLB_ZZZ_S
 3428     UINT64_C(1155572736),	// SMLSLT_ZZZI_D
 3429     UINT64_C(1151378432),	// SMLSLT_ZZZI_S
 3430     UINT64_C(1153455104),	// SMLSLT_ZZZ_D
 3431     UINT64_C(1145066496),	// SMLSLT_ZZZ_H
 3432     UINT64_C(1149260800),	// SMLSLT_ZZZ_S
 3433     UINT64_C(1310760960),	// SMLSLv16i8_v8i16
 3434     UINT64_C(260071424),	// SMLSLv2i32_indexed
 3435     UINT64_C(245407744),	// SMLSLv2i32_v2i64
 3436     UINT64_C(255877120),	// SMLSLv4i16_indexed
 3437     UINT64_C(241213440),	// SMLSLv4i16_v4i32
 3438     UINT64_C(1333813248),	// SMLSLv4i32_indexed
 3439     UINT64_C(1319149568),	// SMLSLv4i32_v2i64
 3440     UINT64_C(1329618944),	// SMLSLv8i16_indexed
 3441     UINT64_C(1314955264),	// SMLSLv8i16_v4i32
 3442     UINT64_C(237019136),	// SMLSLv8i8_v8i16
 3443     UINT64_C(235023360),	// SMOVvi16to32
 3444     UINT64_C(1308765184),	// SMOVvi16to64
 3445     UINT64_C(1308896256),	// SMOVvi32to64
 3446     UINT64_C(234957824),	// SMOVvi8to32
 3447     UINT64_C(1308699648),	// SMOVvi8to64
 3448     UINT64_C(2602598400),	// SMSUBLrrr
 3449     UINT64_C(68288512),	// SMULH_ZPmZ_B
 3450     UINT64_C(80871424),	// SMULH_ZPmZ_D
 3451     UINT64_C(72482816),	// SMULH_ZPmZ_H
 3452     UINT64_C(76677120),	// SMULH_ZPmZ_S
 3453     UINT64_C(69232640),	// SMULH_ZZZ_B
 3454     UINT64_C(81815552),	// SMULH_ZZZ_D
 3455     UINT64_C(73426944),	// SMULH_ZZZ_H
 3456     UINT64_C(77621248),	// SMULH_ZZZ_S
 3457     UINT64_C(2604662784),	// SMULHrr
 3458     UINT64_C(1155579904),	// SMULLB_ZZZI_D
 3459     UINT64_C(1151385600),	// SMULLB_ZZZI_S
 3460     UINT64_C(1170239488),	// SMULLB_ZZZ_D
 3461     UINT64_C(1161850880),	// SMULLB_ZZZ_H
 3462     UINT64_C(1166045184),	// SMULLB_ZZZ_S
 3463     UINT64_C(1155580928),	// SMULLT_ZZZI_D
 3464     UINT64_C(1151386624),	// SMULLT_ZZZI_S
 3465     UINT64_C(1170240512),	// SMULLT_ZZZ_D
 3466     UINT64_C(1161851904),	// SMULLT_ZZZ_H
 3467     UINT64_C(1166046208),	// SMULLT_ZZZ_S
 3468     UINT64_C(1310769152),	// SMULLv16i8_v8i16
 3469     UINT64_C(260087808),	// SMULLv2i32_indexed
 3470     UINT64_C(245415936),	// SMULLv2i32_v2i64
 3471     UINT64_C(255893504),	// SMULLv4i16_indexed
 3472     UINT64_C(241221632),	// SMULLv4i16_v4i32
 3473     UINT64_C(1333829632),	// SMULLv4i32_indexed
 3474     UINT64_C(1319157760),	// SMULLv4i32_v2i64
 3475     UINT64_C(1329635328),	// SMULLv8i16_indexed
 3476     UINT64_C(1314963456),	// SMULLv8i16_v4i32
 3477     UINT64_C(237027328),	// SMULLv8i8_v8i16
 3478     UINT64_C(0),	// SPACE
 3479     UINT64_C(86867968),	// SPLICE_ZPZZ_B
 3480     UINT64_C(99450880),	// SPLICE_ZPZZ_D
 3481     UINT64_C(91062272),	// SPLICE_ZPZZ_H
 3482     UINT64_C(95256576),	// SPLICE_ZPZZ_S
 3483     UINT64_C(86802432),	// SPLICE_ZPZ_B
 3484     UINT64_C(99385344),	// SPLICE_ZPZ_D
 3485     UINT64_C(90996736),	// SPLICE_ZPZ_H
 3486     UINT64_C(95191040),	// SPLICE_ZPZ_S
 3487     UINT64_C(1141415936),	// SQABS_ZPmZ_B
 3488     UINT64_C(1153998848),	// SQABS_ZPmZ_D
 3489     UINT64_C(1145610240),	// SQABS_ZPmZ_H
 3490     UINT64_C(1149804544),	// SQABS_ZPmZ_S
 3491     UINT64_C(1310750720),	// SQABSv16i8
 3492     UINT64_C(1583380480),	// SQABSv1i16
 3493     UINT64_C(1587574784),	// SQABSv1i32
 3494     UINT64_C(1591769088),	// SQABSv1i64
 3495     UINT64_C(1579186176),	// SQABSv1i8
 3496     UINT64_C(245397504),	// SQABSv2i32
 3497     UINT64_C(1323333632),	// SQABSv2i64
 3498     UINT64_C(241203200),	// SQABSv4i16
 3499     UINT64_C(1319139328),	// SQABSv4i32
 3500     UINT64_C(1314945024),	// SQABSv8i16
 3501     UINT64_C(237008896),	// SQABSv8i8
 3502     UINT64_C(623165440),	// SQADD_ZI_B
 3503     UINT64_C(635748352),	// SQADD_ZI_D
 3504     UINT64_C(627359744),	// SQADD_ZI_H
 3505     UINT64_C(631554048),	// SQADD_ZI_S
 3506     UINT64_C(1142456320),	// SQADD_ZPmZ_B
 3507     UINT64_C(1155039232),	// SQADD_ZPmZ_D
 3508     UINT64_C(1146650624),	// SQADD_ZPmZ_H
 3509     UINT64_C(1150844928),	// SQADD_ZPmZ_S
 3510     UINT64_C(69210112),	// SQADD_ZZZ_B
 3511     UINT64_C(81793024),	// SQADD_ZZZ_D
 3512     UINT64_C(73404416),	// SQADD_ZZZ_H
 3513     UINT64_C(77598720),	// SQADD_ZZZ_S
 3514     UINT64_C(1310723072),	// SQADDv16i8
 3515     UINT64_C(1583352832),	// SQADDv1i16
 3516     UINT64_C(1587547136),	// SQADDv1i32
 3517     UINT64_C(1591741440),	// SQADDv1i64
 3518     UINT64_C(1579158528),	// SQADDv1i8
 3519     UINT64_C(245369856),	// SQADDv2i32
 3520     UINT64_C(1323305984),	// SQADDv2i64
 3521     UINT64_C(241175552),	// SQADDv4i16
 3522     UINT64_C(1319111680),	// SQADDv4i32
 3523     UINT64_C(1314917376),	// SQADDv8i16
 3524     UINT64_C(236981248),	// SQADDv8i8
 3525     UINT64_C(1157748736),	// SQCADD_ZZI_B
 3526     UINT64_C(1170331648),	// SQCADD_ZZI_D
 3527     UINT64_C(1161943040),	// SQCADD_ZZI_H
 3528     UINT64_C(1166137344),	// SQCADD_ZZI_S
 3529     UINT64_C(70318080),	// SQDECB_XPiI
 3530     UINT64_C(69269504),	// SQDECB_XPiWdI
 3531     UINT64_C(82900992),	// SQDECD_XPiI
 3532     UINT64_C(81852416),	// SQDECD_XPiWdI
 3533     UINT64_C(81840128),	// SQDECD_ZPiI
 3534     UINT64_C(74512384),	// SQDECH_XPiI
 3535     UINT64_C(73463808),	// SQDECH_XPiWdI
 3536     UINT64_C(73451520),	// SQDECH_ZPiI
 3537     UINT64_C(623544320),	// SQDECP_XPWd_B
 3538     UINT64_C(636127232),	// SQDECP_XPWd_D
 3539     UINT64_C(627738624),	// SQDECP_XPWd_H
 3540     UINT64_C(631932928),	// SQDECP_XPWd_S
 3541     UINT64_C(623545344),	// SQDECP_XP_B
 3542     UINT64_C(636128256),	// SQDECP_XP_D
 3543     UINT64_C(627739648),	// SQDECP_XP_H
 3544     UINT64_C(631933952),	// SQDECP_XP_S
 3545     UINT64_C(636125184),	// SQDECP_ZP_D
 3546     UINT64_C(627736576),	// SQDECP_ZP_H
 3547     UINT64_C(631930880),	// SQDECP_ZP_S
 3548     UINT64_C(78706688),	// SQDECW_XPiI
 3549     UINT64_C(77658112),	// SQDECW_XPiWdI
 3550     UINT64_C(77645824),	// SQDECW_ZPiI
 3551     UINT64_C(1153435648),	// SQDMLALBT_ZZZ_D
 3552     UINT64_C(1145047040),	// SQDMLALBT_ZZZ_H
 3553     UINT64_C(1149241344),	// SQDMLALBT_ZZZ_S
 3554     UINT64_C(1155538944),	// SQDMLALB_ZZZI_D
 3555     UINT64_C(1151344640),	// SQDMLALB_ZZZI_S
 3556     UINT64_C(1153458176),	// SQDMLALB_ZZZ_D
 3557     UINT64_C(1145069568),	// SQDMLALB_ZZZ_H
 3558     UINT64_C(1149263872),	// SQDMLALB_ZZZ_S
 3559     UINT64_C(1155539968),	// SQDMLALT_ZZZI_D
 3560     UINT64_C(1151345664),	// SQDMLALT_ZZZI_S
 3561     UINT64_C(1153459200),	// SQDMLALT_ZZZ_D
 3562     UINT64_C(1145070592),	// SQDMLALT_ZZZ_H
 3563     UINT64_C(1149264896),	// SQDMLALT_ZZZ_S
 3564     UINT64_C(1583386624),	// SQDMLALi16
 3565     UINT64_C(1587580928),	// SQDMLALi32
 3566     UINT64_C(1598042112),	// SQDMLALv1i32_indexed
 3567     UINT64_C(1602236416),	// SQDMLALv1i64_indexed
 3568     UINT64_C(260059136),	// SQDMLALv2i32_indexed
 3569     UINT64_C(245403648),	// SQDMLALv2i32_v2i64
 3570     UINT64_C(255864832),	// SQDMLALv4i16_indexed
 3571     UINT64_C(241209344),	// SQDMLALv4i16_v4i32
 3572     UINT64_C(1333800960),	// SQDMLALv4i32_indexed
 3573     UINT64_C(1319145472),	// SQDMLALv4i32_v2i64
 3574     UINT64_C(1329606656),	// SQDMLALv8i16_indexed
 3575     UINT64_C(1314951168),	// SQDMLALv8i16_v4i32
 3576     UINT64_C(1153436672),	// SQDMLSLBT_ZZZ_D
 3577     UINT64_C(1145048064),	// SQDMLSLBT_ZZZ_H
 3578     UINT64_C(1149242368),	// SQDMLSLBT_ZZZ_S
 3579     UINT64_C(1155543040),	// SQDMLSLB_ZZZI_D
 3580     UINT64_C(1151348736),	// SQDMLSLB_ZZZI_S
 3581     UINT64_C(1153460224),	// SQDMLSLB_ZZZ_D
 3582     UINT64_C(1145071616),	// SQDMLSLB_ZZZ_H
 3583     UINT64_C(1149265920),	// SQDMLSLB_ZZZ_S
 3584     UINT64_C(1155544064),	// SQDMLSLT_ZZZI_D
 3585     UINT64_C(1151349760),	// SQDMLSLT_ZZZI_S
 3586     UINT64_C(1153461248),	// SQDMLSLT_ZZZ_D
 3587     UINT64_C(1145072640),	// SQDMLSLT_ZZZ_H
 3588     UINT64_C(1149266944),	// SQDMLSLT_ZZZ_S
 3589     UINT64_C(1583394816),	// SQDMLSLi16
 3590     UINT64_C(1587589120),	// SQDMLSLi32
 3591     UINT64_C(1598058496),	// SQDMLSLv1i32_indexed
 3592     UINT64_C(1602252800),	// SQDMLSLv1i64_indexed
 3593     UINT64_C(260075520),	// SQDMLSLv2i32_indexed
 3594     UINT64_C(245411840),	// SQDMLSLv2i32_v2i64
 3595     UINT64_C(255881216),	// SQDMLSLv4i16_indexed
 3596     UINT64_C(241217536),	// SQDMLSLv4i16_v4i32
 3597     UINT64_C(1333817344),	// SQDMLSLv4i32_indexed
 3598     UINT64_C(1319153664),	// SQDMLSLv4i32_v2i64
 3599     UINT64_C(1329623040),	// SQDMLSLv8i16_indexed
 3600     UINT64_C(1314959360),	// SQDMLSLv8i16_v4i32
 3601     UINT64_C(1155592192),	// SQDMULH_ZZZI_D
 3602     UINT64_C(1143009280),	// SQDMULH_ZZZI_H
 3603     UINT64_C(1151397888),	// SQDMULH_ZZZI_S
 3604     UINT64_C(69234688),	// SQDMULH_ZZZ_B
 3605     UINT64_C(81817600),	// SQDMULH_ZZZ_D
 3606     UINT64_C(73428992),	// SQDMULH_ZZZ_H
 3607     UINT64_C(77623296),	// SQDMULH_ZZZ_S
 3608     UINT64_C(1583395840),	// SQDMULHv1i16
 3609     UINT64_C(1598078976),	// SQDMULHv1i16_indexed
 3610     UINT64_C(1587590144),	// SQDMULHv1i32
 3611     UINT64_C(1602273280),	// SQDMULHv1i32_indexed
 3612     UINT64_C(245412864),	// SQDMULHv2i32
 3613     UINT64_C(260096000),	// SQDMULHv2i32_indexed
 3614     UINT64_C(241218560),	// SQDMULHv4i16
 3615     UINT64_C(255901696),	// SQDMULHv4i16_indexed
 3616     UINT64_C(1319154688),	// SQDMULHv4i32
 3617     UINT64_C(1333837824),	// SQDMULHv4i32_indexed
 3618     UINT64_C(1314960384),	// SQDMULHv8i16
 3619     UINT64_C(1329643520),	// SQDMULHv8i16_indexed
 3620     UINT64_C(1155588096),	// SQDMULLB_ZZZI_D
 3621     UINT64_C(1151393792),	// SQDMULLB_ZZZI_S
 3622     UINT64_C(1170235392),	// SQDMULLB_ZZZ_D
 3623     UINT64_C(1161846784),	// SQDMULLB_ZZZ_H
 3624     UINT64_C(1166041088),	// SQDMULLB_ZZZ_S
 3625     UINT64_C(1155589120),	// SQDMULLT_ZZZI_D
 3626     UINT64_C(1151394816),	// SQDMULLT_ZZZI_S
 3627     UINT64_C(1170236416),	// SQDMULLT_ZZZ_D
 3628     UINT64_C(1161847808),	// SQDMULLT_ZZZ_H
 3629     UINT64_C(1166042112),	// SQDMULLT_ZZZ_S
 3630     UINT64_C(1583403008),	// SQDMULLi16
 3631     UINT64_C(1587597312),	// SQDMULLi32
 3632     UINT64_C(1598074880),	// SQDMULLv1i32_indexed
 3633     UINT64_C(1602269184),	// SQDMULLv1i64_indexed
 3634     UINT64_C(260091904),	// SQDMULLv2i32_indexed
 3635     UINT64_C(245420032),	// SQDMULLv2i32_v2i64
 3636     UINT64_C(255897600),	// SQDMULLv4i16_indexed
 3637     UINT64_C(241225728),	// SQDMULLv4i16_v4i32
 3638     UINT64_C(1333833728),	// SQDMULLv4i32_indexed
 3639     UINT64_C(1319161856),	// SQDMULLv4i32_v2i64
 3640     UINT64_C(1329639424),	// SQDMULLv8i16_indexed
 3641     UINT64_C(1314967552),	// SQDMULLv8i16_v4i32
 3642     UINT64_C(70316032),	// SQINCB_XPiI
 3643     UINT64_C(69267456),	// SQINCB_XPiWdI
 3644     UINT64_C(82898944),	// SQINCD_XPiI
 3645     UINT64_C(81850368),	// SQINCD_XPiWdI
 3646     UINT64_C(81838080),	// SQINCD_ZPiI
 3647     UINT64_C(74510336),	// SQINCH_XPiI
 3648     UINT64_C(73461760),	// SQINCH_XPiWdI
 3649     UINT64_C(73449472),	// SQINCH_ZPiI
 3650     UINT64_C(623413248),	// SQINCP_XPWd_B
 3651     UINT64_C(635996160),	// SQINCP_XPWd_D
 3652     UINT64_C(627607552),	// SQINCP_XPWd_H
 3653     UINT64_C(631801856),	// SQINCP_XPWd_S
 3654     UINT64_C(623414272),	// SQINCP_XP_B
 3655     UINT64_C(635997184),	// SQINCP_XP_D
 3656     UINT64_C(627608576),	// SQINCP_XP_H
 3657     UINT64_C(631802880),	// SQINCP_XP_S
 3658     UINT64_C(635994112),	// SQINCP_ZP_D
 3659     UINT64_C(627605504),	// SQINCP_ZP_H
 3660     UINT64_C(631799808),	// SQINCP_ZP_S
 3661     UINT64_C(78704640),	// SQINCW_XPiI
 3662     UINT64_C(77656064),	// SQINCW_XPiWdI
 3663     UINT64_C(77643776),	// SQINCW_ZPiI
 3664     UINT64_C(1141481472),	// SQNEG_ZPmZ_B
 3665     UINT64_C(1154064384),	// SQNEG_ZPmZ_D
 3666     UINT64_C(1145675776),	// SQNEG_ZPmZ_H
 3667     UINT64_C(1149870080),	// SQNEG_ZPmZ_S
 3668     UINT64_C(1847621632),	// SQNEGv16i8
 3669     UINT64_C(2120251392),	// SQNEGv1i16
 3670     UINT64_C(2124445696),	// SQNEGv1i32
 3671     UINT64_C(2128640000),	// SQNEGv1i64
 3672     UINT64_C(2116057088),	// SQNEGv1i8
 3673     UINT64_C(782268416),	// SQNEGv2i32
 3674     UINT64_C(1860204544),	// SQNEGv2i64
 3675     UINT64_C(778074112),	// SQNEGv4i16
 3676     UINT64_C(1856010240),	// SQNEGv4i32
 3677     UINT64_C(1851815936),	// SQNEGv8i16
 3678     UINT64_C(773879808),	// SQNEGv8i8
 3679     UINT64_C(1151365120),	// SQRDCMLAH_ZZZI_H
 3680     UINT64_C(1155559424),	// SQRDCMLAH_ZZZI_S
 3681     UINT64_C(1140862976),	// SQRDCMLAH_ZZZ_B
 3682     UINT64_C(1153445888),	// SQRDCMLAH_ZZZ_D
 3683     UINT64_C(1145057280),	// SQRDCMLAH_ZZZ_H
 3684     UINT64_C(1149251584),	// SQRDCMLAH_ZZZ_S
 3685     UINT64_C(1155534848),	// SQRDMLAH_ZZZI_D
 3686     UINT64_C(1142951936),	// SQRDMLAH_ZZZI_H
 3687     UINT64_C(1151340544),	// SQRDMLAH_ZZZI_S
 3688     UINT64_C(1140879360),	// SQRDMLAH_ZZZ_B
 3689     UINT64_C(1153462272),	// SQRDMLAH_ZZZ_D
 3690     UINT64_C(1145073664),	// SQRDMLAH_ZZZ_H
 3691     UINT64_C(1149267968),	// SQRDMLAH_ZZZ_S
 3692     UINT64_C(2134953984),	// SQRDMLAHi16_indexed
 3693     UINT64_C(2139148288),	// SQRDMLAHi32_indexed
 3694     UINT64_C(2118157312),	// SQRDMLAHv1i16
 3695     UINT64_C(2122351616),	// SQRDMLAHv1i32
 3696     UINT64_C(780174336),	// SQRDMLAHv2i32
 3697     UINT64_C(796971008),	// SQRDMLAHv2i32_indexed
 3698     UINT64_C(775980032),	// SQRDMLAHv4i16
 3699     UINT64_C(792776704),	// SQRDMLAHv4i16_indexed
 3700     UINT64_C(1853916160),	// SQRDMLAHv4i32
 3701     UINT64_C(1870712832),	// SQRDMLAHv4i32_indexed
 3702     UINT64_C(1849721856),	// SQRDMLAHv8i16
 3703     UINT64_C(1866518528),	// SQRDMLAHv8i16_indexed
 3704     UINT64_C(1155535872),	// SQRDMLSH_ZZZI_D
 3705     UINT64_C(1142952960),	// SQRDMLSH_ZZZI_H
 3706     UINT64_C(1151341568),	// SQRDMLSH_ZZZI_S
 3707     UINT64_C(1140880384),	// SQRDMLSH_ZZZ_B
 3708     UINT64_C(1153463296),	// SQRDMLSH_ZZZ_D
 3709     UINT64_C(1145074688),	// SQRDMLSH_ZZZ_H
 3710     UINT64_C(1149268992),	// SQRDMLSH_ZZZ_S
 3711     UINT64_C(2134962176),	// SQRDMLSHi16_indexed
 3712     UINT64_C(2139156480),	// SQRDMLSHi32_indexed
 3713     UINT64_C(2118159360),	// SQRDMLSHv1i16
 3714     UINT64_C(2122353664),	// SQRDMLSHv1i32
 3715     UINT64_C(780176384),	// SQRDMLSHv2i32
 3716     UINT64_C(796979200),	// SQRDMLSHv2i32_indexed
 3717     UINT64_C(775982080),	// SQRDMLSHv4i16
 3718     UINT64_C(792784896),	// SQRDMLSHv4i16_indexed
 3719     UINT64_C(1853918208),	// SQRDMLSHv4i32
 3720     UINT64_C(1870721024),	// SQRDMLSHv4i32_indexed
 3721     UINT64_C(1849723904),	// SQRDMLSHv8i16
 3722     UINT64_C(1866526720),	// SQRDMLSHv8i16_indexed
 3723     UINT64_C(1155593216),	// SQRDMULH_ZZZI_D
 3724     UINT64_C(1143010304),	// SQRDMULH_ZZZI_H
 3725     UINT64_C(1151398912),	// SQRDMULH_ZZZI_S
 3726     UINT64_C(69235712),	// SQRDMULH_ZZZ_B
 3727     UINT64_C(81818624),	// SQRDMULH_ZZZ_D
 3728     UINT64_C(73430016),	// SQRDMULH_ZZZ_H
 3729     UINT64_C(77624320),	// SQRDMULH_ZZZ_S
 3730     UINT64_C(2120266752),	// SQRDMULHv1i16
 3731     UINT64_C(1598083072),	// SQRDMULHv1i16_indexed
 3732     UINT64_C(2124461056),	// SQRDMULHv1i32
 3733     UINT64_C(1602277376),	// SQRDMULHv1i32_indexed
 3734     UINT64_C(782283776),	// SQRDMULHv2i32
 3735     UINT64_C(260100096),	// SQRDMULHv2i32_indexed
 3736     UINT64_C(778089472),	// SQRDMULHv4i16
 3737     UINT64_C(255905792),	// SQRDMULHv4i16_indexed
 3738     UINT64_C(1856025600),	// SQRDMULHv4i32
 3739     UINT64_C(1333841920),	// SQRDMULHv4i32_indexed
 3740     UINT64_C(1851831296),	// SQRDMULHv8i16
 3741     UINT64_C(1329647616),	// SQRDMULHv8i16_indexed
 3742     UINT64_C(1141800960),	// SQRSHLR_ZPmZ_B
 3743     UINT64_C(1154383872),	// SQRSHLR_ZPmZ_D
 3744     UINT64_C(1145995264),	// SQRSHLR_ZPmZ_H
 3745     UINT64_C(1150189568),	// SQRSHLR_ZPmZ_S
 3746     UINT64_C(1141538816),	// SQRSHL_ZPmZ_B
 3747     UINT64_C(1154121728),	// SQRSHL_ZPmZ_D
 3748     UINT64_C(1145733120),	// SQRSHL_ZPmZ_H
 3749     UINT64_C(1149927424),	// SQRSHL_ZPmZ_S
 3750     UINT64_C(1310743552),	// SQRSHLv16i8
 3751     UINT64_C(1583373312),	// SQRSHLv1i16
 3752     UINT64_C(1587567616),	// SQRSHLv1i32
 3753     UINT64_C(1591761920),	// SQRSHLv1i64
 3754     UINT64_C(1579179008),	// SQRSHLv1i8
 3755     UINT64_C(245390336),	// SQRSHLv2i32
 3756     UINT64_C(1323326464),	// SQRSHLv2i64
 3757     UINT64_C(241196032),	// SQRSHLv4i16
 3758     UINT64_C(1319132160),	// SQRSHLv4i32
 3759     UINT64_C(1314937856),	// SQRSHLv8i16
 3760     UINT64_C(237001728),	// SQRSHLv8i8
 3761     UINT64_C(1160259584),	// SQRSHRNB_ZZI_B
 3762     UINT64_C(1160783872),	// SQRSHRNB_ZZI_H
 3763     UINT64_C(1163929600),	// SQRSHRNB_ZZI_S
 3764     UINT64_C(1160260608),	// SQRSHRNT_ZZI_B
 3765     UINT64_C(1160784896),	// SQRSHRNT_ZZI_H
 3766     UINT64_C(1163930624),	// SQRSHRNT_ZZI_S
 3767     UINT64_C(1594399744),	// SQRSHRNb
 3768     UINT64_C(1594924032),	// SQRSHRNh
 3769     UINT64_C(1595972608),	// SQRSHRNs
 3770     UINT64_C(1325964288),	// SQRSHRNv16i8_shift
 3771     UINT64_C(253795328),	// SQRSHRNv2i32_shift
 3772     UINT64_C(252746752),	// SQRSHRNv4i16_shift
 3773     UINT64_C(1327537152),	// SQRSHRNv4i32_shift
 3774     UINT64_C(1326488576),	// SQRSHRNv8i16_shift
 3775     UINT64_C(252222464),	// SQRSHRNv8i8_shift
 3776     UINT64_C(1160251392),	// SQRSHRUNB_ZZI_B
 3777     UINT64_C(1160775680),	// SQRSHRUNB_ZZI_H
 3778     UINT64_C(1163921408),	// SQRSHRUNB_ZZI_S
 3779     UINT64_C(1160252416),	// SQRSHRUNT_ZZI_B
 3780     UINT64_C(1160776704),	// SQRSHRUNT_ZZI_H
 3781     UINT64_C(1163922432),	// SQRSHRUNT_ZZI_S
 3782     UINT64_C(2131266560),	// SQRSHRUNb
 3783     UINT64_C(2131790848),	// SQRSHRUNh
 3784     UINT64_C(2132839424),	// SQRSHRUNs
 3785     UINT64_C(1862831104),	// SQRSHRUNv16i8_shift
 3786     UINT64_C(790662144),	// SQRSHRUNv2i32_shift
 3787     UINT64_C(789613568),	// SQRSHRUNv4i16_shift
 3788     UINT64_C(1864403968),	// SQRSHRUNv4i32_shift
 3789     UINT64_C(1863355392),	// SQRSHRUNv8i16_shift
 3790     UINT64_C(789089280),	// SQRSHRUNv8i8_shift
 3791     UINT64_C(1141669888),	// SQSHLR_ZPmZ_B
 3792     UINT64_C(1154252800),	// SQSHLR_ZPmZ_D
 3793     UINT64_C(1145864192),	// SQSHLR_ZPmZ_H
 3794     UINT64_C(1150058496),	// SQSHLR_ZPmZ_S
 3795     UINT64_C(68124928),	// SQSHLU_ZPmI_B
 3796     UINT64_C(76513280),	// SQSHLU_ZPmI_D
 3797     UINT64_C(68125184),	// SQSHLU_ZPmI_H
 3798     UINT64_C(72318976),	// SQSHLU_ZPmI_S
 3799     UINT64_C(2131256320),	// SQSHLUb
 3800     UINT64_C(2134926336),	// SQSHLUd
 3801     UINT64_C(2131780608),	// SQSHLUh
 3802     UINT64_C(2132829184),	// SQSHLUs
 3803     UINT64_C(1862820864),	// SQSHLUv16i8_shift
 3804     UINT64_C(790651904),	// SQSHLUv2i32_shift
 3805     UINT64_C(1866490880),	// SQSHLUv2i64_shift
 3806     UINT64_C(789603328),	// SQSHLUv4i16_shift
 3807     UINT64_C(1864393728),	// SQSHLUv4i32_shift
 3808     UINT64_C(1863345152),	// SQSHLUv8i16_shift
 3809     UINT64_C(789079040),	// SQSHLUv8i8_shift
 3810     UINT64_C(67535104),	// SQSHL_ZPmI_B
 3811     UINT64_C(75923456),	// SQSHL_ZPmI_D
 3812     UINT64_C(67535360),	// SQSHL_ZPmI_H
 3813     UINT64_C(71729152),	// SQSHL_ZPmI_S
 3814     UINT64_C(1141407744),	// SQSHL_ZPmZ_B
 3815     UINT64_C(1153990656),	// SQSHL_ZPmZ_D
 3816     UINT64_C(1145602048),	// SQSHL_ZPmZ_H
 3817     UINT64_C(1149796352),	// SQSHL_ZPmZ_S
 3818     UINT64_C(1594389504),	// SQSHLb
 3819     UINT64_C(1598059520),	// SQSHLd
 3820     UINT64_C(1594913792),	// SQSHLh
 3821     UINT64_C(1595962368),	// SQSHLs
 3822     UINT64_C(1310739456),	// SQSHLv16i8
 3823     UINT64_C(1325954048),	// SQSHLv16i8_shift
 3824     UINT64_C(1583369216),	// SQSHLv1i16
 3825     UINT64_C(1587563520),	// SQSHLv1i32
 3826     UINT64_C(1591757824),	// SQSHLv1i64
 3827     UINT64_C(1579174912),	// SQSHLv1i8
 3828     UINT64_C(245386240),	// SQSHLv2i32
 3829     UINT64_C(253785088),	// SQSHLv2i32_shift
 3830     UINT64_C(1323322368),	// SQSHLv2i64
 3831     UINT64_C(1329624064),	// SQSHLv2i64_shift
 3832     UINT64_C(241191936),	// SQSHLv4i16
 3833     UINT64_C(252736512),	// SQSHLv4i16_shift
 3834     UINT64_C(1319128064),	// SQSHLv4i32
 3835     UINT64_C(1327526912),	// SQSHLv4i32_shift
 3836     UINT64_C(1314933760),	// SQSHLv8i16
 3837     UINT64_C(1326478336),	// SQSHLv8i16_shift
 3838     UINT64_C(236997632),	// SQSHLv8i8
 3839     UINT64_C(252212224),	// SQSHLv8i8_shift
 3840     UINT64_C(1160257536),	// SQSHRNB_ZZI_B
 3841     UINT64_C(1160781824),	// SQSHRNB_ZZI_H
 3842     UINT64_C(1163927552),	// SQSHRNB_ZZI_S
 3843     UINT64_C(1160258560),	// SQSHRNT_ZZI_B
 3844     UINT64_C(1160782848),	// SQSHRNT_ZZI_H
 3845     UINT64_C(1163928576),	// SQSHRNT_ZZI_S
 3846     UINT64_C(1594397696),	// SQSHRNb
 3847     UINT64_C(1594921984),	// SQSHRNh
 3848     UINT64_C(1595970560),	// SQSHRNs
 3849     UINT64_C(1325962240),	// SQSHRNv16i8_shift
 3850     UINT64_C(253793280),	// SQSHRNv2i32_shift
 3851     UINT64_C(252744704),	// SQSHRNv4i16_shift
 3852     UINT64_C(1327535104),	// SQSHRNv4i32_shift
 3853     UINT64_C(1326486528),	// SQSHRNv8i16_shift
 3854     UINT64_C(252220416),	// SQSHRNv8i8_shift
 3855     UINT64_C(1160249344),	// SQSHRUNB_ZZI_B
 3856     UINT64_C(1160773632),	// SQSHRUNB_ZZI_H
 3857     UINT64_C(1163919360),	// SQSHRUNB_ZZI_S
 3858     UINT64_C(1160250368),	// SQSHRUNT_ZZI_B
 3859     UINT64_C(1160774656),	// SQSHRUNT_ZZI_H
 3860     UINT64_C(1163920384),	// SQSHRUNT_ZZI_S
 3861     UINT64_C(2131264512),	// SQSHRUNb
 3862     UINT64_C(2131788800),	// SQSHRUNh
 3863     UINT64_C(2132837376),	// SQSHRUNs
 3864     UINT64_C(1862829056),	// SQSHRUNv16i8_shift
 3865     UINT64_C(790660096),	// SQSHRUNv2i32_shift
 3866     UINT64_C(789611520),	// SQSHRUNv4i16_shift
 3867     UINT64_C(1864401920),	// SQSHRUNv4i32_shift
 3868     UINT64_C(1863353344),	// SQSHRUNv8i16_shift
 3869     UINT64_C(789087232),	// SQSHRUNv8i8_shift
 3870     UINT64_C(1142849536),	// SQSUBR_ZPmZ_B
 3871     UINT64_C(1155432448),	// SQSUBR_ZPmZ_D
 3872     UINT64_C(1147043840),	// SQSUBR_ZPmZ_H
 3873     UINT64_C(1151238144),	// SQSUBR_ZPmZ_S
 3874     UINT64_C(623296512),	// SQSUB_ZI_B
 3875     UINT64_C(635879424),	// SQSUB_ZI_D
 3876     UINT64_C(627490816),	// SQSUB_ZI_H
 3877     UINT64_C(631685120),	// SQSUB_ZI_S
 3878     UINT64_C(1142587392),	// SQSUB_ZPmZ_B
 3879     UINT64_C(1155170304),	// SQSUB_ZPmZ_D
 3880     UINT64_C(1146781696),	// SQSUB_ZPmZ_H
 3881     UINT64_C(1150976000),	// SQSUB_ZPmZ_S
 3882     UINT64_C(69212160),	// SQSUB_ZZZ_B
 3883     UINT64_C(81795072),	// SQSUB_ZZZ_D
 3884     UINT64_C(73406464),	// SQSUB_ZZZ_H
 3885     UINT64_C(77600768),	// SQSUB_ZZZ_S
 3886     UINT64_C(1310731264),	// SQSUBv16i8
 3887     UINT64_C(1583361024),	// SQSUBv1i16
 3888     UINT64_C(1587555328),	// SQSUBv1i32
 3889     UINT64_C(1591749632),	// SQSUBv1i64
 3890     UINT64_C(1579166720),	// SQSUBv1i8
 3891     UINT64_C(245378048),	// SQSUBv2i32
 3892     UINT64_C(1323314176),	// SQSUBv2i64
 3893     UINT64_C(241183744),	// SQSUBv4i16
 3894     UINT64_C(1319119872),	// SQSUBv4i32
 3895     UINT64_C(1314925568),	// SQSUBv8i16
 3896     UINT64_C(236989440),	// SQSUBv8i8
 3897     UINT64_C(1160265728),	// SQXTNB_ZZ_B
 3898     UINT64_C(1160790016),	// SQXTNB_ZZ_H
 3899     UINT64_C(1163935744),	// SQXTNB_ZZ_S
 3900     UINT64_C(1160266752),	// SQXTNT_ZZ_B
 3901     UINT64_C(1160791040),	// SQXTNT_ZZ_H
 3902     UINT64_C(1163936768),	// SQXTNT_ZZ_S
 3903     UINT64_C(1310803968),	// SQXTNv16i8
 3904     UINT64_C(1583433728),	// SQXTNv1i16
 3905     UINT64_C(1587628032),	// SQXTNv1i32
 3906     UINT64_C(1579239424),	// SQXTNv1i8
 3907     UINT64_C(245450752),	// SQXTNv2i32
 3908     UINT64_C(241256448),	// SQXTNv4i16
 3909     UINT64_C(1319192576),	// SQXTNv4i32
 3910     UINT64_C(1314998272),	// SQXTNv8i16
 3911     UINT64_C(237062144),	// SQXTNv8i8
 3912     UINT64_C(1160269824),	// SQXTUNB_ZZ_B
 3913     UINT64_C(1160794112),	// SQXTUNB_ZZ_H
 3914     UINT64_C(1163939840),	// SQXTUNB_ZZ_S
 3915     UINT64_C(1160270848),	// SQXTUNT_ZZ_B
 3916     UINT64_C(1160795136),	// SQXTUNT_ZZ_H
 3917     UINT64_C(1163940864),	// SQXTUNT_ZZ_S
 3918     UINT64_C(1847666688),	// SQXTUNv16i8
 3919     UINT64_C(2120296448),	// SQXTUNv1i16
 3920     UINT64_C(2124490752),	// SQXTUNv1i32
 3921     UINT64_C(2116102144),	// SQXTUNv1i8
 3922     UINT64_C(782313472),	// SQXTUNv2i32
 3923     UINT64_C(778119168),	// SQXTUNv4i16
 3924     UINT64_C(1856055296),	// SQXTUNv4i32
 3925     UINT64_C(1851860992),	// SQXTUNv8i16
 3926     UINT64_C(773924864),	// SQXTUNv8i8
 3927     UINT64_C(1142194176),	// SRHADD_ZPmZ_B
 3928     UINT64_C(1154777088),	// SRHADD_ZPmZ_D
 3929     UINT64_C(1146388480),	// SRHADD_ZPmZ_H
 3930     UINT64_C(1150582784),	// SRHADD_ZPmZ_S
 3931     UINT64_C(1310725120),	// SRHADDv16i8
 3932     UINT64_C(245371904),	// SRHADDv2i32
 3933     UINT64_C(241177600),	// SRHADDv4i16
 3934     UINT64_C(1319113728),	// SRHADDv4i32
 3935     UINT64_C(1314919424),	// SRHADDv8i16
 3936     UINT64_C(236983296),	// SRHADDv8i8
 3937     UINT64_C(1158213632),	// SRI_ZZI_B
 3938     UINT64_C(1166077952),	// SRI_ZZI_D
 3939     UINT64_C(1158737920),	// SRI_ZZI_H
 3940     UINT64_C(1161883648),	// SRI_ZZI_S
 3941     UINT64_C(2134918144),	// SRId
 3942     UINT64_C(1862812672),	// SRIv16i8_shift
 3943     UINT64_C(790643712),	// SRIv2i32_shift
 3944     UINT64_C(1866482688),	// SRIv2i64_shift
 3945     UINT64_C(789595136),	// SRIv4i16_shift
 3946     UINT64_C(1864385536),	// SRIv4i32_shift
 3947     UINT64_C(1863336960),	// SRIv8i16_shift
 3948     UINT64_C(789070848),	// SRIv8i8_shift
 3949     UINT64_C(1141276672),	// SRSHLR_ZPmZ_B
 3950     UINT64_C(1153859584),	// SRSHLR_ZPmZ_D
 3951     UINT64_C(1145470976),	// SRSHLR_ZPmZ_H
 3952     UINT64_C(1149665280),	// SRSHLR_ZPmZ_S
 3953     UINT64_C(1141014528),	// SRSHL_ZPmZ_B
 3954     UINT64_C(1153597440),	// SRSHL_ZPmZ_D
 3955     UINT64_C(1145208832),	// SRSHL_ZPmZ_H
 3956     UINT64_C(1149403136),	// SRSHL_ZPmZ_S
 3957     UINT64_C(1310741504),	// SRSHLv16i8
 3958     UINT64_C(1591759872),	// SRSHLv1i64
 3959     UINT64_C(245388288),	// SRSHLv2i32
 3960     UINT64_C(1323324416),	// SRSHLv2i64
 3961     UINT64_C(241193984),	// SRSHLv4i16
 3962     UINT64_C(1319130112),	// SRSHLv4i32
 3963     UINT64_C(1314935808),	// SRSHLv8i16
 3964     UINT64_C(236999680),	// SRSHLv8i8
 3965     UINT64_C(67928320),	// SRSHR_ZPmI_B
 3966     UINT64_C(76316672),	// SRSHR_ZPmI_D
 3967     UINT64_C(67928576),	// SRSHR_ZPmI_H
 3968     UINT64_C(72122368),	// SRSHR_ZPmI_S
 3969     UINT64_C(1598039040),	// SRSHRd
 3970     UINT64_C(1325933568),	// SRSHRv16i8_shift
 3971     UINT64_C(253764608),	// SRSHRv2i32_shift
 3972     UINT64_C(1329603584),	// SRSHRv2i64_shift
 3973     UINT64_C(252716032),	// SRSHRv4i16_shift
 3974     UINT64_C(1327506432),	// SRSHRv4i32_shift
 3975     UINT64_C(1326457856),	// SRSHRv8i16_shift
 3976     UINT64_C(252191744),	// SRSHRv8i8_shift
 3977     UINT64_C(1158211584),	// SRSRA_ZZI_B
 3978     UINT64_C(1166075904),	// SRSRA_ZZI_D
 3979     UINT64_C(1158735872),	// SRSRA_ZZI_H
 3980     UINT64_C(1161881600),	// SRSRA_ZZI_S
 3981     UINT64_C(1598043136),	// SRSRAd
 3982     UINT64_C(1325937664),	// SRSRAv16i8_shift
 3983     UINT64_C(253768704),	// SRSRAv2i32_shift
 3984     UINT64_C(1329607680),	// SRSRAv2i64_shift
 3985     UINT64_C(252720128),	// SRSRAv4i16_shift
 3986     UINT64_C(1327510528),	// SRSRAv4i32_shift
 3987     UINT64_C(1326461952),	// SRSRAv8i16_shift
 3988     UINT64_C(252195840),	// SRSRAv8i8_shift
 3989     UINT64_C(1161863168),	// SSHLLB_ZZI_D
 3990     UINT64_C(1158193152),	// SSHLLB_ZZI_H
 3991     UINT64_C(1158717440),	// SSHLLB_ZZI_S
 3992     UINT64_C(1161864192),	// SSHLLT_ZZI_D
 3993     UINT64_C(1158194176),	// SSHLLT_ZZI_H
 3994     UINT64_C(1158718464),	// SSHLLT_ZZI_S
 3995     UINT64_C(1325966336),	// SSHLLv16i8_shift
 3996     UINT64_C(253797376),	// SSHLLv2i32_shift
 3997     UINT64_C(252748800),	// SSHLLv4i16_shift
 3998     UINT64_C(1327539200),	// SSHLLv4i32_shift
 3999     UINT64_C(1326490624),	// SSHLLv8i16_shift
 4000     UINT64_C(252224512),	// SSHLLv8i8_shift
 4001     UINT64_C(1310737408),	// SSHLv16i8
 4002     UINT64_C(1591755776),	// SSHLv1i64
 4003     UINT64_C(245384192),	// SSHLv2i32
 4004     UINT64_C(1323320320),	// SSHLv2i64
 4005     UINT64_C(241189888),	// SSHLv4i16
 4006     UINT64_C(1319126016),	// SSHLv4i32
 4007     UINT64_C(1314931712),	// SSHLv8i16
 4008     UINT64_C(236995584),	// SSHLv8i8
 4009     UINT64_C(1598030848),	// SSHRd
 4010     UINT64_C(1325925376),	// SSHRv16i8_shift
 4011     UINT64_C(253756416),	// SSHRv2i32_shift
 4012     UINT64_C(1329595392),	// SSHRv2i64_shift
 4013     UINT64_C(252707840),	// SSHRv4i16_shift
 4014     UINT64_C(1327498240),	// SSHRv4i32_shift
 4015     UINT64_C(1326449664),	// SSHRv8i16_shift
 4016     UINT64_C(252183552),	// SSHRv8i8_shift
 4017     UINT64_C(1158209536),	// SSRA_ZZI_B
 4018     UINT64_C(1166073856),	// SSRA_ZZI_D
 4019     UINT64_C(1158733824),	// SSRA_ZZI_H
 4020     UINT64_C(1161879552),	// SSRA_ZZI_S
 4021     UINT64_C(1598034944),	// SSRAd
 4022     UINT64_C(1325929472),	// SSRAv16i8_shift
 4023     UINT64_C(253760512),	// SSRAv2i32_shift
 4024     UINT64_C(1329599488),	// SSRAv2i64_shift
 4025     UINT64_C(252711936),	// SSRAv4i16_shift
 4026     UINT64_C(1327502336),	// SSRAv4i32_shift
 4027     UINT64_C(1326453760),	// SSRAv8i16_shift
 4028     UINT64_C(252187648),	// SSRAv8i8_shift
 4029     UINT64_C(3825246208),	// SST1B_D
 4030     UINT64_C(3829440512),	// SST1B_D_IMM
 4031     UINT64_C(3825254400),	// SST1B_D_SXTW
 4032     UINT64_C(3825238016),	// SST1B_D_UXTW
 4033     UINT64_C(3831537664),	// SST1B_S_IMM
 4034     UINT64_C(3829448704),	// SST1B_S_SXTW
 4035     UINT64_C(3829432320),	// SST1B_S_UXTW
 4036     UINT64_C(3850412032),	// SST1D
 4037     UINT64_C(3854606336),	// SST1D_IMM
 4038     UINT64_C(3852509184),	// SST1D_SCALED
 4039     UINT64_C(3850420224),	// SST1D_SXTW
 4040     UINT64_C(3852517376),	// SST1D_SXTW_SCALED
 4041     UINT64_C(3850403840),	// SST1D_UXTW
 4042     UINT64_C(3852500992),	// SST1D_UXTW_SCALED
 4043     UINT64_C(3833634816),	// SST1H_D
 4044     UINT64_C(3837829120),	// SST1H_D_IMM
 4045     UINT64_C(3835731968),	// SST1H_D_SCALED
 4046     UINT64_C(3833643008),	// SST1H_D_SXTW
 4047     UINT64_C(3835740160),	// SST1H_D_SXTW_SCALED
 4048     UINT64_C(3833626624),	// SST1H_D_UXTW
 4049     UINT64_C(3835723776),	// SST1H_D_UXTW_SCALED
 4050     UINT64_C(3839926272),	// SST1H_S_IMM
 4051     UINT64_C(3837837312),	// SST1H_S_SXTW
 4052     UINT64_C(3839934464),	// SST1H_S_SXTW_SCALED
 4053     UINT64_C(3837820928),	// SST1H_S_UXTW
 4054     UINT64_C(3839918080),	// SST1H_S_UXTW_SCALED
 4055     UINT64_C(3842023424),	// SST1W_D
 4056     UINT64_C(3846217728),	// SST1W_D_IMM
 4057     UINT64_C(3844120576),	// SST1W_D_SCALED
 4058     UINT64_C(3842031616),	// SST1W_D_SXTW
 4059     UINT64_C(3844128768),	// SST1W_D_SXTW_SCALED
 4060     UINT64_C(3842015232),	// SST1W_D_UXTW
 4061     UINT64_C(3844112384),	// SST1W_D_UXTW_SCALED
 4062     UINT64_C(3848314880),	// SST1W_IMM
 4063     UINT64_C(3846225920),	// SST1W_SXTW
 4064     UINT64_C(3848323072),	// SST1W_SXTW_SCALED
 4065     UINT64_C(3846209536),	// SST1W_UXTW
 4066     UINT64_C(3848306688),	// SST1W_UXTW_SCALED
 4067     UINT64_C(1170245632),	// SSUBLBT_ZZZ_D
 4068     UINT64_C(1161857024),	// SSUBLBT_ZZZ_H
 4069     UINT64_C(1166051328),	// SSUBLBT_ZZZ_S
 4070     UINT64_C(1170214912),	// SSUBLB_ZZZ_D
 4071     UINT64_C(1161826304),	// SSUBLB_ZZZ_H
 4072     UINT64_C(1166020608),	// SSUBLB_ZZZ_S
 4073     UINT64_C(1170246656),	// SSUBLTB_ZZZ_D
 4074     UINT64_C(1161858048),	// SSUBLTB_ZZZ_H
 4075     UINT64_C(1166052352),	// SSUBLTB_ZZZ_S
 4076     UINT64_C(1170215936),	// SSUBLT_ZZZ_D
 4077     UINT64_C(1161827328),	// SSUBLT_ZZZ_H
 4078     UINT64_C(1166021632),	// SSUBLT_ZZZ_S
 4079     UINT64_C(1310728192),	// SSUBLv16i8_v8i16
 4080     UINT64_C(245374976),	// SSUBLv2i32_v2i64
 4081     UINT64_C(241180672),	// SSUBLv4i16_v4i32
 4082     UINT64_C(1319116800),	// SSUBLv4i32_v2i64
 4083     UINT64_C(1314922496),	// SSUBLv8i16_v4i32
 4084     UINT64_C(236986368),	// SSUBLv8i8_v8i16
 4085     UINT64_C(1170231296),	// SSUBWB_ZZZ_D
 4086     UINT64_C(1161842688),	// SSUBWB_ZZZ_H
 4087     UINT64_C(1166036992),	// SSUBWB_ZZZ_S
 4088     UINT64_C(1170232320),	// SSUBWT_ZZZ_D
 4089     UINT64_C(1161843712),	// SSUBWT_ZZZ_H
 4090     UINT64_C(1166038016),	// SSUBWT_ZZZ_S
 4091     UINT64_C(1310732288),	// SSUBWv16i8_v8i16
 4092     UINT64_C(245379072),	// SSUBWv2i32_v2i64
 4093     UINT64_C(241184768),	// SSUBWv4i16_v4i32
 4094     UINT64_C(1319120896),	// SSUBWv4i32_v2i64
 4095     UINT64_C(1314926592),	// SSUBWv8i16_v4i32
 4096     UINT64_C(236990464),	// SSUBWv8i8_v8i16
 4097     UINT64_C(3825221632),	// ST1B
 4098     UINT64_C(3831513088),	// ST1B_D
 4099     UINT64_C(3831554048),	// ST1B_D_IMM
 4100     UINT64_C(3827318784),	// ST1B_H
 4101     UINT64_C(3827359744),	// ST1B_H_IMM
 4102     UINT64_C(3825262592),	// ST1B_IMM
 4103     UINT64_C(3829415936),	// ST1B_S
 4104     UINT64_C(3829456896),	// ST1B_S_IMM
 4105     UINT64_C(3856678912),	// ST1D
 4106     UINT64_C(3856719872),	// ST1D_IMM
 4107     UINT64_C(1275076608),	// ST1Fourv16b
 4108     UINT64_C(1283465216),	// ST1Fourv16b_POST
 4109     UINT64_C(201337856),	// ST1Fourv1d
 4110     UINT64_C(209726464),	// ST1Fourv1d_POST
 4111     UINT64_C(1275079680),	// ST1Fourv2d
 4112     UINT64_C(1283468288),	// ST1Fourv2d_POST
 4113     UINT64_C(201336832),	// ST1Fourv2s
 4114     UINT64_C(209725440),	// ST1Fourv2s_POST
 4115     UINT64_C(201335808),	// ST1Fourv4h
 4116     UINT64_C(209724416),	// ST1Fourv4h_POST
 4117     UINT64_C(1275078656),	// ST1Fourv4s
 4118     UINT64_C(1283467264),	// ST1Fourv4s_POST
 4119     UINT64_C(201334784),	// ST1Fourv8b
 4120     UINT64_C(209723392),	// ST1Fourv8b_POST
 4121     UINT64_C(1275077632),	// ST1Fourv8h
 4122     UINT64_C(1283466240),	// ST1Fourv8h_POST
 4123     UINT64_C(3835707392),	// ST1H
 4124     UINT64_C(3839901696),	// ST1H_D
 4125     UINT64_C(3839942656),	// ST1H_D_IMM
 4126     UINT64_C(3835748352),	// ST1H_IMM
 4127     UINT64_C(3837804544),	// ST1H_S
 4128     UINT64_C(3837845504),	// ST1H_S_IMM
 4129     UINT64_C(1275097088),	// ST1Onev16b
 4130     UINT64_C(1283485696),	// ST1Onev16b_POST
 4131     UINT64_C(201358336),	// ST1Onev1d
 4132     UINT64_C(209746944),	// ST1Onev1d_POST
 4133     UINT64_C(1275100160),	// ST1Onev2d
 4134     UINT64_C(1283488768),	// ST1Onev2d_POST
 4135     UINT64_C(201357312),	// ST1Onev2s
 4136     UINT64_C(209745920),	// ST1Onev2s_POST
 4137     UINT64_C(201356288),	// ST1Onev4h
 4138     UINT64_C(209744896),	// ST1Onev4h_POST
 4139     UINT64_C(1275099136),	// ST1Onev4s
 4140     UINT64_C(1283487744),	// ST1Onev4s_POST
 4141     UINT64_C(201355264),	// ST1Onev8b
 4142     UINT64_C(209743872),	// ST1Onev8b_POST
 4143     UINT64_C(1275098112),	// ST1Onev8h
 4144     UINT64_C(1283486720),	// ST1Onev8h_POST
 4145     UINT64_C(1275092992),	// ST1Threev16b
 4146     UINT64_C(1283481600),	// ST1Threev16b_POST
 4147     UINT64_C(201354240),	// ST1Threev1d
 4148     UINT64_C(209742848),	// ST1Threev1d_POST
 4149     UINT64_C(1275096064),	// ST1Threev2d
 4150     UINT64_C(1283484672),	// ST1Threev2d_POST
 4151     UINT64_C(201353216),	// ST1Threev2s
 4152     UINT64_C(209741824),	// ST1Threev2s_POST
 4153     UINT64_C(201352192),	// ST1Threev4h
 4154     UINT64_C(209740800),	// ST1Threev4h_POST
 4155     UINT64_C(1275095040),	// ST1Threev4s
 4156     UINT64_C(1283483648),	// ST1Threev4s_POST
 4157     UINT64_C(201351168),	// ST1Threev8b
 4158     UINT64_C(209739776),	// ST1Threev8b_POST
 4159     UINT64_C(1275094016),	// ST1Threev8h
 4160     UINT64_C(1283482624),	// ST1Threev8h_POST
 4161     UINT64_C(1275109376),	// ST1Twov16b
 4162     UINT64_C(1283497984),	// ST1Twov16b_POST
 4163     UINT64_C(201370624),	// ST1Twov1d
 4164     UINT64_C(209759232),	// ST1Twov1d_POST
 4165     UINT64_C(1275112448),	// ST1Twov2d
 4166     UINT64_C(1283501056),	// ST1Twov2d_POST
 4167     UINT64_C(201369600),	// ST1Twov2s
 4168     UINT64_C(209758208),	// ST1Twov2s_POST
 4169     UINT64_C(201368576),	// ST1Twov4h
 4170     UINT64_C(209757184),	// ST1Twov4h_POST
 4171     UINT64_C(1275111424),	// ST1Twov4s
 4172     UINT64_C(1283500032),	// ST1Twov4s_POST
 4173     UINT64_C(201367552),	// ST1Twov8b
 4174     UINT64_C(209756160),	// ST1Twov8b_POST
 4175     UINT64_C(1275110400),	// ST1Twov8h
 4176     UINT64_C(1283499008),	// ST1Twov8h_POST
 4177     UINT64_C(3846193152),	// ST1W
 4178     UINT64_C(3848290304),	// ST1W_D
 4179     UINT64_C(3848331264),	// ST1W_D_IMM
 4180     UINT64_C(3846234112),	// ST1W_IMM
 4181     UINT64_C(218120192),	// ST1i16
 4182     UINT64_C(226508800),	// ST1i16_POST
 4183     UINT64_C(218136576),	// ST1i32
 4184     UINT64_C(226525184),	// ST1i32_POST
 4185     UINT64_C(218137600),	// ST1i64
 4186     UINT64_C(226526208),	// ST1i64_POST
 4187     UINT64_C(218103808),	// ST1i8
 4188     UINT64_C(226492416),	// ST1i8_POST
 4189     UINT64_C(3827326976),	// ST2B
 4190     UINT64_C(3828408320),	// ST2B_IMM
 4191     UINT64_C(3852492800),	// ST2D
 4192     UINT64_C(3853574144),	// ST2D_IMM
 4193     UINT64_C(3651143680),	// ST2GOffset
 4194     UINT64_C(3651142656),	// ST2GPostIndex
 4195     UINT64_C(3651144704),	// ST2GPreIndex
 4196     UINT64_C(3835715584),	// ST2H
 4197     UINT64_C(3836796928),	// ST2H_IMM
 4198     UINT64_C(1275101184),	// ST2Twov16b
 4199     UINT64_C(1283489792),	// ST2Twov16b_POST
 4200     UINT64_C(1275104256),	// ST2Twov2d
 4201     UINT64_C(1283492864),	// ST2Twov2d_POST
 4202     UINT64_C(201361408),	// ST2Twov2s
 4203     UINT64_C(209750016),	// ST2Twov2s_POST
 4204     UINT64_C(201360384),	// ST2Twov4h
 4205     UINT64_C(209748992),	// ST2Twov4h_POST
 4206     UINT64_C(1275103232),	// ST2Twov4s
 4207     UINT64_C(1283491840),	// ST2Twov4s_POST
 4208     UINT64_C(201359360),	// ST2Twov8b
 4209     UINT64_C(209747968),	// ST2Twov8b_POST
 4210     UINT64_C(1275102208),	// ST2Twov8h
 4211     UINT64_C(1283490816),	// ST2Twov8h_POST
 4212     UINT64_C(3844104192),	// ST2W
 4213     UINT64_C(3845185536),	// ST2W_IMM
 4214     UINT64_C(220217344),	// ST2i16
 4215     UINT64_C(228605952),	// ST2i16_POST
 4216     UINT64_C(220233728),	// ST2i32
 4217     UINT64_C(228622336),	// ST2i32_POST
 4218     UINT64_C(220234752),	// ST2i64
 4219     UINT64_C(228623360),	// ST2i64_POST
 4220     UINT64_C(220200960),	// ST2i8
 4221     UINT64_C(228589568),	// ST2i8_POST
 4222     UINT64_C(3829424128),	// ST3B
 4223     UINT64_C(3830505472),	// ST3B_IMM
 4224     UINT64_C(3854589952),	// ST3D
 4225     UINT64_C(3855671296),	// ST3D_IMM
 4226     UINT64_C(3837812736),	// ST3H
 4227     UINT64_C(3838894080),	// ST3H_IMM
 4228     UINT64_C(1275084800),	// ST3Threev16b
 4229     UINT64_C(1283473408),	// ST3Threev16b_POST
 4230     UINT64_C(1275087872),	// ST3Threev2d
 4231     UINT64_C(1283476480),	// ST3Threev2d_POST
 4232     UINT64_C(201345024),	// ST3Threev2s
 4233     UINT64_C(209733632),	// ST3Threev2s_POST
 4234     UINT64_C(201344000),	// ST3Threev4h
 4235     UINT64_C(209732608),	// ST3Threev4h_POST
 4236     UINT64_C(1275086848),	// ST3Threev4s
 4237     UINT64_C(1283475456),	// ST3Threev4s_POST
 4238     UINT64_C(201342976),	// ST3Threev8b
 4239     UINT64_C(209731584),	// ST3Threev8b_POST
 4240     UINT64_C(1275085824),	// ST3Threev8h
 4241     UINT64_C(1283474432),	// ST3Threev8h_POST
 4242     UINT64_C(3846201344),	// ST3W
 4243     UINT64_C(3847282688),	// ST3W_IMM
 4244     UINT64_C(218128384),	// ST3i16
 4245     UINT64_C(226516992),	// ST3i16_POST
 4246     UINT64_C(218144768),	// ST3i32
 4247     UINT64_C(226533376),	// ST3i32_POST
 4248     UINT64_C(218145792),	// ST3i64
 4249     UINT64_C(226534400),	// ST3i64_POST
 4250     UINT64_C(218112000),	// ST3i8
 4251     UINT64_C(226500608),	// ST3i8_POST
 4252     UINT64_C(3831521280),	// ST4B
 4253     UINT64_C(3832602624),	// ST4B_IMM
 4254     UINT64_C(3856687104),	// ST4D
 4255     UINT64_C(3857768448),	// ST4D_IMM
 4256     UINT64_C(1275068416),	// ST4Fourv16b
 4257     UINT64_C(1283457024),	// ST4Fourv16b_POST
 4258     UINT64_C(1275071488),	// ST4Fourv2d
 4259     UINT64_C(1283460096),	// ST4Fourv2d_POST
 4260     UINT64_C(201328640),	// ST4Fourv2s
 4261     UINT64_C(209717248),	// ST4Fourv2s_POST
 4262     UINT64_C(201327616),	// ST4Fourv4h
 4263     UINT64_C(209716224),	// ST4Fourv4h_POST
 4264     UINT64_C(1275070464),	// ST4Fourv4s
 4265     UINT64_C(1283459072),	// ST4Fourv4s_POST
 4266     UINT64_C(201326592),	// ST4Fourv8b
 4267     UINT64_C(209715200),	// ST4Fourv8b_POST
 4268     UINT64_C(1275069440),	// ST4Fourv8h
 4269     UINT64_C(1283458048),	// ST4Fourv8h_POST
 4270     UINT64_C(3839909888),	// ST4H
 4271     UINT64_C(3840991232),	// ST4H_IMM
 4272     UINT64_C(3848298496),	// ST4W
 4273     UINT64_C(3849379840),	// ST4W_IMM
 4274     UINT64_C(220225536),	// ST4i16
 4275     UINT64_C(228614144),	// ST4i16_POST
 4276     UINT64_C(220241920),	// ST4i32
 4277     UINT64_C(228630528),	// ST4i32_POST
 4278     UINT64_C(220242944),	// ST4i64
 4279     UINT64_C(228631552),	// ST4i64_POST
 4280     UINT64_C(220209152),	// ST4i8
 4281     UINT64_C(228597760),	// ST4i8_POST
 4282     UINT64_C(3651141632),	// STGM
 4283     UINT64_C(3642755072),	// STGOffset
 4284     UINT64_C(1761607680),	// STGPi
 4285     UINT64_C(3642754048),	// STGPostIndex
 4286     UINT64_C(1753219072),	// STGPpost
 4287     UINT64_C(1769996288),	// STGPpre
 4288     UINT64_C(3642756096),	// STGPreIndex
 4289     UINT64_C(0),	// STGloop
 4290     UINT64_C(144669696),	// STLLRB
 4291     UINT64_C(1218411520),	// STLLRH
 4292     UINT64_C(2292153344),	// STLLRW
 4293     UINT64_C(3365895168),	// STLLRX
 4294     UINT64_C(144702464),	// STLRB
 4295     UINT64_C(1218444288),	// STLRH
 4296     UINT64_C(2292186112),	// STLRW
 4297     UINT64_C(3365927936),	// STLRX
 4298     UINT64_C(419430400),	// STLURBi
 4299     UINT64_C(1493172224),	// STLURHi
 4300     UINT64_C(2566914048),	// STLURWi
 4301     UINT64_C(3640655872),	// STLURXi
 4302     UINT64_C(2283831296),	// STLXPW
 4303     UINT64_C(3357573120),	// STLXPX
 4304     UINT64_C(134250496),	// STLXRB
 4305     UINT64_C(1207992320),	// STLXRH
 4306     UINT64_C(2281734144),	// STLXRW
 4307     UINT64_C(3355475968),	// STLXRX
 4308     UINT64_C(1811939328),	// STNPDi
 4309     UINT64_C(2885681152),	// STNPQi
 4310     UINT64_C(738197504),	// STNPSi
 4311     UINT64_C(671088640),	// STNPWi
 4312     UINT64_C(2818572288),	// STNPXi
 4313     UINT64_C(3826311168),	// STNT1B_ZRI
 4314     UINT64_C(3825229824),	// STNT1B_ZRR
 4315     UINT64_C(3825213440),	// STNT1B_ZZR_D_REAL
 4316     UINT64_C(3829407744),	// STNT1B_ZZR_S_REAL
 4317     UINT64_C(3851476992),	// STNT1D_ZRI
 4318     UINT64_C(3850395648),	// STNT1D_ZRR
 4319     UINT64_C(3850379264),	// STNT1D_ZZR_D_REAL
 4320     UINT64_C(3834699776),	// STNT1H_ZRI
 4321     UINT64_C(3833618432),	// STNT1H_ZRR
 4322     UINT64_C(3833602048),	// STNT1H_ZZR_D_REAL
 4323     UINT64_C(3837796352),	// STNT1H_ZZR_S_REAL
 4324     UINT64_C(3843088384),	// STNT1W_ZRI
 4325     UINT64_C(3842007040),	// STNT1W_ZRR
 4326     UINT64_C(3841990656),	// STNT1W_ZZR_D_REAL
 4327     UINT64_C(3846184960),	// STNT1W_ZZR_S_REAL
 4328     UINT64_C(1828716544),	// STPDi
 4329     UINT64_C(1820327936),	// STPDpost
 4330     UINT64_C(1837105152),	// STPDpre
 4331     UINT64_C(2902458368),	// STPQi
 4332     UINT64_C(2894069760),	// STPQpost
 4333     UINT64_C(2910846976),	// STPQpre
 4334     UINT64_C(754974720),	// STPSi
 4335     UINT64_C(746586112),	// STPSpost
 4336     UINT64_C(763363328),	// STPSpre
 4337     UINT64_C(687865856),	// STPWi
 4338     UINT64_C(679477248),	// STPWpost
 4339     UINT64_C(696254464),	// STPWpre
 4340     UINT64_C(2835349504),	// STPXi
 4341     UINT64_C(2826960896),	// STPXpost
 4342     UINT64_C(2843738112),	// STPXpre
 4343     UINT64_C(939525120),	// STRBBpost
 4344     UINT64_C(939527168),	// STRBBpre
 4345     UINT64_C(941639680),	// STRBBroW
 4346     UINT64_C(941647872),	// STRBBroX
 4347     UINT64_C(956301312),	// STRBBui
 4348     UINT64_C(1006633984),	// STRBpost
 4349     UINT64_C(1006636032),	// STRBpre
 4350     UINT64_C(1008748544),	// STRBroW
 4351     UINT64_C(1008756736),	// STRBroX
 4352     UINT64_C(1023410176),	// STRBui
 4353     UINT64_C(4227859456),	// STRDpost
 4354     UINT64_C(4227861504),	// STRDpre
 4355     UINT64_C(4229974016),	// STRDroW
 4356     UINT64_C(4229982208),	// STRDroX
 4357     UINT64_C(4244635648),	// STRDui
 4358     UINT64_C(2013266944),	// STRHHpost
 4359     UINT64_C(2013268992),	// STRHHpre
 4360     UINT64_C(2015381504),	// STRHHroW
 4361     UINT64_C(2015389696),	// STRHHroX
 4362     UINT64_C(2030043136),	// STRHHui
 4363     UINT64_C(2080375808),	// STRHpost
 4364     UINT64_C(2080377856),	// STRHpre
 4365     UINT64_C(2082490368),	// STRHroW
 4366     UINT64_C(2082498560),	// STRHroX
 4367     UINT64_C(2097152000),	// STRHui
 4368     UINT64_C(1015022592),	// STRQpost
 4369     UINT64_C(1015024640),	// STRQpre
 4370     UINT64_C(1017137152),	// STRQroW
 4371     UINT64_C(1017145344),	// STRQroX
 4372     UINT64_C(1031798784),	// STRQui
 4373     UINT64_C(3154117632),	// STRSpost
 4374     UINT64_C(3154119680),	// STRSpre
 4375     UINT64_C(3156232192),	// STRSroW
 4376     UINT64_C(3156240384),	// STRSroX
 4377     UINT64_C(3170893824),	// STRSui
 4378     UINT64_C(3087008768),	// STRWpost
 4379     UINT64_C(3087010816),	// STRWpre
 4380     UINT64_C(3089123328),	// STRWroW
 4381     UINT64_C(3089131520),	// STRWroX
 4382     UINT64_C(3103784960),	// STRWui
 4383     UINT64_C(4160750592),	// STRXpost
 4384     UINT64_C(4160752640),	// STRXpre
 4385     UINT64_C(4162865152),	// STRXroW
 4386     UINT64_C(4162873344),	// STRXroX
 4387     UINT64_C(4177526784),	// STRXui
 4388     UINT64_C(3850371072),	// STR_PXI
 4389     UINT64_C(3850387456),	// STR_ZXI
 4390     UINT64_C(939526144),	// STTRBi
 4391     UINT64_C(2013267968),	// STTRHi
 4392     UINT64_C(3087009792),	// STTRWi
 4393     UINT64_C(4160751616),	// STTRXi
 4394     UINT64_C(939524096),	// STURBBi
 4395     UINT64_C(1006632960),	// STURBi
 4396     UINT64_C(4227858432),	// STURDi
 4397     UINT64_C(2013265920),	// STURHHi
 4398     UINT64_C(2080374784),	// STURHi
 4399     UINT64_C(1015021568),	// STURQi
 4400     UINT64_C(3154116608),	// STURSi
 4401     UINT64_C(3087007744),	// STURWi
 4402     UINT64_C(4160749568),	// STURXi
 4403     UINT64_C(2283798528),	// STXPW
 4404     UINT64_C(3357540352),	// STXPX
 4405     UINT64_C(134217728),	// STXRB
 4406     UINT64_C(1207959552),	// STXRH
 4407     UINT64_C(2281701376),	// STXRW
 4408     UINT64_C(3355443200),	// STXRX
 4409     UINT64_C(3655337984),	// STZ2GOffset
 4410     UINT64_C(3655336960),	// STZ2GPostIndex
 4411     UINT64_C(3655339008),	// STZ2GPreIndex
 4412     UINT64_C(3642753024),	// STZGM
 4413     UINT64_C(3646949376),	// STZGOffset
 4414     UINT64_C(3646948352),	// STZGPostIndex
 4415     UINT64_C(3646950400),	// STZGPreIndex
 4416     UINT64_C(0),	// STZGloop
 4417     UINT64_C(3514826752),	// SUBG
 4418     UINT64_C(1163948032),	// SUBHNB_ZZZ_B
 4419     UINT64_C(1168142336),	// SUBHNB_ZZZ_H
 4420     UINT64_C(1172336640),	// SUBHNB_ZZZ_S
 4421     UINT64_C(1163949056),	// SUBHNT_ZZZ_B
 4422     UINT64_C(1168143360),	// SUBHNT_ZZZ_H
 4423     UINT64_C(1172337664),	// SUBHNT_ZZZ_S
 4424     UINT64_C(245391360),	// SUBHNv2i64_v2i32
 4425     UINT64_C(1319133184),	// SUBHNv2i64_v4i32
 4426     UINT64_C(241197056),	// SUBHNv4i32_v4i16
 4427     UINT64_C(1314938880),	// SUBHNv4i32_v8i16
 4428     UINT64_C(1310744576),	// SUBHNv8i16_v16i8
 4429     UINT64_C(237002752),	// SUBHNv8i16_v8i8
 4430     UINT64_C(2596274176),	// SUBP
 4431     UINT64_C(3133145088),	// SUBPS
 4432     UINT64_C(623099904),	// SUBR_ZI_B
 4433     UINT64_C(635682816),	// SUBR_ZI_D
 4434     UINT64_C(627294208),	// SUBR_ZI_H
 4435     UINT64_C(631488512),	// SUBR_ZI_S
 4436     UINT64_C(67305472),	// SUBR_ZPmZ_B
 4437     UINT64_C(79888384),	// SUBR_ZPmZ_D
 4438     UINT64_C(71499776),	// SUBR_ZPmZ_H
 4439     UINT64_C(75694080),	// SUBR_ZPmZ_S
 4440     UINT64_C(1895825408),	// SUBSWri
 4441     UINT64_C(0),	// SUBSWrr
 4442     UINT64_C(1795162112),	// SUBSWrs
 4443     UINT64_C(1797259264),	// SUBSWrx
 4444     UINT64_C(4043309056),	// SUBSXri
 4445     UINT64_C(0),	// SUBSXrr
 4446     UINT64_C(3942645760),	// SUBSXrs
 4447     UINT64_C(3944742912),	// SUBSXrx
 4448     UINT64_C(3944767488),	// SUBSXrx64
 4449     UINT64_C(1358954496),	// SUBWri
 4450     UINT64_C(0),	// SUBWrr
 4451     UINT64_C(1258291200),	// SUBWrs
 4452     UINT64_C(1260388352),	// SUBWrx
 4453     UINT64_C(3506438144),	// SUBXri
 4454     UINT64_C(0),	// SUBXrr
 4455     UINT64_C(3405774848),	// SUBXrs
 4456     UINT64_C(3407872000),	// SUBXrx
 4457     UINT64_C(3407896576),	// SUBXrx64
 4458     UINT64_C(622968832),	// SUB_ZI_B
 4459     UINT64_C(635551744),	// SUB_ZI_D
 4460     UINT64_C(627163136),	// SUB_ZI_H
 4461     UINT64_C(631357440),	// SUB_ZI_S
 4462     UINT64_C(67174400),	// SUB_ZPmZ_B
 4463     UINT64_C(79757312),	// SUB_ZPmZ_D
 4464     UINT64_C(71368704),	// SUB_ZPmZ_H
 4465     UINT64_C(75563008),	// SUB_ZPmZ_S
 4466     UINT64_C(69207040),	// SUB_ZZZ_B
 4467     UINT64_C(81789952),	// SUB_ZZZ_D
 4468     UINT64_C(73401344),	// SUB_ZZZ_H
 4469     UINT64_C(77595648),	// SUB_ZZZ_S
 4470     UINT64_C(1847624704),	// SUBv16i8
 4471     UINT64_C(2128643072),	// SUBv1i64
 4472     UINT64_C(782271488),	// SUBv2i32
 4473     UINT64_C(1860207616),	// SUBv2i64
 4474     UINT64_C(778077184),	// SUBv4i16
 4475     UINT64_C(1856013312),	// SUBv4i32
 4476     UINT64_C(1851819008),	// SUBv8i16
 4477     UINT64_C(773882880),	// SUBv8i8
 4478     UINT64_C(99694592),	// SUNPKHI_ZZ_D
 4479     UINT64_C(91305984),	// SUNPKHI_ZZ_H
 4480     UINT64_C(95500288),	// SUNPKHI_ZZ_S
 4481     UINT64_C(99629056),	// SUNPKLO_ZZ_D
 4482     UINT64_C(91240448),	// SUNPKLO_ZZ_H
 4483     UINT64_C(95434752),	// SUNPKLO_ZZ_S
 4484     UINT64_C(1142718464),	// SUQADD_ZPmZ_B
 4485     UINT64_C(1155301376),	// SUQADD_ZPmZ_D
 4486     UINT64_C(1146912768),	// SUQADD_ZPmZ_H
 4487     UINT64_C(1151107072),	// SUQADD_ZPmZ_S
 4488     UINT64_C(1310734336),	// SUQADDv16i8
 4489     UINT64_C(1583364096),	// SUQADDv1i16
 4490     UINT64_C(1587558400),	// SUQADDv1i32
 4491     UINT64_C(1591752704),	// SUQADDv1i64
 4492     UINT64_C(1579169792),	// SUQADDv1i8
 4493     UINT64_C(245381120),	// SUQADDv2i32
 4494     UINT64_C(1323317248),	// SUQADDv2i64
 4495     UINT64_C(241186816),	// SUQADDv4i16
 4496     UINT64_C(1319122944),	// SUQADDv4i32
 4497     UINT64_C(1314928640),	// SUQADDv8i16
 4498     UINT64_C(236992512),	// SUQADDv8i8
 4499     UINT64_C(3556769793),	// SVC
 4500     UINT64_C(950042624),	// SWPAB
 4501     UINT64_C(2023784448),	// SWPAH
 4502     UINT64_C(954236928),	// SWPALB
 4503     UINT64_C(2027978752),	// SWPALH
 4504     UINT64_C(3101720576),	// SWPALW
 4505     UINT64_C(4175462400),	// SWPALX
 4506     UINT64_C(3097526272),	// SWPAW
 4507     UINT64_C(4171268096),	// SWPAX
 4508     UINT64_C(941654016),	// SWPB
 4509     UINT64_C(2015395840),	// SWPH
 4510     UINT64_C(945848320),	// SWPLB
 4511     UINT64_C(2019590144),	// SWPLH
 4512     UINT64_C(3093331968),	// SWPLW
 4513     UINT64_C(4167073792),	// SWPLX
 4514     UINT64_C(3089137664),	// SWPW
 4515     UINT64_C(4162879488),	// SWPX
 4516     UINT64_C(80781312),	// SXTB_ZPmZ_D
 4517     UINT64_C(72392704),	// SXTB_ZPmZ_H
 4518     UINT64_C(76587008),	// SXTB_ZPmZ_S
 4519     UINT64_C(80912384),	// SXTH_ZPmZ_D
 4520     UINT64_C(76718080),	// SXTH_ZPmZ_S
 4521     UINT64_C(81043456),	// SXTW_ZPmZ_D
 4522     UINT64_C(3576168448),	// SYSLxt
 4523     UINT64_C(3574071296),	// SYSxt
 4524     UINT64_C(0),	// SpeculationSafeValueW
 4525     UINT64_C(0),	// SpeculationSafeValueX
 4526     UINT64_C(0),	// TAGPstack
 4527     UINT64_C(85993472),	// TBL_ZZZZ_B
 4528     UINT64_C(98576384),	// TBL_ZZZZ_D
 4529     UINT64_C(90187776),	// TBL_ZZZZ_H
 4530     UINT64_C(94382080),	// TBL_ZZZZ_S
 4531     UINT64_C(85995520),	// TBL_ZZZ_B
 4532     UINT64_C(98578432),	// TBL_ZZZ_D
 4533     UINT64_C(90189824),	// TBL_ZZZ_H
 4534     UINT64_C(94384128),	// TBL_ZZZ_S
 4535     UINT64_C(1308647424),	// TBLv16i8Four
 4536     UINT64_C(1308622848),	// TBLv16i8One
 4537     UINT64_C(1308639232),	// TBLv16i8Three
 4538     UINT64_C(1308631040),	// TBLv16i8Two
 4539     UINT64_C(234905600),	// TBLv8i8Four
 4540     UINT64_C(234881024),	// TBLv8i8One
 4541     UINT64_C(234897408),	// TBLv8i8Three
 4542     UINT64_C(234889216),	// TBLv8i8Two
 4543     UINT64_C(922746880),	// TBNZW
 4544     UINT64_C(3070230528),	// TBNZX
 4545     UINT64_C(85994496),	// TBX_ZZZ_B
 4546     UINT64_C(98577408),	// TBX_ZZZ_D
 4547     UINT64_C(90188800),	// TBX_ZZZ_H
 4548     UINT64_C(94383104),	// TBX_ZZZ_S
 4549     UINT64_C(1308651520),	// TBXv16i8Four
 4550     UINT64_C(1308626944),	// TBXv16i8One
 4551     UINT64_C(1308643328),	// TBXv16i8Three
 4552     UINT64_C(1308635136),	// TBXv16i8Two
 4553     UINT64_C(234909696),	// TBXv8i8Four
 4554     UINT64_C(234885120),	// TBXv8i8One
 4555     UINT64_C(234901504),	// TBXv8i8Three
 4556     UINT64_C(234893312),	// TBXv8i8Two
 4557     UINT64_C(905969664),	// TBZW
 4558     UINT64_C(3053453312),	// TBZX
 4559     UINT64_C(3563061248),	// TCANCEL
 4560     UINT64_C(3573756031),	// TCOMMIT
 4561     UINT64_C(0),	// TCRETURNdi
 4562     UINT64_C(0),	// TCRETURNri
 4563     UINT64_C(0),	// TCRETURNriALL
 4564     UINT64_C(0),	// TCRETURNriBTI
 4565     UINT64_C(0),	// TLSDESCCALL
 4566     UINT64_C(0),	// TLSDESC_CALLSEQ
 4567     UINT64_C(86003712),	// TRN1_PPP_B
 4568     UINT64_C(98586624),	// TRN1_PPP_D
 4569     UINT64_C(90198016),	// TRN1_PPP_H
 4570     UINT64_C(94392320),	// TRN1_PPP_S
 4571     UINT64_C(86011904),	// TRN1_ZZZ_B
 4572     UINT64_C(98594816),	// TRN1_ZZZ_D
 4573     UINT64_C(90206208),	// TRN1_ZZZ_H
 4574     UINT64_C(94400512),	// TRN1_ZZZ_S
 4575     UINT64_C(1308633088),	// TRN1v16i8
 4576     UINT64_C(243279872),	// TRN1v2i32
 4577     UINT64_C(1321216000),	// TRN1v2i64
 4578     UINT64_C(239085568),	// TRN1v4i16
 4579     UINT64_C(1317021696),	// TRN1v4i32
 4580     UINT64_C(1312827392),	// TRN1v8i16
 4581     UINT64_C(234891264),	// TRN1v8i8
 4582     UINT64_C(86004736),	// TRN2_PPP_B
 4583     UINT64_C(98587648),	// TRN2_PPP_D
 4584     UINT64_C(90199040),	// TRN2_PPP_H
 4585     UINT64_C(94393344),	// TRN2_PPP_S
 4586     UINT64_C(86012928),	// TRN2_ZZZ_B
 4587     UINT64_C(98595840),	// TRN2_ZZZ_D
 4588     UINT64_C(90207232),	// TRN2_ZZZ_H
 4589     UINT64_C(94401536),	// TRN2_ZZZ_S
 4590     UINT64_C(1308649472),	// TRN2v16i8
 4591     UINT64_C(243296256),	// TRN2v2i32
 4592     UINT64_C(1321232384),	// TRN2v2i64
 4593     UINT64_C(239101952),	// TRN2v4i16
 4594     UINT64_C(1317038080),	// TRN2v4i32
 4595     UINT64_C(1312843776),	// TRN2v8i16
 4596     UINT64_C(234907648),	// TRN2v8i8
 4597     UINT64_C(3573752415),	// TSB
 4598     UINT64_C(3575853152),	// TSTART
 4599     UINT64_C(3575853408),	// TTEST
 4600     UINT64_C(1170262016),	// UABALB_ZZZ_D
 4601     UINT64_C(1161873408),	// UABALB_ZZZ_H
 4602     UINT64_C(1166067712),	// UABALB_ZZZ_S
 4603     UINT64_C(1170263040),	// UABALT_ZZZ_D
 4604     UINT64_C(1161874432),	// UABALT_ZZZ_H
 4605     UINT64_C(1166068736),	// UABALT_ZZZ_S
 4606     UINT64_C(1847611392),	// UABALv16i8_v8i16
 4607     UINT64_C(782258176),	// UABALv2i32_v2i64
 4608     UINT64_C(778063872),	// UABALv4i16_v4i32
 4609     UINT64_C(1856000000),	// UABALv4i32_v2i64
 4610     UINT64_C(1851805696),	// UABALv8i16_v4i32
 4611     UINT64_C(773869568),	// UABALv8i8_v8i16
 4612     UINT64_C(1157692416),	// UABA_ZZZ_B
 4613     UINT64_C(1170275328),	// UABA_ZZZ_D
 4614     UINT64_C(1161886720),	// UABA_ZZZ_H
 4615     UINT64_C(1166081024),	// UABA_ZZZ_S
 4616     UINT64_C(1847622656),	// UABAv16i8
 4617     UINT64_C(782269440),	// UABAv2i32
 4618     UINT64_C(778075136),	// UABAv4i16
 4619     UINT64_C(1856011264),	// UABAv4i32
 4620     UINT64_C(1851816960),	// UABAv8i16
 4621     UINT64_C(773880832),	// UABAv8i8
 4622     UINT64_C(1170225152),	// UABDLB_ZZZ_D
 4623     UINT64_C(1161836544),	// UABDLB_ZZZ_H
 4624     UINT64_C(1166030848),	// UABDLB_ZZZ_S
 4625     UINT64_C(1170226176),	// UABDLT_ZZZ_D
 4626     UINT64_C(1161837568),	// UABDLT_ZZZ_H
 4627     UINT64_C(1166031872),	// UABDLT_ZZZ_S
 4628     UINT64_C(1847619584),	// UABDLv16i8_v8i16
 4629     UINT64_C(782266368),	// UABDLv2i32_v2i64
 4630     UINT64_C(778072064),	// UABDLv4i16_v4i32
 4631     UINT64_C(1856008192),	// UABDLv4i32_v2i64
 4632     UINT64_C(1851813888),	// UABDLv8i16_v4i32
 4633     UINT64_C(773877760),	// UABDLv8i8_v8i16
 4634     UINT64_C(67960832),	// UABD_ZPmZ_B
 4635     UINT64_C(80543744),	// UABD_ZPmZ_D
 4636     UINT64_C(72155136),	// UABD_ZPmZ_H
 4637     UINT64_C(76349440),	// UABD_ZPmZ_S
 4638     UINT64_C(1847620608),	// UABDv16i8
 4639     UINT64_C(782267392),	// UABDv2i32
 4640     UINT64_C(778073088),	// UABDv4i16
 4641     UINT64_C(1856009216),	// UABDv4i32
 4642     UINT64_C(1851814912),	// UABDv8i16
 4643     UINT64_C(773878784),	// UABDv8i8
 4644     UINT64_C(1153802240),	// UADALP_ZPmZ_D
 4645     UINT64_C(1145413632),	// UADALP_ZPmZ_H
 4646     UINT64_C(1149607936),	// UADALP_ZPmZ_S
 4647     UINT64_C(1847617536),	// UADALPv16i8_v8i16
 4648     UINT64_C(782264320),	// UADALPv2i32_v1i64
 4649     UINT64_C(778070016),	// UADALPv4i16_v2i32
 4650     UINT64_C(1856006144),	// UADALPv4i32_v2i64
 4651     UINT64_C(1851811840),	// UADALPv8i16_v4i32
 4652     UINT64_C(773875712),	// UADALPv8i8_v4i16
 4653     UINT64_C(1170212864),	// UADDLB_ZZZ_D
 4654     UINT64_C(1161824256),	// UADDLB_ZZZ_H
 4655     UINT64_C(1166018560),	// UADDLB_ZZZ_S
 4656     UINT64_C(1847601152),	// UADDLPv16i8_v8i16
 4657     UINT64_C(782247936),	// UADDLPv2i32_v1i64
 4658     UINT64_C(778053632),	// UADDLPv4i16_v2i32
 4659     UINT64_C(1855989760),	// UADDLPv4i32_v2i64
 4660     UINT64_C(1851795456),	// UADDLPv8i16_v4i32
 4661     UINT64_C(773859328),	// UADDLPv8i8_v4i16
 4662     UINT64_C(1170213888),	// UADDLT_ZZZ_D
 4663     UINT64_C(1161825280),	// UADDLT_ZZZ_H
 4664     UINT64_C(1166019584),	// UADDLT_ZZZ_S
 4665     UINT64_C(1848653824),	// UADDLVv16i8v
 4666     UINT64_C(779106304),	// UADDLVv4i16v
 4667     UINT64_C(1857042432),	// UADDLVv4i32v
 4668     UINT64_C(1852848128),	// UADDLVv8i16v
 4669     UINT64_C(774912000),	// UADDLVv8i8v
 4670     UINT64_C(1847590912),	// UADDLv16i8_v8i16
 4671     UINT64_C(782237696),	// UADDLv2i32_v2i64
 4672     UINT64_C(778043392),	// UADDLv4i16_v4i32
 4673     UINT64_C(1855979520),	// UADDLv4i32_v2i64
 4674     UINT64_C(1851785216),	// UADDLv8i16_v4i32
 4675     UINT64_C(773849088),	// UADDLv8i8_v8i16
 4676     UINT64_C(67182592),	// UADDV_VPZ_B
 4677     UINT64_C(79765504),	// UADDV_VPZ_D
 4678     UINT64_C(71376896),	// UADDV_VPZ_H
 4679     UINT64_C(75571200),	// UADDV_VPZ_S
 4680     UINT64_C(1170229248),	// UADDWB_ZZZ_D
 4681     UINT64_C(1161840640),	// UADDWB_ZZZ_H
 4682     UINT64_C(1166034944),	// UADDWB_ZZZ_S
 4683     UINT64_C(1170230272),	// UADDWT_ZZZ_D
 4684     UINT64_C(1161841664),	// UADDWT_ZZZ_H
 4685     UINT64_C(1166035968),	// UADDWT_ZZZ_S
 4686     UINT64_C(1847595008),	// UADDWv16i8_v8i16
 4687     UINT64_C(782241792),	// UADDWv2i32_v2i64
 4688     UINT64_C(778047488),	// UADDWv4i16_v4i32
 4689     UINT64_C(1855983616),	// UADDWv4i32_v2i64
 4690     UINT64_C(1851789312),	// UADDWv8i16_v4i32
 4691     UINT64_C(773853184),	// UADDWv8i8_v8i16
 4692     UINT64_C(1392508928),	// UBFMWri
 4693     UINT64_C(3544186880),	// UBFMXri
 4694     UINT64_C(507740160),	// UCVTFSWDri
 4695     UINT64_C(516128768),	// UCVTFSWHri
 4696     UINT64_C(503545856),	// UCVTFSWSri
 4697     UINT64_C(2655191040),	// UCVTFSXDri
 4698     UINT64_C(2663579648),	// UCVTFSXHri
 4699     UINT64_C(2650996736),	// UCVTFSXSri
 4700     UINT64_C(509804544),	// UCVTFUWDri
 4701     UINT64_C(518193152),	// UCVTFUWHri
 4702     UINT64_C(505610240),	// UCVTFUWSri
 4703     UINT64_C(2657288192),	// UCVTFUXDri
 4704     UINT64_C(2665676800),	// UCVTFUXHri
 4705     UINT64_C(2653093888),	// UCVTFUXSri
 4706     UINT64_C(1708630016),	// UCVTF_ZPmZ_DtoD
 4707     UINT64_C(1700241408),	// UCVTF_ZPmZ_DtoH
 4708     UINT64_C(1708498944),	// UCVTF_ZPmZ_DtoS
 4709     UINT64_C(1699979264),	// UCVTF_ZPmZ_HtoH
 4710     UINT64_C(1708236800),	// UCVTF_ZPmZ_StoD
 4711     UINT64_C(1700110336),	// UCVTF_ZPmZ_StoH
 4712     UINT64_C(1704304640),	// UCVTF_ZPmZ_StoS
 4713     UINT64_C(2134959104),	// UCVTFd
 4714     UINT64_C(2131813376),	// UCVTFh
 4715     UINT64_C(2132861952),	// UCVTFs
 4716     UINT64_C(2121914368),	// UCVTFv1i16
 4717     UINT64_C(2116147200),	// UCVTFv1i32
 4718     UINT64_C(2120341504),	// UCVTFv1i64
 4719     UINT64_C(773969920),	// UCVTFv2f32
 4720     UINT64_C(1851906048),	// UCVTFv2f64
 4721     UINT64_C(790684672),	// UCVTFv2i32_shift
 4722     UINT64_C(1866523648),	// UCVTFv2i64_shift
 4723     UINT64_C(779737088),	// UCVTFv4f16
 4724     UINT64_C(1847711744),	// UCVTFv4f32
 4725     UINT64_C(789636096),	// UCVTFv4i16_shift
 4726     UINT64_C(1864426496),	// UCVTFv4i32_shift
 4727     UINT64_C(1853478912),	// UCVTFv8f16
 4728     UINT64_C(1863377920),	// UCVTFv8i16_shift
 4729     UINT64_C(0),	// UDF
 4730     UINT64_C(81199104),	// UDIVR_ZPmZ_D
 4731     UINT64_C(77004800),	// UDIVR_ZPmZ_S
 4732     UINT64_C(448792576),	// UDIVWr
 4733     UINT64_C(2596276224),	// UDIVXr
 4734     UINT64_C(81068032),	// UDIV_ZPmZ_D
 4735     UINT64_C(76873728),	// UDIV_ZPmZ_S
 4736     UINT64_C(1155531776),	// UDOT_ZZZI_D
 4737     UINT64_C(1151337472),	// UDOT_ZZZI_S
 4738     UINT64_C(1153434624),	// UDOT_ZZZ_D
 4739     UINT64_C(1149240320),	// UDOT_ZZZ_S
 4740     UINT64_C(1870716928),	// UDOTlanev16i8
 4741     UINT64_C(796975104),	// UDOTlanev8i8
 4742     UINT64_C(1853920256),	// UDOTv16i8
 4743     UINT64_C(780178432),	// UDOTv8i8
 4744     UINT64_C(1141997568),	// UHADD_ZPmZ_B
 4745     UINT64_C(1154580480),	// UHADD_ZPmZ_D
 4746     UINT64_C(1146191872),	// UHADD_ZPmZ_H
 4747     UINT64_C(1150386176),	// UHADD_ZPmZ_S
 4748     UINT64_C(1847591936),	// UHADDv16i8
 4749     UINT64_C(782238720),	// UHADDv2i32
 4750     UINT64_C(778044416),	// UHADDv4i16
 4751     UINT64_C(1855980544),	// UHADDv4i32
 4752     UINT64_C(1851786240),	// UHADDv8i16
 4753     UINT64_C(773850112),	// UHADDv8i8
 4754     UINT64_C(1142390784),	// UHSUBR_ZPmZ_B
 4755     UINT64_C(1154973696),	// UHSUBR_ZPmZ_D
 4756     UINT64_C(1146585088),	// UHSUBR_ZPmZ_H
 4757     UINT64_C(1150779392),	// UHSUBR_ZPmZ_S
 4758     UINT64_C(1142128640),	// UHSUB_ZPmZ_B
 4759     UINT64_C(1154711552),	// UHSUB_ZPmZ_D
 4760     UINT64_C(1146322944),	// UHSUB_ZPmZ_H
 4761     UINT64_C(1150517248),	// UHSUB_ZPmZ_S
 4762     UINT64_C(1847600128),	// UHSUBv16i8
 4763     UINT64_C(782246912),	// UHSUBv2i32
 4764     UINT64_C(778052608),	// UHSUBv4i16
 4765     UINT64_C(1855988736),	// UHSUBv4i32
 4766     UINT64_C(1851794432),	// UHSUBv8i16
 4767     UINT64_C(773858304),	// UHSUBv8i8
 4768     UINT64_C(2610954240),	// UMADDLrrr
 4769     UINT64_C(1142267904),	// UMAXP_ZPmZ_B
 4770     UINT64_C(1154850816),	// UMAXP_ZPmZ_D
 4771     UINT64_C(1146462208),	// UMAXP_ZPmZ_H
 4772     UINT64_C(1150656512),	// UMAXP_ZPmZ_S
 4773     UINT64_C(1847632896),	// UMAXPv16i8
 4774     UINT64_C(782279680),	// UMAXPv2i32
 4775     UINT64_C(778085376),	// UMAXPv4i16
 4776     UINT64_C(1856021504),	// UMAXPv4i32
 4777     UINT64_C(1851827200),	// UMAXPv8i16
 4778     UINT64_C(773891072),	// UMAXPv8i8
 4779     UINT64_C(67706880),	// UMAXV_VPZ_B
 4780     UINT64_C(80289792),	// UMAXV_VPZ_D
 4781     UINT64_C(71901184),	// UMAXV_VPZ_H
 4782     UINT64_C(76095488),	// UMAXV_VPZ_S
 4783     UINT64_C(1848682496),	// UMAXVv16i8v
 4784     UINT64_C(779134976),	// UMAXVv4i16v
 4785     UINT64_C(1857071104),	// UMAXVv4i32v
 4786     UINT64_C(1852876800),	// UMAXVv8i16v
 4787     UINT64_C(774940672),	// UMAXVv8i8v
 4788     UINT64_C(623493120),	// UMAX_ZI_B
 4789     UINT64_C(636076032),	// UMAX_ZI_D
 4790     UINT64_C(627687424),	// UMAX_ZI_H
 4791     UINT64_C(631881728),	// UMAX_ZI_S
 4792     UINT64_C(67698688),	// UMAX_ZPmZ_B
 4793     UINT64_C(80281600),	// UMAX_ZPmZ_D
 4794     UINT64_C(71892992),	// UMAX_ZPmZ_H
 4795     UINT64_C(76087296),	// UMAX_ZPmZ_S
 4796     UINT64_C(1847616512),	// UMAXv16i8
 4797     UINT64_C(782263296),	// UMAXv2i32
 4798     UINT64_C(778068992),	// UMAXv4i16
 4799     UINT64_C(1856005120),	// UMAXv4i32
 4800     UINT64_C(1851810816),	// UMAXv8i16
 4801     UINT64_C(773874688),	// UMAXv8i8
 4802     UINT64_C(1142398976),	// UMINP_ZPmZ_B
 4803     UINT64_C(1154981888),	// UMINP_ZPmZ_D
 4804     UINT64_C(1146593280),	// UMINP_ZPmZ_H
 4805     UINT64_C(1150787584),	// UMINP_ZPmZ_S
 4806     UINT64_C(1847634944),	// UMINPv16i8
 4807     UINT64_C(782281728),	// UMINPv2i32
 4808     UINT64_C(778087424),	// UMINPv4i16
 4809     UINT64_C(1856023552),	// UMINPv4i32
 4810     UINT64_C(1851829248),	// UMINPv8i16
 4811     UINT64_C(773893120),	// UMINPv8i8
 4812     UINT64_C(67837952),	// UMINV_VPZ_B
 4813     UINT64_C(80420864),	// UMINV_VPZ_D
 4814     UINT64_C(72032256),	// UMINV_VPZ_H
 4815     UINT64_C(76226560),	// UMINV_VPZ_S
 4816     UINT64_C(1848748032),	// UMINVv16i8v
 4817     UINT64_C(779200512),	// UMINVv4i16v
 4818     UINT64_C(1857136640),	// UMINVv4i32v
 4819     UINT64_C(1852942336),	// UMINVv8i16v
 4820     UINT64_C(775006208),	// UMINVv8i8v
 4821     UINT64_C(623624192),	// UMIN_ZI_B
 4822     UINT64_C(636207104),	// UMIN_ZI_D
 4823     UINT64_C(627818496),	// UMIN_ZI_H
 4824     UINT64_C(632012800),	// UMIN_ZI_S
 4825     UINT64_C(67829760),	// UMIN_ZPmZ_B
 4826     UINT64_C(80412672),	// UMIN_ZPmZ_D
 4827     UINT64_C(72024064),	// UMIN_ZPmZ_H
 4828     UINT64_C(76218368),	// UMIN_ZPmZ_S
 4829     UINT64_C(1847618560),	// UMINv16i8
 4830     UINT64_C(782265344),	// UMINv2i32
 4831     UINT64_C(778071040),	// UMINv4i16
 4832     UINT64_C(1856007168),	// UMINv4i32
 4833     UINT64_C(1851812864),	// UMINv8i16
 4834     UINT64_C(773876736),	// UMINv8i8
 4835     UINT64_C(1155567616),	// UMLALB_ZZZI_D
 4836     UINT64_C(1151373312),	// UMLALB_ZZZI_S
 4837     UINT64_C(1153452032),	// UMLALB_ZZZ_D
 4838     UINT64_C(1145063424),	// UMLALB_ZZZ_H
 4839     UINT64_C(1149257728),	// UMLALB_ZZZ_S
 4840     UINT64_C(1155568640),	// UMLALT_ZZZI_D
 4841     UINT64_C(1151374336),	// UMLALT_ZZZI_S
 4842     UINT64_C(1153453056),	// UMLALT_ZZZ_D
 4843     UINT64_C(1145064448),	// UMLALT_ZZZ_H
 4844     UINT64_C(1149258752),	// UMLALT_ZZZ_S
 4845     UINT64_C(1847623680),	// UMLALv16i8_v8i16
 4846     UINT64_C(796925952),	// UMLALv2i32_indexed
 4847     UINT64_C(782270464),	// UMLALv2i32_v2i64
 4848     UINT64_C(792731648),	// UMLALv4i16_indexed
 4849     UINT64_C(778076160),	// UMLALv4i16_v4i32
 4850     UINT64_C(1870667776),	// UMLALv4i32_indexed
 4851     UINT64_C(1856012288),	// UMLALv4i32_v2i64
 4852     UINT64_C(1866473472),	// UMLALv8i16_indexed
 4853     UINT64_C(1851817984),	// UMLALv8i16_v4i32
 4854     UINT64_C(773881856),	// UMLALv8i8_v8i16
 4855     UINT64_C(1155575808),	// UMLSLB_ZZZI_D
 4856     UINT64_C(1151381504),	// UMLSLB_ZZZI_S
 4857     UINT64_C(1153456128),	// UMLSLB_ZZZ_D
 4858     UINT64_C(1145067520),	// UMLSLB_ZZZ_H
 4859     UINT64_C(1149261824),	// UMLSLB_ZZZ_S
 4860     UINT64_C(1155576832),	// UMLSLT_ZZZI_D
 4861     UINT64_C(1151382528),	// UMLSLT_ZZZI_S
 4862     UINT64_C(1153457152),	// UMLSLT_ZZZ_D
 4863     UINT64_C(1145068544),	// UMLSLT_ZZZ_H
 4864     UINT64_C(1149262848),	// UMLSLT_ZZZ_S
 4865     UINT64_C(1847631872),	// UMLSLv16i8_v8i16
 4866     UINT64_C(796942336),	// UMLSLv2i32_indexed
 4867     UINT64_C(782278656),	// UMLSLv2i32_v2i64
 4868     UINT64_C(792748032),	// UMLSLv4i16_indexed
 4869     UINT64_C(778084352),	// UMLSLv4i16_v4i32
 4870     UINT64_C(1870684160),	// UMLSLv4i32_indexed
 4871     UINT64_C(1856020480),	// UMLSLv4i32_v2i64
 4872     UINT64_C(1866489856),	// UMLSLv8i16_indexed
 4873     UINT64_C(1851826176),	// UMLSLv8i16_v4i32
 4874     UINT64_C(773890048),	// UMLSLv8i8_v8i16
 4875     UINT64_C(235027456),	// UMOVvi16
 4876     UINT64_C(235158528),	// UMOVvi32
 4877     UINT64_C(1309162496),	// UMOVvi64
 4878     UINT64_C(234961920),	// UMOVvi8
 4879     UINT64_C(2610987008),	// UMSUBLrrr
 4880     UINT64_C(68354048),	// UMULH_ZPmZ_B
 4881     UINT64_C(80936960),	// UMULH_ZPmZ_D
 4882     UINT64_C(72548352),	// UMULH_ZPmZ_H
 4883     UINT64_C(76742656),	// UMULH_ZPmZ_S
 4884     UINT64_C(69233664),	// UMULH_ZZZ_B
 4885     UINT64_C(81816576),	// UMULH_ZZZ_D
 4886     UINT64_C(73427968),	// UMULH_ZZZ_H
 4887     UINT64_C(77622272),	// UMULH_ZZZ_S
 4888     UINT64_C(2613051392),	// UMULHrr
 4889     UINT64_C(1155584000),	// UMULLB_ZZZI_D
 4890     UINT64_C(1151389696),	// UMULLB_ZZZI_S
 4891     UINT64_C(1170241536),	// UMULLB_ZZZ_D
 4892     UINT64_C(1161852928),	// UMULLB_ZZZ_H
 4893     UINT64_C(1166047232),	// UMULLB_ZZZ_S
 4894     UINT64_C(1155585024),	// UMULLT_ZZZI_D
 4895     UINT64_C(1151390720),	// UMULLT_ZZZI_S
 4896     UINT64_C(1170242560),	// UMULLT_ZZZ_D
 4897     UINT64_C(1161853952),	// UMULLT_ZZZ_H
 4898     UINT64_C(1166048256),	// UMULLT_ZZZ_S
 4899     UINT64_C(1847640064),	// UMULLv16i8_v8i16
 4900     UINT64_C(796958720),	// UMULLv2i32_indexed
 4901     UINT64_C(782286848),	// UMULLv2i32_v2i64
 4902     UINT64_C(792764416),	// UMULLv4i16_indexed
 4903     UINT64_C(778092544),	// UMULLv4i16_v4i32
 4904     UINT64_C(1870700544),	// UMULLv4i32_indexed
 4905     UINT64_C(1856028672),	// UMULLv4i32_v2i64
 4906     UINT64_C(1866506240),	// UMULLv8i16_indexed
 4907     UINT64_C(1851834368),	// UMULLv8i16_v4i32
 4908     UINT64_C(773898240),	// UMULLv8i8_v8i16
 4909     UINT64_C(623230976),	// UQADD_ZI_B
 4910     UINT64_C(635813888),	// UQADD_ZI_D
 4911     UINT64_C(627425280),	// UQADD_ZI_H
 4912     UINT64_C(631619584),	// UQADD_ZI_S
 4913     UINT64_C(1142521856),	// UQADD_ZPmZ_B
 4914     UINT64_C(1155104768),	// UQADD_ZPmZ_D
 4915     UINT64_C(1146716160),	// UQADD_ZPmZ_H
 4916     UINT64_C(1150910464),	// UQADD_ZPmZ_S
 4917     UINT64_C(69211136),	// UQADD_ZZZ_B
 4918     UINT64_C(81794048),	// UQADD_ZZZ_D
 4919     UINT64_C(73405440),	// UQADD_ZZZ_H
 4920     UINT64_C(77599744),	// UQADD_ZZZ_S
 4921     UINT64_C(1847593984),	// UQADDv16i8
 4922     UINT64_C(2120223744),	// UQADDv1i16
 4923     UINT64_C(2124418048),	// UQADDv1i32
 4924     UINT64_C(2128612352),	// UQADDv1i64
 4925     UINT64_C(2116029440),	// UQADDv1i8
 4926     UINT64_C(782240768),	// UQADDv2i32
 4927     UINT64_C(1860176896),	// UQADDv2i64
 4928     UINT64_C(778046464),	// UQADDv4i16
 4929     UINT64_C(1855982592),	// UQADDv4i32
 4930     UINT64_C(1851788288),	// UQADDv8i16
 4931     UINT64_C(773852160),	// UQADDv8i8
 4932     UINT64_C(69270528),	// UQDECB_WPiI
 4933     UINT64_C(70319104),	// UQDECB_XPiI
 4934     UINT64_C(81853440),	// UQDECD_WPiI
 4935     UINT64_C(82902016),	// UQDECD_XPiI
 4936     UINT64_C(81841152),	// UQDECD_ZPiI
 4937     UINT64_C(73464832),	// UQDECH_WPiI
 4938     UINT64_C(74513408),	// UQDECH_XPiI
 4939     UINT64_C(73452544),	// UQDECH_ZPiI
 4940     UINT64_C(623609856),	// UQDECP_WP_B
 4941     UINT64_C(636192768),	// UQDECP_WP_D
 4942     UINT64_C(627804160),	// UQDECP_WP_H
 4943     UINT64_C(631998464),	// UQDECP_WP_S
 4944     UINT64_C(623610880),	// UQDECP_XP_B
 4945     UINT64_C(636193792),	// UQDECP_XP_D
 4946     UINT64_C(627805184),	// UQDECP_XP_H
 4947     UINT64_C(631999488),	// UQDECP_XP_S
 4948     UINT64_C(636190720),	// UQDECP_ZP_D
 4949     UINT64_C(627802112),	// UQDECP_ZP_H
 4950     UINT64_C(631996416),	// UQDECP_ZP_S
 4951     UINT64_C(77659136),	// UQDECW_WPiI
 4952     UINT64_C(78707712),	// UQDECW_XPiI
 4953     UINT64_C(77646848),	// UQDECW_ZPiI
 4954     UINT64_C(69268480),	// UQINCB_WPiI
 4955     UINT64_C(70317056),	// UQINCB_XPiI
 4956     UINT64_C(81851392),	// UQINCD_WPiI
 4957     UINT64_C(82899968),	// UQINCD_XPiI
 4958     UINT64_C(81839104),	// UQINCD_ZPiI
 4959     UINT64_C(73462784),	// UQINCH_WPiI
 4960     UINT64_C(74511360),	// UQINCH_XPiI
 4961     UINT64_C(73450496),	// UQINCH_ZPiI
 4962     UINT64_C(623478784),	// UQINCP_WP_B
 4963     UINT64_C(636061696),	// UQINCP_WP_D
 4964     UINT64_C(627673088),	// UQINCP_WP_H
 4965     UINT64_C(631867392),	// UQINCP_WP_S
 4966     UINT64_C(623479808),	// UQINCP_XP_B
 4967     UINT64_C(636062720),	// UQINCP_XP_D
 4968     UINT64_C(627674112),	// UQINCP_XP_H
 4969     UINT64_C(631868416),	// UQINCP_XP_S
 4970     UINT64_C(636059648),	// UQINCP_ZP_D
 4971     UINT64_C(627671040),	// UQINCP_ZP_H
 4972     UINT64_C(631865344),	// UQINCP_ZP_S
 4973     UINT64_C(77657088),	// UQINCW_WPiI
 4974     UINT64_C(78705664),	// UQINCW_XPiI
 4975     UINT64_C(77644800),	// UQINCW_ZPiI
 4976     UINT64_C(1141866496),	// UQRSHLR_ZPmZ_B
 4977     UINT64_C(1154449408),	// UQRSHLR_ZPmZ_D
 4978     UINT64_C(1146060800),	// UQRSHLR_ZPmZ_H
 4979     UINT64_C(1150255104),	// UQRSHLR_ZPmZ_S
 4980     UINT64_C(1141604352),	// UQRSHL_ZPmZ_B
 4981     UINT64_C(1154187264),	// UQRSHL_ZPmZ_D
 4982     UINT64_C(1145798656),	// UQRSHL_ZPmZ_H
 4983     UINT64_C(1149992960),	// UQRSHL_ZPmZ_S
 4984     UINT64_C(1847614464),	// UQRSHLv16i8
 4985     UINT64_C(2120244224),	// UQRSHLv1i16
 4986     UINT64_C(2124438528),	// UQRSHLv1i32
 4987     UINT64_C(2128632832),	// UQRSHLv1i64
 4988     UINT64_C(2116049920),	// UQRSHLv1i8
 4989     UINT64_C(782261248),	// UQRSHLv2i32
 4990     UINT64_C(1860197376),	// UQRSHLv2i64
 4991     UINT64_C(778066944),	// UQRSHLv4i16
 4992     UINT64_C(1856003072),	// UQRSHLv4i32
 4993     UINT64_C(1851808768),	// UQRSHLv8i16
 4994     UINT64_C(773872640),	// UQRSHLv8i8
 4995     UINT64_C(1160263680),	// UQRSHRNB_ZZI_B
 4996     UINT64_C(1160787968),	// UQRSHRNB_ZZI_H
 4997     UINT64_C(1163933696),	// UQRSHRNB_ZZI_S
 4998     UINT64_C(1160264704),	// UQRSHRNT_ZZI_B
 4999     UINT64_C(1160788992),	// UQRSHRNT_ZZI_H
 5000     UINT64_C(1163934720),	// UQRSHRNT_ZZI_S
 5001     UINT64_C(2131270656),	// UQRSHRNb
 5002     UINT64_C(2131794944),	// UQRSHRNh
 5003     UINT64_C(2132843520),	// UQRSHRNs
 5004     UINT64_C(1862835200),	// UQRSHRNv16i8_shift
 5005     UINT64_C(790666240),	// UQRSHRNv2i32_shift
 5006     UINT64_C(789617664),	// UQRSHRNv4i16_shift
 5007     UINT64_C(1864408064),	// UQRSHRNv4i32_shift
 5008     UINT64_C(1863359488),	// UQRSHRNv8i16_shift
 5009     UINT64_C(789093376),	// UQRSHRNv8i8_shift
 5010     UINT64_C(1141735424),	// UQSHLR_ZPmZ_B
 5011     UINT64_C(1154318336),	// UQSHLR_ZPmZ_D
 5012     UINT64_C(1145929728),	// UQSHLR_ZPmZ_H
 5013     UINT64_C(1150124032),	// UQSHLR_ZPmZ_S
 5014     UINT64_C(67600640),	// UQSHL_ZPmI_B
 5015     UINT64_C(75988992),	// UQSHL_ZPmI_D
 5016     UINT64_C(67600896),	// UQSHL_ZPmI_H
 5017     UINT64_C(71794688),	// UQSHL_ZPmI_S
 5018     UINT64_C(1141473280),	// UQSHL_ZPmZ_B
 5019     UINT64_C(1154056192),	// UQSHL_ZPmZ_D
 5020     UINT64_C(1145667584),	// UQSHL_ZPmZ_H
 5021     UINT64_C(1149861888),	// UQSHL_ZPmZ_S
 5022     UINT64_C(2131260416),	// UQSHLb
 5023     UINT64_C(2134930432),	// UQSHLd
 5024     UINT64_C(2131784704),	// UQSHLh
 5025     UINT64_C(2132833280),	// UQSHLs
 5026     UINT64_C(1847610368),	// UQSHLv16i8
 5027     UINT64_C(1862824960),	// UQSHLv16i8_shift
 5028     UINT64_C(2120240128),	// UQSHLv1i16
 5029     UINT64_C(2124434432),	// UQSHLv1i32
 5030     UINT64_C(2128628736),	// UQSHLv1i64
 5031     UINT64_C(2116045824),	// UQSHLv1i8
 5032     UINT64_C(782257152),	// UQSHLv2i32
 5033     UINT64_C(790656000),	// UQSHLv2i32_shift
 5034     UINT64_C(1860193280),	// UQSHLv2i64
 5035     UINT64_C(1866494976),	// UQSHLv2i64_shift
 5036     UINT64_C(778062848),	// UQSHLv4i16
 5037     UINT64_C(789607424),	// UQSHLv4i16_shift
 5038     UINT64_C(1855998976),	// UQSHLv4i32
 5039     UINT64_C(1864397824),	// UQSHLv4i32_shift
 5040     UINT64_C(1851804672),	// UQSHLv8i16
 5041     UINT64_C(1863349248),	// UQSHLv8i16_shift
 5042     UINT64_C(773868544),	// UQSHLv8i8
 5043     UINT64_C(789083136),	// UQSHLv8i8_shift
 5044     UINT64_C(1160261632),	// UQSHRNB_ZZI_B
 5045     UINT64_C(1160785920),	// UQSHRNB_ZZI_H
 5046     UINT64_C(1163931648),	// UQSHRNB_ZZI_S
 5047     UINT64_C(1160262656),	// UQSHRNT_ZZI_B
 5048     UINT64_C(1160786944),	// UQSHRNT_ZZI_H
 5049     UINT64_C(1163932672),	// UQSHRNT_ZZI_S
 5050     UINT64_C(2131268608),	// UQSHRNb
 5051     UINT64_C(2131792896),	// UQSHRNh
 5052     UINT64_C(2132841472),	// UQSHRNs
 5053     UINT64_C(1862833152),	// UQSHRNv16i8_shift
 5054     UINT64_C(790664192),	// UQSHRNv2i32_shift
 5055     UINT64_C(789615616),	// UQSHRNv4i16_shift
 5056     UINT64_C(1864406016),	// UQSHRNv4i32_shift
 5057     UINT64_C(1863357440),	// UQSHRNv8i16_shift
 5058     UINT64_C(789091328),	// UQSHRNv8i8_shift
 5059     UINT64_C(1142915072),	// UQSUBR_ZPmZ_B
 5060     UINT64_C(1155497984),	// UQSUBR_ZPmZ_D
 5061     UINT64_C(1147109376),	// UQSUBR_ZPmZ_H
 5062     UINT64_C(1151303680),	// UQSUBR_ZPmZ_S
 5063     UINT64_C(623362048),	// UQSUB_ZI_B
 5064     UINT64_C(635944960),	// UQSUB_ZI_D
 5065     UINT64_C(627556352),	// UQSUB_ZI_H
 5066     UINT64_C(631750656),	// UQSUB_ZI_S
 5067     UINT64_C(1142652928),	// UQSUB_ZPmZ_B
 5068     UINT64_C(1155235840),	// UQSUB_ZPmZ_D
 5069     UINT64_C(1146847232),	// UQSUB_ZPmZ_H
 5070     UINT64_C(1151041536),	// UQSUB_ZPmZ_S
 5071     UINT64_C(69213184),	// UQSUB_ZZZ_B
 5072     UINT64_C(81796096),	// UQSUB_ZZZ_D
 5073     UINT64_C(73407488),	// UQSUB_ZZZ_H
 5074     UINT64_C(77601792),	// UQSUB_ZZZ_S
 5075     UINT64_C(1847602176),	// UQSUBv16i8
 5076     UINT64_C(2120231936),	// UQSUBv1i16
 5077     UINT64_C(2124426240),	// UQSUBv1i32
 5078     UINT64_C(2128620544),	// UQSUBv1i64
 5079     UINT64_C(2116037632),	// UQSUBv1i8
 5080     UINT64_C(782248960),	// UQSUBv2i32
 5081     UINT64_C(1860185088),	// UQSUBv2i64
 5082     UINT64_C(778054656),	// UQSUBv4i16
 5083     UINT64_C(1855990784),	// UQSUBv4i32
 5084     UINT64_C(1851796480),	// UQSUBv8i16
 5085     UINT64_C(773860352),	// UQSUBv8i8
 5086     UINT64_C(1160267776),	// UQXTNB_ZZ_B
 5087     UINT64_C(1160792064),	// UQXTNB_ZZ_H
 5088     UINT64_C(1163937792),	// UQXTNB_ZZ_S
 5089     UINT64_C(1160268800),	// UQXTNT_ZZ_B
 5090     UINT64_C(1160793088),	// UQXTNT_ZZ_H
 5091     UINT64_C(1163938816),	// UQXTNT_ZZ_S
 5092     UINT64_C(1847674880),	// UQXTNv16i8
 5093     UINT64_C(2120304640),	// UQXTNv1i16
 5094     UINT64_C(2124498944),	// UQXTNv1i32
 5095     UINT64_C(2116110336),	// UQXTNv1i8
 5096     UINT64_C(782321664),	// UQXTNv2i32
 5097     UINT64_C(778127360),	// UQXTNv4i16
 5098     UINT64_C(1856063488),	// UQXTNv4i32
 5099     UINT64_C(1851869184),	// UQXTNv8i16
 5100     UINT64_C(773933056),	// UQXTNv8i8
 5101     UINT64_C(1149280256),	// URECPE_ZPmZ_S
 5102     UINT64_C(245483520),	// URECPEv2i32
 5103     UINT64_C(1319225344),	// URECPEv4i32
 5104     UINT64_C(1142259712),	// URHADD_ZPmZ_B
 5105     UINT64_C(1154842624),	// URHADD_ZPmZ_D
 5106     UINT64_C(1146454016),	// URHADD_ZPmZ_H
 5107     UINT64_C(1150648320),	// URHADD_ZPmZ_S
 5108     UINT64_C(1847596032),	// URHADDv16i8
 5109     UINT64_C(782242816),	// URHADDv2i32
 5110     UINT64_C(778048512),	// URHADDv4i16
 5111     UINT64_C(1855984640),	// URHADDv4i32
 5112     UINT64_C(1851790336),	// URHADDv8i16
 5113     UINT64_C(773854208),	// URHADDv8i8
 5114     UINT64_C(1141342208),	// URSHLR_ZPmZ_B
 5115     UINT64_C(1153925120),	// URSHLR_ZPmZ_D
 5116     UINT64_C(1145536512),	// URSHLR_ZPmZ_H
 5117     UINT64_C(1149730816),	// URSHLR_ZPmZ_S
 5118     UINT64_C(1141080064),	// URSHL_ZPmZ_B
 5119     UINT64_C(1153662976),	// URSHL_ZPmZ_D
 5120     UINT64_C(1145274368),	// URSHL_ZPmZ_H
 5121     UINT64_C(1149468672),	// URSHL_ZPmZ_S
 5122     UINT64_C(1847612416),	// URSHLv16i8
 5123     UINT64_C(2128630784),	// URSHLv1i64
 5124     UINT64_C(782259200),	// URSHLv2i32
 5125     UINT64_C(1860195328),	// URSHLv2i64
 5126     UINT64_C(778064896),	// URSHLv4i16
 5127     UINT64_C(1856001024),	// URSHLv4i32
 5128     UINT64_C(1851806720),	// URSHLv8i16
 5129     UINT64_C(773870592),	// URSHLv8i8
 5130     UINT64_C(67993856),	// URSHR_ZPmI_B
 5131     UINT64_C(76382208),	// URSHR_ZPmI_D
 5132     UINT64_C(67994112),	// URSHR_ZPmI_H
 5133     UINT64_C(72187904),	// URSHR_ZPmI_S
 5134     UINT64_C(2134909952),	// URSHRd
 5135     UINT64_C(1862804480),	// URSHRv16i8_shift
 5136     UINT64_C(790635520),	// URSHRv2i32_shift
 5137     UINT64_C(1866474496),	// URSHRv2i64_shift
 5138     UINT64_C(789586944),	// URSHRv4i16_shift
 5139     UINT64_C(1864377344),	// URSHRv4i32_shift
 5140     UINT64_C(1863328768),	// URSHRv8i16_shift
 5141     UINT64_C(789062656),	// URSHRv8i8_shift
 5142     UINT64_C(1149345792),	// URSQRTE_ZPmZ_S
 5143     UINT64_C(782354432),	// URSQRTEv2i32
 5144     UINT64_C(1856096256),	// URSQRTEv4i32
 5145     UINT64_C(1158212608),	// URSRA_ZZI_B
 5146     UINT64_C(1166076928),	// URSRA_ZZI_D
 5147     UINT64_C(1158736896),	// URSRA_ZZI_H
 5148     UINT64_C(1161882624),	// URSRA_ZZI_S
 5149     UINT64_C(2134914048),	// URSRAd
 5150     UINT64_C(1862808576),	// URSRAv16i8_shift
 5151     UINT64_C(790639616),	// URSRAv2i32_shift
 5152     UINT64_C(1866478592),	// URSRAv2i64_shift
 5153     UINT64_C(789591040),	// URSRAv4i16_shift
 5154     UINT64_C(1864381440),	// URSRAv4i32_shift
 5155     UINT64_C(1863332864),	// URSRAv8i16_shift
 5156     UINT64_C(789066752),	// URSRAv8i8_shift
 5157     UINT64_C(1161865216),	// USHLLB_ZZI_D
 5158     UINT64_C(1158195200),	// USHLLB_ZZI_H
 5159     UINT64_C(1158719488),	// USHLLB_ZZI_S
 5160     UINT64_C(1161866240),	// USHLLT_ZZI_D
 5161     UINT64_C(1158196224),	// USHLLT_ZZI_H
 5162     UINT64_C(1158720512),	// USHLLT_ZZI_S
 5163     UINT64_C(1862837248),	// USHLLv16i8_shift
 5164     UINT64_C(790668288),	// USHLLv2i32_shift
 5165     UINT64_C(789619712),	// USHLLv4i16_shift
 5166     UINT64_C(1864410112),	// USHLLv4i32_shift
 5167     UINT64_C(1863361536),	// USHLLv8i16_shift
 5168     UINT64_C(789095424),	// USHLLv8i8_shift
 5169     UINT64_C(1847608320),	// USHLv16i8
 5170     UINT64_C(2128626688),	// USHLv1i64
 5171     UINT64_C(782255104),	// USHLv2i32
 5172     UINT64_C(1860191232),	// USHLv2i64
 5173     UINT64_C(778060800),	// USHLv4i16
 5174     UINT64_C(1855996928),	// USHLv4i32
 5175     UINT64_C(1851802624),	// USHLv8i16
 5176     UINT64_C(773866496),	// USHLv8i8
 5177     UINT64_C(2134901760),	// USHRd
 5178     UINT64_C(1862796288),	// USHRv16i8_shift
 5179     UINT64_C(790627328),	// USHRv2i32_shift
 5180     UINT64_C(1866466304),	// USHRv2i64_shift
 5181     UINT64_C(789578752),	// USHRv4i16_shift
 5182     UINT64_C(1864369152),	// USHRv4i32_shift
 5183     UINT64_C(1863320576),	// USHRv8i16_shift
 5184     UINT64_C(789054464),	// USHRv8i8_shift
 5185     UINT64_C(1142784000),	// USQADD_ZPmZ_B
 5186     UINT64_C(1155366912),	// USQADD_ZPmZ_D
 5187     UINT64_C(1146978304),	// USQADD_ZPmZ_H
 5188     UINT64_C(1151172608),	// USQADD_ZPmZ_S
 5189     UINT64_C(1847605248),	// USQADDv16i8
 5190     UINT64_C(2120235008),	// USQADDv1i16
 5191     UINT64_C(2124429312),	// USQADDv1i32
 5192     UINT64_C(2128623616),	// USQADDv1i64
 5193     UINT64_C(2116040704),	// USQADDv1i8
 5194     UINT64_C(782252032),	// USQADDv2i32
 5195     UINT64_C(1860188160),	// USQADDv2i64
 5196     UINT64_C(778057728),	// USQADDv4i16
 5197     UINT64_C(1855993856),	// USQADDv4i32
 5198     UINT64_C(1851799552),	// USQADDv8i16
 5199     UINT64_C(773863424),	// USQADDv8i8
 5200     UINT64_C(1158210560),	// USRA_ZZI_B
 5201     UINT64_C(1166074880),	// USRA_ZZI_D
 5202     UINT64_C(1158734848),	// USRA_ZZI_H
 5203     UINT64_C(1161880576),	// USRA_ZZI_S
 5204     UINT64_C(2134905856),	// USRAd
 5205     UINT64_C(1862800384),	// USRAv16i8_shift
 5206     UINT64_C(790631424),	// USRAv2i32_shift
 5207     UINT64_C(1866470400),	// USRAv2i64_shift
 5208     UINT64_C(789582848),	// USRAv4i16_shift
 5209     UINT64_C(1864373248),	// USRAv4i32_shift
 5210     UINT64_C(1863324672),	// USRAv8i16_shift
 5211     UINT64_C(789058560),	// USRAv8i8_shift
 5212     UINT64_C(1170216960),	// USUBLB_ZZZ_D
 5213     UINT64_C(1161828352),	// USUBLB_ZZZ_H
 5214     UINT64_C(1166022656),	// USUBLB_ZZZ_S
 5215     UINT64_C(1170217984),	// USUBLT_ZZZ_D
 5216     UINT64_C(1161829376),	// USUBLT_ZZZ_H
 5217     UINT64_C(1166023680),	// USUBLT_ZZZ_S
 5218     UINT64_C(1847599104),	// USUBLv16i8_v8i16
 5219     UINT64_C(782245888),	// USUBLv2i32_v2i64
 5220     UINT64_C(778051584),	// USUBLv4i16_v4i32
 5221     UINT64_C(1855987712),	// USUBLv4i32_v2i64
 5222     UINT64_C(1851793408),	// USUBLv8i16_v4i32
 5223     UINT64_C(773857280),	// USUBLv8i8_v8i16
 5224     UINT64_C(1170233344),	// USUBWB_ZZZ_D
 5225     UINT64_C(1161844736),	// USUBWB_ZZZ_H
 5226     UINT64_C(1166039040),	// USUBWB_ZZZ_S
 5227     UINT64_C(1170234368),	// USUBWT_ZZZ_D
 5228     UINT64_C(1161845760),	// USUBWT_ZZZ_H
 5229     UINT64_C(1166040064),	// USUBWT_ZZZ_S
 5230     UINT64_C(1847603200),	// USUBWv16i8_v8i16
 5231     UINT64_C(782249984),	// USUBWv2i32_v2i64
 5232     UINT64_C(778055680),	// USUBWv4i16_v4i32
 5233     UINT64_C(1855991808),	// USUBWv4i32_v2i64
 5234     UINT64_C(1851797504),	// USUBWv8i16_v4i32
 5235     UINT64_C(773861376),	// USUBWv8i8_v8i16
 5236     UINT64_C(99825664),	// UUNPKHI_ZZ_D
 5237     UINT64_C(91437056),	// UUNPKHI_ZZ_H
 5238     UINT64_C(95631360),	// UUNPKHI_ZZ_S
 5239     UINT64_C(99760128),	// UUNPKLO_ZZ_D
 5240     UINT64_C(91371520),	// UUNPKLO_ZZ_H
 5241     UINT64_C(95565824),	// UUNPKLO_ZZ_S
 5242     UINT64_C(80846848),	// UXTB_ZPmZ_D
 5243     UINT64_C(72458240),	// UXTB_ZPmZ_H
 5244     UINT64_C(76652544),	// UXTB_ZPmZ_S
 5245     UINT64_C(80977920),	// UXTH_ZPmZ_D
 5246     UINT64_C(76783616),	// UXTH_ZPmZ_S
 5247     UINT64_C(81108992),	// UXTW_ZPmZ_D
 5248     UINT64_C(86001664),	// UZP1_PPP_B
 5249     UINT64_C(98584576),	// UZP1_PPP_D
 5250     UINT64_C(90195968),	// UZP1_PPP_H
 5251     UINT64_C(94390272),	// UZP1_PPP_S
 5252     UINT64_C(86009856),	// UZP1_ZZZ_B
 5253     UINT64_C(98592768),	// UZP1_ZZZ_D
 5254     UINT64_C(90204160),	// UZP1_ZZZ_H
 5255     UINT64_C(94398464),	// UZP1_ZZZ_S
 5256     UINT64_C(1308628992),	// UZP1v16i8
 5257     UINT64_C(243275776),	// UZP1v2i32
 5258     UINT64_C(1321211904),	// UZP1v2i64
 5259     UINT64_C(239081472),	// UZP1v4i16
 5260     UINT64_C(1317017600),	// UZP1v4i32
 5261     UINT64_C(1312823296),	// UZP1v8i16
 5262     UINT64_C(234887168),	// UZP1v8i8
 5263     UINT64_C(86002688),	// UZP2_PPP_B
 5264     UINT64_C(98585600),	// UZP2_PPP_D
 5265     UINT64_C(90196992),	// UZP2_PPP_H
 5266     UINT64_C(94391296),	// UZP2_PPP_S
 5267     UINT64_C(86010880),	// UZP2_ZZZ_B
 5268     UINT64_C(98593792),	// UZP2_ZZZ_D
 5269     UINT64_C(90205184),	// UZP2_ZZZ_H
 5270     UINT64_C(94399488),	// UZP2_ZZZ_S
 5271     UINT64_C(1308645376),	// UZP2v16i8
 5272     UINT64_C(243292160),	// UZP2v2i32
 5273     UINT64_C(1321228288),	// UZP2v2i64
 5274     UINT64_C(239097856),	// UZP2v4i16
 5275     UINT64_C(1317033984),	// UZP2v4i32
 5276     UINT64_C(1312839680),	// UZP2v8i16
 5277     UINT64_C(234903552),	// UZP2v8i8
 5278     UINT64_C(622854144),	// WHILEGE_PWW_B
 5279     UINT64_C(635437056),	// WHILEGE_PWW_D
 5280     UINT64_C(627048448),	// WHILEGE_PWW_H
 5281     UINT64_C(631242752),	// WHILEGE_PWW_S
 5282     UINT64_C(622858240),	// WHILEGE_PXX_B
 5283     UINT64_C(635441152),	// WHILEGE_PXX_D
 5284     UINT64_C(627052544),	// WHILEGE_PXX_H
 5285     UINT64_C(631246848),	// WHILEGE_PXX_S
 5286     UINT64_C(622854160),	// WHILEGT_PWW_B
 5287     UINT64_C(635437072),	// WHILEGT_PWW_D
 5288     UINT64_C(627048464),	// WHILEGT_PWW_H
 5289     UINT64_C(631242768),	// WHILEGT_PWW_S
 5290     UINT64_C(622858256),	// WHILEGT_PXX_B
 5291     UINT64_C(635441168),	// WHILEGT_PXX_D
 5292     UINT64_C(627052560),	// WHILEGT_PXX_H
 5293     UINT64_C(631246864),	// WHILEGT_PXX_S
 5294     UINT64_C(622856208),	// WHILEHI_PWW_B
 5295     UINT64_C(635439120),	// WHILEHI_PWW_D
 5296     UINT64_C(627050512),	// WHILEHI_PWW_H
 5297     UINT64_C(631244816),	// WHILEHI_PWW_S
 5298     UINT64_C(622860304),	// WHILEHI_PXX_B
 5299     UINT64_C(635443216),	// WHILEHI_PXX_D
 5300     UINT64_C(627054608),	// WHILEHI_PXX_H
 5301     UINT64_C(631248912),	// WHILEHI_PXX_S
 5302     UINT64_C(622856192),	// WHILEHS_PWW_B
 5303     UINT64_C(635439104),	// WHILEHS_PWW_D
 5304     UINT64_C(627050496),	// WHILEHS_PWW_H
 5305     UINT64_C(631244800),	// WHILEHS_PWW_S
 5306     UINT64_C(622860288),	// WHILEHS_PXX_B
 5307     UINT64_C(635443200),	// WHILEHS_PXX_D
 5308     UINT64_C(627054592),	// WHILEHS_PXX_H
 5309     UINT64_C(631248896),	// WHILEHS_PXX_S
 5310     UINT64_C(622855184),	// WHILELE_PWW_B
 5311     UINT64_C(635438096),	// WHILELE_PWW_D
 5312     UINT64_C(627049488),	// WHILELE_PWW_H
 5313     UINT64_C(631243792),	// WHILELE_PWW_S
 5314     UINT64_C(622859280),	// WHILELE_PXX_B
 5315     UINT64_C(635442192),	// WHILELE_PXX_D
 5316     UINT64_C(627053584),	// WHILELE_PXX_H
 5317     UINT64_C(631247888),	// WHILELE_PXX_S
 5318     UINT64_C(622857216),	// WHILELO_PWW_B
 5319     UINT64_C(635440128),	// WHILELO_PWW_D
 5320     UINT64_C(627051520),	// WHILELO_PWW_H
 5321     UINT64_C(631245824),	// WHILELO_PWW_S
 5322     UINT64_C(622861312),	// WHILELO_PXX_B
 5323     UINT64_C(635444224),	// WHILELO_PXX_D
 5324     UINT64_C(627055616),	// WHILELO_PXX_H
 5325     UINT64_C(631249920),	// WHILELO_PXX_S
 5326     UINT64_C(622857232),	// WHILELS_PWW_B
 5327     UINT64_C(635440144),	// WHILELS_PWW_D
 5328     UINT64_C(627051536),	// WHILELS_PWW_H
 5329     UINT64_C(631245840),	// WHILELS_PWW_S
 5330     UINT64_C(622861328),	// WHILELS_PXX_B
 5331     UINT64_C(635444240),	// WHILELS_PXX_D
 5332     UINT64_C(627055632),	// WHILELS_PXX_H
 5333     UINT64_C(631249936),	// WHILELS_PXX_S
 5334     UINT64_C(622855168),	// WHILELT_PWW_B
 5335     UINT64_C(635438080),	// WHILELT_PWW_D
 5336     UINT64_C(627049472),	// WHILELT_PWW_H
 5337     UINT64_C(631243776),	// WHILELT_PWW_S
 5338     UINT64_C(622859264),	// WHILELT_PXX_B
 5339     UINT64_C(635442176),	// WHILELT_PXX_D
 5340     UINT64_C(627053568),	// WHILELT_PXX_H
 5341     UINT64_C(631247872),	// WHILELT_PXX_S
 5342     UINT64_C(622866448),	// WHILERW_PXX_B
 5343     UINT64_C(635449360),	// WHILERW_PXX_D
 5344     UINT64_C(627060752),	// WHILERW_PXX_H
 5345     UINT64_C(631255056),	// WHILERW_PXX_S
 5346     UINT64_C(622866432),	// WHILEWR_PXX_B
 5347     UINT64_C(635449344),	// WHILEWR_PXX_D
 5348     UINT64_C(627060736),	// WHILEWR_PXX_H
 5349     UINT64_C(631255040),	// WHILEWR_PXX_S
 5350     UINT64_C(623415296),	// WRFFR
 5351     UINT64_C(3573563455),	// XAFLAG
 5352     UINT64_C(3464495104),	// XAR
 5353     UINT64_C(69743616),	// XAR_ZZZI_B
 5354     UINT64_C(77607936),	// XAR_ZZZI_D
 5355     UINT64_C(70267904),	// XAR_ZZZI_H
 5356     UINT64_C(73413632),	// XAR_ZZZI_S
 5357     UINT64_C(3670099936),	// XPACD
 5358     UINT64_C(3670098912),	// XPACI
 5359     UINT64_C(3573752063),	// XPACLRI
 5360     UINT64_C(1310795776),	// XTNv16i8
 5361     UINT64_C(245442560),	// XTNv2i32
 5362     UINT64_C(241248256),	// XTNv4i16
 5363     UINT64_C(1319184384),	// XTNv4i32
 5364     UINT64_C(1314990080),	// XTNv8i16
 5365     UINT64_C(237053952),	// XTNv8i8
 5366     UINT64_C(85999616),	// ZIP1_PPP_B
 5367     UINT64_C(98582528),	// ZIP1_PPP_D
 5368     UINT64_C(90193920),	// ZIP1_PPP_H
 5369     UINT64_C(94388224),	// ZIP1_PPP_S
 5370     UINT64_C(86007808),	// ZIP1_ZZZ_B
 5371     UINT64_C(98590720),	// ZIP1_ZZZ_D
 5372     UINT64_C(90202112),	// ZIP1_ZZZ_H
 5373     UINT64_C(94396416),	// ZIP1_ZZZ_S
 5374     UINT64_C(1308637184),	// ZIP1v16i8
 5375     UINT64_C(243283968),	// ZIP1v2i32
 5376     UINT64_C(1321220096),	// ZIP1v2i64
 5377     UINT64_C(239089664),	// ZIP1v4i16
 5378     UINT64_C(1317025792),	// ZIP1v4i32
 5379     UINT64_C(1312831488),	// ZIP1v8i16
 5380     UINT64_C(234895360),	// ZIP1v8i8
 5381     UINT64_C(86000640),	// ZIP2_PPP_B
 5382     UINT64_C(98583552),	// ZIP2_PPP_D
 5383     UINT64_C(90194944),	// ZIP2_PPP_H
 5384     UINT64_C(94389248),	// ZIP2_PPP_S
 5385     UINT64_C(86008832),	// ZIP2_ZZZ_B
 5386     UINT64_C(98591744),	// ZIP2_ZZZ_D
 5387     UINT64_C(90203136),	// ZIP2_ZZZ_H
 5388     UINT64_C(94397440),	// ZIP2_ZZZ_S
 5389     UINT64_C(1308653568),	// ZIP2v16i8
 5390     UINT64_C(243300352),	// ZIP2v2i32
 5391     UINT64_C(1321236480),	// ZIP2v2i64
 5392     UINT64_C(239106048),	// ZIP2v4i16
 5393     UINT64_C(1317042176),	// ZIP2v4i32
 5394     UINT64_C(1312847872),	// ZIP2v8i16
 5395     UINT64_C(234911744),	// ZIP2v8i8
 5396     UINT64_C(626573312),	// anonymous_2659
 5397     UINT64_C(0)
 5509       op &= UINT64_C(15);
 5518       op &= UINT64_C(15);
 5543       op &= UINT64_C(15);
 5547       op &= UINT64_C(15);
 5552       op &= UINT64_C(15);
 5557       op &= UINT64_C(15);
 5568       op &= UINT64_C(15);
 5572       op &= UINT64_C(15);
 5577       op &= UINT64_C(15);
 5586       op &= UINT64_C(15);
 5590       op &= UINT64_C(15);
 5676       op &= UINT64_C(15);
 5680       op &= UINT64_C(7);
 5685       op &= UINT64_C(31);
 5690       op &= UINT64_C(31);
 5715       op &= UINT64_C(15);
 5719       op &= UINT64_C(7);
 5724       op &= UINT64_C(31);
 5755       op &= UINT64_C(15);
 5759       op &= UINT64_C(7);
 5764       op &= UINT64_C(31);
 5769       op &= UINT64_C(31);
 5792       op &= UINT64_C(15);
 5796       op &= UINT64_C(7);
 5801       op &= UINT64_C(31);
 5806       op &= UINT64_C(127);
 5815       op &= UINT64_C(15);
 5819       op &= UINT64_C(15);
 5824       op &= UINT64_C(15);
 5855       op &= UINT64_C(15);
 5859       op &= UINT64_C(15);
 5864       op &= UINT64_C(15);
 5877       op &= UINT64_C(15);
 5881       op &= UINT64_C(15);
 5960       op &= UINT64_C(15);
 5964       op &= UINT64_C(31);
 5969       op &= UINT64_C(31);
 5984       op &= UINT64_C(15);
 5988       op &= UINT64_C(31);
 5997       op &= UINT64_C(15);
 6001       op &= UINT64_C(15);
 6006       op &= UINT64_C(15);
 6018       op &= UINT64_C(15);
 6022       op &= UINT64_C(15);
 6030       op &= UINT64_C(15);
 6035       op &= UINT64_C(15);
 6046       op &= UINT64_C(15);
 6051       op &= UINT64_C(15);
 6056       op &= UINT64_C(31);
 6066       op &= UINT64_C(15);
 6071       op &= UINT64_C(31);
 6075       op &= UINT64_C(31);
 6080       op &= UINT64_C(31);
 6095       op &= UINT64_C(7);
 6100       op &= UINT64_C(31);
 6104       op &= UINT64_C(31);
 6119       op &= UINT64_C(7);
 6124       op &= UINT64_C(31);
 6128       op &= UINT64_C(31);
 6177       op &= UINT64_C(7);
 6182       op &= UINT64_C(31);
 6187       op &= UINT64_C(31);
 6192       op &= UINT64_C(31);
 6217       op &= UINT64_C(7);
 6222       op &= UINT64_C(31);
 6227       op &= UINT64_C(31);
 6232       op &= UINT64_C(31);
 6373       op &= UINT64_C(7);
 6378       op &= UINT64_C(31);
 6383       op &= UINT64_C(31);
 6388       op &= UINT64_C(31);
 6414       op &= UINT64_C(7);
 6419       op &= UINT64_C(31);
 6424       op &= UINT64_C(31);
 6429       op &= UINT64_C(15);
 6493       op &= UINT64_C(7);
 6498       op &= UINT64_C(31);
 6503       op &= UINT64_C(31);
 6507       op &= UINT64_C(15);
 6530       op &= UINT64_C(7);
 6535       op &= UINT64_C(31);
 6540       op &= UINT64_C(31);
 6544       op &= UINT64_C(63);
 6594       op &= UINT64_C(7);
 6599       op &= UINT64_C(31);
 6603       op &= UINT64_C(31);
 6621       op &= UINT64_C(7);
 6626       op &= UINT64_C(31);
 6630       op &= UINT64_C(31);
 6649       op &= UINT64_C(7);
 6654       op &= UINT64_C(31);
 6659       op &= UINT64_C(31);
 6663       op &= UINT64_C(31);
 6676       op &= UINT64_C(7);
 6681       op &= UINT64_C(31);
 6685       op &= UINT64_C(31);
 6712       op &= UINT64_C(7);
 6717       op &= UINT64_C(31);
 6721       op &= UINT64_C(31);
 6726       op &= UINT64_C(31);
 6741       op &= UINT64_C(7);
 6746       op &= UINT64_C(31);
 6750       op &= UINT64_C(31);
 6755       op &= UINT64_C(31);
 6918       op &= UINT64_C(7);
 6923       op &= UINT64_C(31);
 6927       op &= UINT64_C(31);
 6958       op &= UINT64_C(7);
 6963       op &= UINT64_C(31);
 6967       op &= UINT64_C(1);
 6978       op &= UINT64_C(7);
 6983       op &= UINT64_C(31);
 6987       op &= UINT64_C(15);
 6998       op &= UINT64_C(7);
 7003       op &= UINT64_C(31);
 7007       op &= UINT64_C(31);
 7018       op &= UINT64_C(7);
 7023       op &= UINT64_C(31);
 7027       Value |= (op & UINT64_C(32)) << 17;
 7028       Value |= (op & UINT64_C(31)) << 5;
 7037       op &= UINT64_C(7);
 7042       op &= UINT64_C(31);
 7046       op &= UINT64_C(7);
 7058       op &= UINT64_C(7);
 7063       op &= UINT64_C(31);
 7067       op &= UINT64_C(15);
 7079       op &= UINT64_C(7);
 7084       op &= UINT64_C(31);
 7088       op &= UINT64_C(31);
 7100       op &= UINT64_C(7);
 7105       op &= UINT64_C(31);
 7109       Value |= (op & UINT64_C(32)) << 17;
 7110       Value |= (op & UINT64_C(31)) << 5;
 7120       op &= UINT64_C(7);
 7125       op &= UINT64_C(31);
 7129       op &= UINT64_C(7);
 7283       op &= UINT64_C(7);
 7288       op &= UINT64_C(31);
 7293       op &= UINT64_C(31);
 7303       op &= UINT64_C(7);
 7308       op &= UINT64_C(31);
 7313       op &= UINT64_C(31);
 7343       op &= UINT64_C(7);
 7348       op &= UINT64_C(31);
 7353       op &= UINT64_C(31);
 7357       op &= UINT64_C(31);
 7372       op &= UINT64_C(7);
 7377       op &= UINT64_C(31);
 7382       op &= UINT64_C(31);
 7387       op &= UINT64_C(15);
 7399       op &= UINT64_C(7);
 7404       op &= UINT64_C(31);
 7409       op &= UINT64_C(31);
 7422       op &= UINT64_C(7);
 7427       op &= UINT64_C(31);
 7432       op &= UINT64_C(31);
 7437       op &= UINT64_C(31);
 7446       op &= UINT64_C(15);
 7451       op &= UINT64_C(31);
 7455       op &= UINT64_C(255);
 7466       op &= UINT64_C(7);
 7471       op &= UINT64_C(31);
 7476       op &= UINT64_C(31);
 7486       op &= UINT64_C(7);
 7491       op &= UINT64_C(31);
 7496       op &= UINT64_C(31);
 7627       op &= UINT64_C(7);
 7632       op &= UINT64_C(31);
 7636       op &= UINT64_C(31);
 7661       op &= UINT64_C(15);
 7666       op &= UINT64_C(31);
 7673       op &= UINT64_C(15);
 7682       op &= UINT64_C(15);
 7686       op &= UINT64_C(31);
 7691       Value |= (op & UINT64_C(504)) << 13;
 7692       Value |= (op & UINT64_C(7)) << 10;
 7707       op &= UINT64_C(31);
 7715       op &= UINT64_C(31);
 7719       op &= UINT64_C(31);
 7724       op &= UINT64_C(63);
 8329       op &= UINT64_C(31);
 8333       op &= UINT64_C(31);
 8361       op &= UINT64_C(31);
 8365       op &= UINT64_C(31);
 8370       op &= UINT64_C(15);
 8375       Value |= (op & UINT64_C(3)) << 20;
 8376       Value |= (op & UINT64_C(4)) << 9;
 9033       op &= UINT64_C(31);
 9037       op &= UINT64_C(31);
 9042       op &= UINT64_C(31);
 9069       op &= UINT64_C(31);
 9073       op &= UINT64_C(31);
 9078       op &= UINT64_C(31);
 9083       op &= UINT64_C(31);
 9101       op &= UINT64_C(31);
 9105       op &= UINT64_C(31);
 9110       op &= UINT64_C(31);
 9115       op &= UINT64_C(15);
 9126       op &= UINT64_C(31);
 9130       op &= UINT64_C(31);
 9135       op &= UINT64_C(31);
 9140       Value |= (op & UINT64_C(32)) << 10;
 9141       Value |= (op & UINT64_C(7)) << 10;
 9154       op &= UINT64_C(31);
 9158       op &= UINT64_C(31);
 9163       op &= UINT64_C(31);
 9168       op &= UINT64_C(63);
 9196       op &= UINT64_C(31);
 9200       op &= UINT64_C(31);
 9205       op &= UINT64_C(31);
 9210       Value |= (op & UINT64_C(1)) << 21;
 9211       Value |= (op & UINT64_C(2)) << 10;
 9220       op &= UINT64_C(31);
 9224       op &= UINT64_C(31);
 9229       op &= UINT64_C(31);
 9234       op &= UINT64_C(1);
 9242       op &= UINT64_C(31);
 9246       op &= UINT64_C(31);
 9251       op &= UINT64_C(31);
 9256       op &= UINT64_C(15);
 9264       op &= UINT64_C(31);
 9268       op &= UINT64_C(31);
 9273       op &= UINT64_C(31);
 9278       op &= UINT64_C(31);
 9286       op &= UINT64_C(31);
 9290       op &= UINT64_C(31);
 9295       op &= UINT64_C(31);
 9300       op &= UINT64_C(63);
 9308       op &= UINT64_C(31);
 9312       op &= UINT64_C(31);
 9317       op &= UINT64_C(31);
 9322       op &= UINT64_C(7);
 9334       op &= UINT64_C(31);
 9338       op &= UINT64_C(31);
 9343       op &= UINT64_C(31);
 9348       op &= UINT64_C(1);
 9357       op &= UINT64_C(31);
 9361       op &= UINT64_C(31);
 9366       op &= UINT64_C(31);
 9376       op &= UINT64_C(31);
 9380       op &= UINT64_C(31);
 9385       op &= UINT64_C(1);
 9397       op &= UINT64_C(31);
 9401       op &= UINT64_C(31);
 9406       op &= UINT64_C(15);
 9417       op &= UINT64_C(31);
 9421       op &= UINT64_C(31);
 9426       op &= UINT64_C(3);
 9438       op &= UINT64_C(31);
 9442       op &= UINT64_C(31);
 9447       op &= UINT64_C(7);
 9462       op &= UINT64_C(31);
 9466       op &= UINT64_C(31);
 9471       op &= UINT64_C(16383);
 9482       op &= UINT64_C(31);
 9486       op &= UINT64_C(31);
 9491       op &= UINT64_C(4095);
 9502       op &= UINT64_C(31);
 9506       op &= UINT64_C(31);
 9511       op &= UINT64_C(8191);
 9533       op &= UINT64_C(31);
 9537       op &= UINT64_C(31);
 9542       op &= UINT64_C(15);
 9564       op &= UINT64_C(31);
 9568       op &= UINT64_C(31);
 9573       op &= UINT64_C(31);
 9588       op &= UINT64_C(31);
 9592       op &= UINT64_C(31);
 9597       op &= UINT64_C(63);
 9619       op &= UINT64_C(31);
 9623       op &= UINT64_C(31);
 9628       op &= UINT64_C(7);
 9661       op &= UINT64_C(31);
 9665       op &= UINT64_C(31);
 9670       op &= UINT64_C(15);
 9685       op &= UINT64_C(31);
 9689       op &= UINT64_C(31);
 9694       op &= UINT64_C(7);
 9709       op &= UINT64_C(31);
 9713       op &= UINT64_C(31);
 9718       op &= UINT64_C(15);
 9751       op &= UINT64_C(31);
 9755       op &= UINT64_C(31);
 9760       op &= UINT64_C(31);
 9775       op &= UINT64_C(31);
 9779       op &= UINT64_C(31);
 9784       op &= UINT64_C(31);
 9807       op &= UINT64_C(31);
 9811       op &= UINT64_C(31);
 9816       op &= UINT64_C(63);
 9837       op &= UINT64_C(31);
 9841       op &= UINT64_C(31);
 9846       op &= UINT64_C(7);
 9855       op &= UINT64_C(31);
 9859       op &= UINT64_C(31);
 9864       op &= UINT64_C(63);
 9869       op &= UINT64_C(15);
 9878       op &= UINT64_C(31);
 9882       op &= UINT64_C(31);
 9887       op &= UINT64_C(31);
 9892       op &= UINT64_C(31);
 9901       op &= UINT64_C(31);
 9905       op &= UINT64_C(31);
 9910       op &= UINT64_C(63);
 9915       op &= UINT64_C(63);
 9934       op &= UINT64_C(31);
 9938       op &= UINT64_C(31);
 9943       op &= UINT64_C(31);
 9962       op &= UINT64_C(31);
 9966       op &= UINT64_C(31);
 9971       op &= UINT64_C(63);
 9979       op &= UINT64_C(31);
 9983       op &= UINT64_C(31);
 9988       op &= UINT64_C(31);
 9993       op &= UINT64_C(31);
10001       op &= UINT64_C(31);
10005       op &= UINT64_C(31);
10010       op &= UINT64_C(63);
10015       op &= UINT64_C(63);
10025       op &= UINT64_C(31);
10029       op &= UINT64_C(255);
10038       op &= UINT64_C(31);
10042       op &= UINT64_C(65535);
10047       op &= UINT64_C(48);
10056       op &= UINT64_C(31);
10060       op &= UINT64_C(65535);
10065       op &= UINT64_C(48);
10075       op &= UINT64_C(31);
10079       op &= UINT64_C(65535);
10084       op &= UINT64_C(48);
10095       op &= UINT64_C(31);
10099       op &= UINT64_C(15);
10104       op &= UINT64_C(31);
10112       op &= UINT64_C(31);
10116       op &= UINT64_C(63);
10132       op &= UINT64_C(31);
10136       Value |= (op & UINT64_C(224)) << 11;
10137       Value |= (op & UINT64_C(31)) << 5;
10146       op &= UINT64_C(31);
10150       Value |= (op & UINT64_C(224)) << 11;
10151       Value |= (op & UINT64_C(31)) << 5;
10154       op &= UINT64_C(1);
10165       op &= UINT64_C(31);
10169       Value |= (op & UINT64_C(224)) << 11;
10170       Value |= (op & UINT64_C(31)) << 5;
10173       op &= UINT64_C(1);
10184       op &= UINT64_C(31);
10188       Value |= (op & UINT64_C(224)) << 11;
10189       Value |= (op & UINT64_C(31)) << 5;
10192       op &= UINT64_C(3);
10252       op &= UINT64_C(31);
10256       op &= UINT64_C(31);
10301       op &= UINT64_C(31);
10305       op &= UINT64_C(31);
10310       op &= UINT64_C(15);
10315       Value |= (op & UINT64_C(3)) << 20;
10316       Value |= (op & UINT64_C(4)) << 9;
10450       op &= UINT64_C(31);
10454       op &= UINT64_C(31);
10459       op &= UINT64_C(31);
10500       op &= UINT64_C(31);
10504       op &= UINT64_C(31);
10509       op &= UINT64_C(31);
10514       Value |= (op & UINT64_C(1)) << 21;
10515       Value |= (op & UINT64_C(2)) << 10;
10524       op &= UINT64_C(31);
10528       op &= UINT64_C(31);
10533       op &= UINT64_C(31);
10538       op &= UINT64_C(1);
10550       op &= UINT64_C(31);
10554       op &= UINT64_C(31);
10559       op &= UINT64_C(31);
10564       op &= UINT64_C(3);
10572       op &= UINT64_C(31);
10576       op &= UINT64_C(31);
10581       op &= UINT64_C(31);
10586       op &= UINT64_C(3);
10591       Value |= (op & UINT64_C(1)) << 21;
10592       Value |= (op & UINT64_C(2)) << 10;
10598       op &= UINT64_C(31);
10602       op &= UINT64_C(31);
10607       op &= UINT64_C(31);
10612       op &= UINT64_C(3);
10617       op &= UINT64_C(1);
10625       op &= UINT64_C(31);
10629       op &= UINT64_C(31);
10634       op &= UINT64_C(31);
10639       op &= UINT64_C(3);
10644       op &= UINT64_C(1);
10653       op &= UINT64_C(31);
10657       op &= UINT64_C(31);
10662       op &= UINT64_C(15);
10671       op &= UINT64_C(31);
10675       op &= UINT64_C(31);
10680       op &= UINT64_C(31);
10689       op &= UINT64_C(31);
10693       op &= UINT64_C(31);
10698       op &= UINT64_C(63);
10707       op &= UINT64_C(31);
10711       op &= UINT64_C(31);
10716       op &= UINT64_C(7);
10733       op &= UINT64_C(31);
10737       op &= UINT64_C(31);
10742       op &= UINT64_C(15);
10757       op &= UINT64_C(31);
10761       op &= UINT64_C(31);
10766       op &= UINT64_C(7);
10781       op &= UINT64_C(31);
10785       op &= UINT64_C(31);
10790       op &= UINT64_C(15);
10807       op &= UINT64_C(31);
10811       op &= UINT64_C(31);
10816       op &= UINT64_C(31);
10831       op &= UINT64_C(31);
10835       op &= UINT64_C(31);
10840       op &= UINT64_C(31);
10857       op &= UINT64_C(31);
10861       op &= UINT64_C(31);
10866       op &= UINT64_C(63);
10883       op &= UINT64_C(31);
10887       op &= UINT64_C(31);
10892       op &= UINT64_C(7);
10900       op &= UINT64_C(31);
10904       op &= UINT64_C(31);
10909       op &= UINT64_C(1);
10917       op &= UINT64_C(31);
10921       op &= UINT64_C(31);
10926       op &= UINT64_C(1);
10931       op &= UINT64_C(1);
10939       op &= UINT64_C(31);
10943       op &= UINT64_C(31);
10948       op &= UINT64_C(15);
10956       op &= UINT64_C(31);
10960       op &= UINT64_C(31);
10965       op &= UINT64_C(15);
10970       op &= UINT64_C(15);
10978       op &= UINT64_C(31);
10982       op &= UINT64_C(31);
10987       op &= UINT64_C(3);
10995       op &= UINT64_C(31);
10999       op &= UINT64_C(31);
11004       op &= UINT64_C(3);
11009       op &= UINT64_C(3);
11017       op &= UINT64_C(31);
11021       op &= UINT64_C(31);
11026       op &= UINT64_C(7);
11034       op &= UINT64_C(31);
11038       op &= UINT64_C(31);
11043       op &= UINT64_C(7);
11048       op &= UINT64_C(7);
11059       op &= UINT64_C(31);
11063       Value |= (op & UINT64_C(224)) << 11;
11064       Value |= (op & UINT64_C(31)) << 5;
11067       op &= UINT64_C(1);
11078       op &= UINT64_C(31);
11082       Value |= (op & UINT64_C(224)) << 11;
11083       Value |= (op & UINT64_C(31)) << 5;
11086       op &= UINT64_C(3);
11133       op &= UINT64_C(31);
11137       op &= UINT64_C(15);
11184       op &= UINT64_C(31);
11188       op &= UINT64_C(31);
11193       op &= UINT64_C(15);
11210       op &= UINT64_C(31);
11215       op &= UINT64_C(31);
11226       op &= UINT64_C(31);
11231       op &= UINT64_C(31);
11235       op &= UINT64_C(31);
11246       op &= UINT64_C(31);
11251       op &= UINT64_C(31);
11261       op &= UINT64_C(31);
11266       op &= UINT64_C(31);
11271       op &= UINT64_C(7);
11276       op &= UINT64_C(15);
11291       op &= UINT64_C(31);
11302       op &= UINT64_C(31);
11307       op &= UINT64_C(31);
11323       op &= UINT64_C(31);
11328       op &= UINT64_C(31);
11333       op &= UINT64_C(15);
11337       op &= UINT64_C(15);
11348       op &= UINT64_C(31);
11353       op &= UINT64_C(31);
11358       op &= UINT64_C(15);
11362       op &= UINT64_C(15);
11370       op &= UINT64_C(31);
11375       op &= UINT64_C(63);
11380       op &= UINT64_C(15);
11392       op &= UINT64_C(31);
11407       op &= UINT64_C(31);
11412       op &= UINT64_C(31);
11422       op &= UINT64_C(31);
11427       op &= UINT64_C(31);
11431       op &= UINT64_C(511);
11442       op &= UINT64_C(31);
11447       op &= UINT64_C(31);
11457       op &= UINT64_C(31);
11462       op &= UINT64_C(31);
11466       op &= UINT64_C(31);
11475       op &= UINT64_C(31);
11480       op &= UINT64_C(31);
11484       Value |= (op & UINT64_C(504)) << 13;
11485       Value |= (op & UINT64_C(7)) << 10;
11494       op &= UINT64_C(31);
11499       op &= UINT64_C(7);
11504       op &= UINT64_C(63);
11509       op &= UINT64_C(15);
11524       op &= UINT64_C(31);
11529       op &= UINT64_C(31);
11533       op &= UINT64_C(511);
11684       op &= UINT64_C(31);
11689       op &= UINT64_C(31);
11694       op &= UINT64_C(31);
11724       op &= UINT64_C(31);
11729       op &= UINT64_C(31);
11734       op &= UINT64_C(31);
11742       op &= UINT64_C(31);
11796       op &= UINT64_C(31);
11800       op &= UINT64_C(31);
11805       op &= UINT64_C(31);
11810       Value |= (op & UINT64_C(2)) << 14;
11811       Value |= (op & UINT64_C(1)) << 12;
11818       op &= UINT64_C(31);
11822       op &= UINT64_C(31);
11827       op &= UINT64_C(4095);
11840       op &= UINT64_C(31);
11844       op &= UINT64_C(31);
11849       op &= UINT64_C(4095);
11862       op &= UINT64_C(31);
11866       op &= UINT64_C(31);
11871       op &= UINT64_C(4095);
11883       op &= UINT64_C(31);
11887       op &= UINT64_C(31);
11892       op &= UINT64_C(4095);
11904       op &= UINT64_C(31);
11908       op &= UINT64_C(31);
11913       op &= UINT64_C(4095);
11970       op &= UINT64_C(31);
11974       op &= UINT64_C(31);
11979       op &= UINT64_C(511);
12010       op &= UINT64_C(31);
12014       op &= UINT64_C(31);
12044       op &= UINT64_C(31);
12048       op &= UINT64_C(31);
12053       op &= UINT64_C(31);
12058       op &= UINT64_C(127);
12069       op &= UINT64_C(31);
12073       op &= UINT64_C(31);
12078       op &= UINT64_C(31);
12090       op &= UINT64_C(31);
12094       op &= UINT64_C(31);
12099       op &= UINT64_C(16383);
12113       op &= UINT64_C(31);
12117       op &= UINT64_C(524287);
12125       op &= UINT64_C(31);
12129       op &= UINT64_C(7);
12134       op &= UINT64_C(15);
12139       op &= UINT64_C(15);
12144       op &= UINT64_C(7);
12152       op &= UINT64_C(31);
12156       op &= UINT64_C(65535);
12167       op &= UINT64_C(31);
12171       op &= UINT64_C(524287);
12224       op &= UINT64_C(31);
12228       op &= UINT64_C(31);
12233       op &= UINT64_C(511);
12264       op &= UINT64_C(31);
12268       op &= UINT64_C(31);
12273       op &= UINT64_C(31);
12278       op &= UINT64_C(127);
12286       op &= UINT64_C(31);
12290       op &= UINT64_C(65535);
12298       op &= UINT64_C(31);
12302       op &= UINT64_C(7);
12307       op &= UINT64_C(15);
12312       op &= UINT64_C(15);
12317       op &= UINT64_C(7);
12326       op &= UINT64_C(31);
12330       op &= UINT64_C(31);
12347       op &= UINT64_C(31);
12351       op &= UINT64_C(31);
12356       op &= UINT64_C(31);
12366       op &= UINT64_C(31);
12370       op &= UINT64_C(31);
12375       op &= UINT64_C(31);
12380       op &= UINT64_C(31);
12388       op &= UINT64_C(31);
12392       op &= UINT64_C(31);
12397       op &= UINT64_C(63);
12402       op &= UINT64_C(31);
12422       op &= UINT64_C(31);
12426       op &= UINT64_C(31);
12431       op &= UINT64_C(31);
12442       op &= UINT64_C(31);
12446       op &= UINT64_C(31);
12451       op &= UINT64_C(3);
12456       op &= UINT64_C(31);
12467       op &= UINT64_C(31);
12472       op &= UINT64_C(31);
12616       op &= UINT64_C(31);
12620       op &= UINT64_C(31);
12631       op &= UINT64_C(31);
12635       op &= UINT64_C(31);
12640       Value |= (op & UINT64_C(2)) << 29;
12641       Value |= (op & UINT64_C(1)) << 12;
12650       op &= UINT64_C(31);
12654       op &= UINT64_C(31);
12659       Value |= (op & UINT64_C(4)) << 28;
12660       Value |= (op & UINT64_C(3)) << 11;
12669       op &= UINT64_C(31);
12673       op &= UINT64_C(31);
12678       Value |= (op & UINT64_C(8)) << 27;
12679       Value |= (op & UINT64_C(7)) << 10;
12688       op &= UINT64_C(31);
12692       op &= UINT64_C(31);
12697       op &= UINT64_C(1);
12842       op &= UINT64_C(31);
12846       op &= UINT64_C(31);
12851       op &= UINT64_C(31);
12862       op &= UINT64_C(31);
12866       op &= UINT64_C(31);
12871       Value |= (op & UINT64_C(2)) << 29;
12872       Value |= (op & UINT64_C(1)) << 12;
12881       op &= UINT64_C(31);
12885       op &= UINT64_C(31);
12890       Value |= (op & UINT64_C(2)) << 29;
12891       Value |= (op & UINT64_C(1)) << 12;
12894       op &= UINT64_C(31);
12905       op &= UINT64_C(31);
12909       op &= UINT64_C(31);
12914       Value |= (op & UINT64_C(4)) << 28;
12915       Value |= (op & UINT64_C(3)) << 11;
12924       op &= UINT64_C(31);
12928       op &= UINT64_C(31);
12933       Value |= (op & UINT64_C(4)) << 28;
12934       Value |= (op & UINT64_C(3)) << 11;
12937       op &= UINT64_C(31);
12948       op &= UINT64_C(31);
12952       op &= UINT64_C(31);
12957       Value |= (op & UINT64_C(8)) << 27;
12958       Value |= (op & UINT64_C(7)) << 10;
12967       op &= UINT64_C(31);
12971       op &= UINT64_C(31);
12976       Value |= (op & UINT64_C(8)) << 27;
12977       Value |= (op & UINT64_C(7)) << 10;
12980       op &= UINT64_C(31);
12991       op &= UINT64_C(31);
12995       op &= UINT64_C(31);
13000       op &= UINT64_C(1);
13011       op &= UINT64_C(31);
13015       op &= UINT64_C(31);
13020       op &= UINT64_C(1);
13025       op &= UINT64_C(31);
13036       op &= UINT64_C(31);
13040       op &= UINT64_C(31);
13045       Value |= (op & UINT64_C(2)) << 29;
13046       Value |= (op & UINT64_C(1)) << 12;
13049       op &= UINT64_C(31);
13060       op &= UINT64_C(31);
13064       op &= UINT64_C(31);
13069       Value |= (op & UINT64_C(4)) << 28;
13070       Value |= (op & UINT64_C(3)) << 11;
13073       op &= UINT64_C(31);
13084       op &= UINT64_C(31);
13088       op &= UINT64_C(31);
13093       Value |= (op & UINT64_C(8)) << 27;
13094       Value |= (op & UINT64_C(7)) << 10;
13097       op &= UINT64_C(31);
13108       op &= UINT64_C(31);
13112       op &= UINT64_C(31);
13117       op &= UINT64_C(1);
13122       op &= UINT64_C(31);
13137       op &= UINT64_C(31);
13142       op &= UINT64_C(31);
13146       op &= UINT64_C(31);
13158       op &= UINT64_C(31);
13163       op &= UINT64_C(31);
13167       op &= UINT64_C(31);
13172       op &= UINT64_C(31);
13181       op &= UINT64_C(31);
13185       Value |= (op & UINT64_C(3)) << 29;
13186       Value |= (op & UINT64_C(2097148)) << 3;
13195       op &= UINT64_C(31);
13199       op &= UINT64_C(15);
13204       op &= UINT64_C(511);
13215       op &= UINT64_C(31);
13219       op &= UINT64_C(15);
13224       op &= UINT64_C(511);
13241       op &= UINT64_C(31);
13245       op &= UINT64_C(7);
13250       op &= UINT64_C(31);
13261       op &= UINT64_C(31);
13265       op &= UINT64_C(31);
13270       op &= UINT64_C(31);
13388       op &= UINT64_C(31);
13392       op &= UINT64_C(31);
13397       op &= UINT64_C(31);
13408       op &= UINT64_C(31);
13412       op &= UINT64_C(31);
13417       op &= UINT64_C(31);
13459       op &= UINT64_C(31);
13463       op &= UINT64_C(31);
13476       op &= UINT64_C(31);
13480       op &= UINT64_C(31);
13485       op &= UINT64_C(15);
13490       Value |= (op & UINT64_C(2)) << 19;
13491       Value |= (op & UINT64_C(1)) << 11;
13500       op &= UINT64_C(31);
13504       op &= UINT64_C(31);
13509       op &= UINT64_C(15);
13514       op &= UINT64_C(1);
13657       op &= UINT64_C(31);
13661       op &= UINT64_C(31);
13666       op &= UINT64_C(31);
13677       op &= UINT64_C(31);
13681       op &= UINT64_C(31);
13686       op &= UINT64_C(7);
13691       Value |= (op & UINT64_C(4)) << 20;
13692       Value |= (op & UINT64_C(3)) << 19;
13703       op &= UINT64_C(31);
13707       op &= UINT64_C(31);
13712       op &= UINT64_C(7);
13717       Value |= (op & UINT64_C(6)) << 18;
13718       Value |= (op & UINT64_C(1)) << 11;
13727       op &= UINT64_C(31);
13731       op &= UINT64_C(31);
13736       op &= UINT64_C(7);
13741       op &= UINT64_C(3);
13749       op &= UINT64_C(31);
13753       op &= UINT64_C(31);
13758       Value |= (op & UINT64_C(12)) << 20;
13759       Value |= (op & UINT64_C(3)) << 19;
13765       op &= UINT64_C(31);
13769       op &= UINT64_C(31);
13774       Value |= (op & UINT64_C(24)) << 19;
13775       Value |= (op & UINT64_C(7)) << 18;
13781       op &= UINT64_C(31);
13785       op &= UINT64_C(31);
13790       Value |= (op & UINT64_C(48)) << 18;
13791       Value |= (op & UINT64_C(15)) << 17;
13797       op &= UINT64_C(31);
13801       op &= UINT64_C(31);
13806       Value |= (op & UINT64_C(6)) << 21;
13807       Value |= (op & UINT64_C(1)) << 20;
13813       op &= UINT64_C(31);
13817       op &= UINT64_C(31);
13822       op &= UINT64_C(3);
13834       op &= UINT64_C(31);
13838       op &= UINT64_C(31);
13843       op &= UINT64_C(15);
13855       op &= UINT64_C(31);
13859       op &= UINT64_C(31);
13864       op &= UINT64_C(31);
13872       op &= UINT64_C(31);
13876       op &= UINT64_C(31);
13881       Value |= (op & UINT64_C(32)) << 17;
13882       Value |= (op & UINT64_C(31)) << 16;
13892       op &= UINT64_C(31);
13896       op &= UINT64_C(31);
13901       op &= UINT64_C(7);
13918       op &= UINT64_C(31);
13922       op &= UINT64_C(31);
13927       op &= UINT64_C(15);
13944       op &= UINT64_C(31);
13948       op &= UINT64_C(31);
13953       op &= UINT64_C(31);
13962       op &= UINT64_C(31);
13966       op &= UINT64_C(31);
13971       Value |= (op & UINT64_C(32)) << 17;
13972       Value |= (op & UINT64_C(31)) << 16;
13987       op &= UINT64_C(31);
13991       op &= UINT64_C(31);
13996       op &= UINT64_C(7);
14004       op &= UINT64_C(31);
14008       op &= UINT64_C(31);
14013       Value |= (op & UINT64_C(248)) << 13;
14014       Value |= (op & UINT64_C(7)) << 10;
14028       op &= UINT64_C(31);
14032       op &= UINT64_C(31);
14041       op &= UINT64_C(31);
14045       op &= UINT64_C(31);
14050       op &= UINT64_C(7);
14055       op &= UINT64_C(31);
14082       op &= UINT64_C(31);
14086       op &= UINT64_C(31);
14091       op &= UINT64_C(31);
14099       op &= UINT64_C(31);
14103       op &= UINT64_C(31);
14108       op &= UINT64_C(15);
14116       op &= UINT64_C(31);
14120       op &= UINT64_C(31);
14125       op &= UINT64_C(31);
14133       op &= UINT64_C(31);
14137       op &= UINT64_C(31);
14142       Value |= (op & UINT64_C(32)) << 17;
14143       Value |= (op & UINT64_C(31)) << 16;
14149       op &= UINT64_C(31);
14153       op &= UINT64_C(31);
14158       op &= UINT64_C(7);
14174       op &= UINT64_C(31);
14178       op &= UINT64_C(31);
14183       op &= UINT64_C(15);
14199       op &= UINT64_C(31);
14203       op &= UINT64_C(31);
14208       op &= UINT64_C(31);
14216       op &= UINT64_C(31);
14220       op &= UINT64_C(31);
14225       Value |= (op & UINT64_C(32)) << 17;
14226       Value |= (op & UINT64_C(31)) << 16;
14240       op &= UINT64_C(31);
14244       op &= UINT64_C(31);
14249       op &= UINT64_C(7);
14261       op &= UINT64_C(31);
14265       op &= UINT64_C(31);
14270       op &= UINT64_C(7);
14281       op &= UINT64_C(31);
14285       op &= UINT64_C(511);
14296       op &= UINT64_C(31);
14300       op &= UINT64_C(31);
14305       op &= UINT64_C(31);
14315       op &= UINT64_C(31);
14319       op &= UINT64_C(255);
14327       op &= UINT64_C(31);
14331       op &= UINT64_C(8191);
14341       op &= UINT64_C(31);
14345       op &= UINT64_C(7);
14350       op &= UINT64_C(31);
14355       op &= UINT64_C(31);
14360       op &= UINT64_C(3);
14379       op &= UINT64_C(31);
14383       op &= UINT64_C(31);
14388       op &= UINT64_C(15);
14393       Value |= (op & UINT64_C(2)) << 19;
14394       Value |= (op & UINT64_C(1)) << 11;
14405       op &= UINT64_C(31);
14409       op &= UINT64_C(31);
14414       op &= UINT64_C(15);
14419       op &= UINT64_C(1);
14512       op &= UINT64_C(31);
14516       op &= UINT64_C(31);
14521       op &= UINT64_C(31);
14538       op &= UINT64_C(31);
14542       op &= UINT64_C(31);
14547       op &= UINT64_C(31);
14552       op &= UINT64_C(3);
14565       op &= UINT64_C(31);
14569       op &= UINT64_C(31);
14574       op &= UINT64_C(7);
14579       Value |= (op & UINT64_C(4)) << 20;
14580       Value |= (op & UINT64_C(3)) << 19;
14601       op &= UINT64_C(31);
14605       op &= UINT64_C(31);
14610       op &= UINT64_C(7);
14615       Value |= (op & UINT64_C(6)) << 18;
14616       Value |= (op & UINT64_C(1)) << 11;
14627       op &= UINT64_C(31);
14631       op &= UINT64_C(31);
14636       op &= UINT64_C(7);
14641       op &= UINT64_C(3);
14649       op &= UINT64_C(31);
14653       op &= UINT64_C(31);
14658       op &= UINT64_C(3);
14663       op &= UINT64_C(15);
14668       op &= UINT64_C(1);
14676       op &= UINT64_C(31);
14680       op &= UINT64_C(31);
14685       op &= UINT64_C(3);
14690       op &= UINT64_C(7);
14695       op &= UINT64_C(3);
14706       op &= UINT64_C(31);
14710       op &= UINT64_C(31);
14715       op &= UINT64_C(15);
14726       op &= UINT64_C(31);
14730       op &= UINT64_C(31);
14735       op &= UINT64_C(31);
14746       op &= UINT64_C(31);
14750       op &= UINT64_C(31);
14755       Value |= (op & UINT64_C(32)) << 17;
14756       Value |= (op & UINT64_C(31)) << 16;
14765       op &= UINT64_C(31);
14769       op &= UINT64_C(31);
14774       op &= UINT64_C(7);
14783       op &= UINT64_C(31);
14787       op &= UINT64_C(31);
14792       op &= UINT64_C(1);
14797       op &= UINT64_C(15);
14806       op &= UINT64_C(31);
14810       op &= UINT64_C(31);
14815       op &= UINT64_C(3);
14820       op &= UINT64_C(7);
14830       op &= UINT64_C(31);
14834       op &= UINT64_C(31);
14839       op &= UINT64_C(3);
14844       op &= UINT64_C(1);
14849       op &= UINT64_C(15);
14859       op &= UINT64_C(31);
14863       op &= UINT64_C(31);
14868       op &= UINT64_C(3);
14873       op &= UINT64_C(3);
14878       op &= UINT64_C(7);
14887       op &= UINT64_C(31);
14899       op &= UINT64_C(31);
14903       op &= UINT64_C(31);
14908       op &= UINT64_C(31);
14918       op &= UINT64_C(31);
14922       op &= UINT64_C(31);
14930       op &= UINT64_C(31);
14934       op &= UINT64_C(31);
14939       op &= UINT64_C(15);
14947       op &= UINT64_C(31);
14951       op &= UINT64_C(31);
14956       op &= UINT64_C(31);
14964       op &= UINT64_C(31);
14968       op &= UINT64_C(31);
14973       Value |= (op & UINT64_C(32)) << 17;
14974       Value |= (op & UINT64_C(31)) << 16;
14980       op &= UINT64_C(31);
14984       op &= UINT64_C(31);
14989       op &= UINT64_C(7);
14999       op &= UINT64_C(31);
15003       op &= UINT64_C(31);
15008       op &= UINT64_C(7);
15016       op &= UINT64_C(31);
15020       op &= UINT64_C(31);
15025       Value |= (op & UINT64_C(248)) << 13;
15026       Value |= (op & UINT64_C(7)) << 10;
15039       op &= UINT64_C(31);
15043       op &= UINT64_C(31);
15048       op &= UINT64_C(1);
15058       op &= UINT64_C(31);
15062       op &= UINT64_C(31);
15067       op &= UINT64_C(7);
15072       op &= UINT64_C(1);
15107       op &= UINT64_C(31);
15111       op &= UINT64_C(511);
15138       op &= UINT64_C(31);
15142       op &= UINT64_C(255);
15152       op &= UINT64_C(31);
15156       op &= UINT64_C(8191);
15181       op &= UINT64_C(31);
15185       op &= UINT64_C(31);
15190       op &= UINT64_C(15);
15212       op &= UINT64_C(31);
15217       op &= UINT64_C(31);
15221       op &= UINT64_C(7);
15260       op &= UINT64_C(31);
15264       op &= UINT64_C(7);
15269       op &= UINT64_C(31);
15274       op &= UINT64_C(31);
15285       op &= UINT64_C(31);
15289       op &= UINT64_C(7);
15294       op &= UINT64_C(31);
15299       op &= UINT64_C(31);
15322       op &= UINT64_C(31);
15326       op &= UINT64_C(7);
15331       op &= UINT64_C(31);
15336       op &= UINT64_C(15);
15347       op &= UINT64_C(31);
15351       op &= UINT64_C(31);
15356       op &= UINT64_C(7);
15361       op &= UINT64_C(15);
15370       op &= UINT64_C(67108863);
15377       op &= UINT64_C(15);
15381       op &= UINT64_C(524287);
15389       op &= UINT64_C(31);
15393       op &= UINT64_C(31);
15398       op &= UINT64_C(1);
15406       op &= UINT64_C(31);
15410       op &= UINT64_C(31);
15415       op &= UINT64_C(15);
15423       op &= UINT64_C(31);
15427       op &= UINT64_C(31);
15432       op &= UINT64_C(3);
15440       op &= UINT64_C(31);
15444       op &= UINT64_C(31);
15449       op &= UINT64_C(7);
15480       op &= UINT64_C(31);
15484       op &= UINT64_C(31);
15489       op &= UINT64_C(31);
15494       Value |= (op & UINT64_C(192)) << 16;
15495       Value |= (op & UINT64_C(63)) << 10;
15501       op &= UINT64_C(127);
15509       op &= UINT64_C(65535);
15524       op &= UINT64_C(65535);
15533       Value |= (op & UINT64_C(512)) << 13;
15534       Value |= (op & UINT64_C(511)) << 12;
15537       op &= UINT64_C(31);
15542       op &= UINT64_C(31);
15550       Value |= (op & UINT64_C(512)) << 13;
15551       Value |= (op & UINT64_C(511)) << 12;
15554       op &= UINT64_C(31);
15559       op &= UINT64_C(31);
15566       Value |= (op & UINT64_C(56)) << 13;
15567       Value |= (op & UINT64_C(7)) << 5;
15570       op &= UINT64_C(1);
15578       Value |= (op & UINT64_C(56)) << 13;
15579       Value |= (op & UINT64_C(7)) << 5;
15582       op &= UINT64_C(15);
gen/lib/Target/AMDGPU/AMDGPUGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(0),
  261     UINT64_C(0),
  262     UINT64_C(0),
  263     UINT64_C(0),
  264     UINT64_C(0),
  265     UINT64_C(0),
  266     UINT64_C(0),
  267     UINT64_C(0),
  268     UINT64_C(0),
  269     UINT64_C(0),
  270     UINT64_C(0),
  271     UINT64_C(0),
  272     UINT64_C(0),
  273     UINT64_C(0),
  274     UINT64_C(0),
  275     UINT64_C(0),
  276     UINT64_C(0),
  277     UINT64_C(0),
  278     UINT64_C(0),
  279     UINT64_C(0),
  280     UINT64_C(0),
  281     UINT64_C(0),
  282     UINT64_C(0),
  283     UINT64_C(0),
  284     UINT64_C(0),
  285     UINT64_C(0),
  286     UINT64_C(0),
  287     UINT64_C(0),
  288     UINT64_C(0),
  289     UINT64_C(0),
  290     UINT64_C(0),
  291     UINT64_C(0),
  292     UINT64_C(0),
  293     UINT64_C(0),
  294     UINT64_C(0),
  295     UINT64_C(0),
  296     UINT64_C(0),
  297     UINT64_C(0),
  298     UINT64_C(0),
  299     UINT64_C(0),
  300     UINT64_C(0),
  301     UINT64_C(0),
  302     UINT64_C(0),
  303     UINT64_C(0),
  304     UINT64_C(0),
  305     UINT64_C(0),
  306     UINT64_C(0),
  307     UINT64_C(0),
  308     UINT64_C(0),
  309     UINT64_C(0),
  310     UINT64_C(0),
  311     UINT64_C(0),
  312     UINT64_C(0),
  313     UINT64_C(0),
  314     UINT64_C(0),
  315     UINT64_C(0),
  316     UINT64_C(0),
  317     UINT64_C(0),
  318     UINT64_C(0),
  319     UINT64_C(0),
  320     UINT64_C(0),
  321     UINT64_C(0),
  322     UINT64_C(0),
  323     UINT64_C(0),
  324     UINT64_C(0),
  325     UINT64_C(0),
  326     UINT64_C(0),
  327     UINT64_C(0),
  328     UINT64_C(0),
  329     UINT64_C(0),
  330     UINT64_C(0),
  331     UINT64_C(0),
  332     UINT64_C(0),
  333     UINT64_C(0),
  334     UINT64_C(0),
  335     UINT64_C(0),
  336     UINT64_C(0),
  337     UINT64_C(0),
  338     UINT64_C(0),
  339     UINT64_C(0),
  340     UINT64_C(0),
  341     UINT64_C(0),
  342     UINT64_C(0),
  343     UINT64_C(0),
  344     UINT64_C(0),
  345     UINT64_C(0),
  346     UINT64_C(0),
  347     UINT64_C(0),
  348     UINT64_C(0),
  349     UINT64_C(0),
  350     UINT64_C(0),
  351     UINT64_C(0),
  352     UINT64_C(0),
  353     UINT64_C(0),
  354     UINT64_C(0),
  355     UINT64_C(0),
  356     UINT64_C(0),
  357     UINT64_C(0),
  358     UINT64_C(0),
  359     UINT64_C(0),
  360     UINT64_C(0),
  361     UINT64_C(0),
  362     UINT64_C(0),
  363     UINT64_C(0),
  364     UINT64_C(0),
  365     UINT64_C(0),
  366     UINT64_C(0),
  367     UINT64_C(0),
  368     UINT64_C(0),
  369     UINT64_C(0),
  370     UINT64_C(0),
  371     UINT64_C(0),
  372     UINT64_C(0),
  373     UINT64_C(0),
  374     UINT64_C(0),
  375     UINT64_C(0),
  376     UINT64_C(0),
  377     UINT64_C(0),
  378     UINT64_C(0),
  379     UINT64_C(0),
  380     UINT64_C(0),
  381     UINT64_C(0),
  382     UINT64_C(0),
  383     UINT64_C(0),
  384     UINT64_C(0),
  385     UINT64_C(0),
  386     UINT64_C(0),
  387     UINT64_C(0),
  388     UINT64_C(0),
  389     UINT64_C(0),
  390     UINT64_C(0),
  391     UINT64_C(0),
  392     UINT64_C(0),
  393     UINT64_C(0),
  394     UINT64_C(0),
  395     UINT64_C(0),
  396     UINT64_C(0),
  397     UINT64_C(0),
  398     UINT64_C(0),
  399     UINT64_C(0),
  400     UINT64_C(0),
  401     UINT64_C(0),
  402     UINT64_C(0),
  403     UINT64_C(0),
  404     UINT64_C(0),
  405     UINT64_C(0),
  406     UINT64_C(0),
  407     UINT64_C(0),
  408     UINT64_C(0),
  409     UINT64_C(0),
  410     UINT64_C(0),
  411     UINT64_C(0),
  412     UINT64_C(0),
  413     UINT64_C(0),
  414     UINT64_C(0),
  415     UINT64_C(0),
  416     UINT64_C(0),
  417     UINT64_C(0),
  418     UINT64_C(0),
  419     UINT64_C(0),
  420     UINT64_C(0),
  421     UINT64_C(0),
  422     UINT64_C(0),
  423     UINT64_C(0),
  424     UINT64_C(0),
  425     UINT64_C(0),
  426     UINT64_C(0),
  427     UINT64_C(0),
  428     UINT64_C(0),
  429     UINT64_C(0),
  430     UINT64_C(0),
  431     UINT64_C(0),
  432     UINT64_C(0),
  433     UINT64_C(0),
  434     UINT64_C(0),
  435     UINT64_C(0),
  436     UINT64_C(0),
  437     UINT64_C(0),
  438     UINT64_C(0),
  439     UINT64_C(0),
  440     UINT64_C(0),
  441     UINT64_C(0),
  442     UINT64_C(0),
  443     UINT64_C(0),
  444     UINT64_C(0),
  445     UINT64_C(0),
  446     UINT64_C(0),
  447     UINT64_C(0),
  448     UINT64_C(0),
  449     UINT64_C(0),
  450     UINT64_C(0),
  451     UINT64_C(0),
  452     UINT64_C(0),
  453     UINT64_C(0),
  454     UINT64_C(0),
  455     UINT64_C(0),
  456     UINT64_C(0),
  457     UINT64_C(0),
  458     UINT64_C(0),
  459     UINT64_C(0),
  460     UINT64_C(0),
  461     UINT64_C(0),
  462     UINT64_C(0),
  463     UINT64_C(0),
  464     UINT64_C(0),
  465     UINT64_C(0),
  466     UINT64_C(0),
  467     UINT64_C(0),
  468     UINT64_C(0),
  469     UINT64_C(0),
  470     UINT64_C(0),
  471     UINT64_C(0),
  472     UINT64_C(0),
  473     UINT64_C(0),
  474     UINT64_C(0),
  475     UINT64_C(0),
  476     UINT64_C(0),
  477     UINT64_C(0),
  478     UINT64_C(0),
  479     UINT64_C(0),
  480     UINT64_C(0),
  481     UINT64_C(0),
  482     UINT64_C(0),
  483     UINT64_C(0),
  484     UINT64_C(0),
  485     UINT64_C(0),
  486     UINT64_C(0),
  487     UINT64_C(0),
  488     UINT64_C(0),
  489     UINT64_C(0),
  490     UINT64_C(0),
  491     UINT64_C(0),
  492     UINT64_C(0),
  493     UINT64_C(0),
  494     UINT64_C(0),
  495     UINT64_C(0),
  496     UINT64_C(0),
  497     UINT64_C(0),
  498     UINT64_C(0),
  499     UINT64_C(0),
  500     UINT64_C(0),
  501     UINT64_C(0),
  502     UINT64_C(0),
  503     UINT64_C(0),
  504     UINT64_C(0),
  505     UINT64_C(0),
  506     UINT64_C(0),
  507     UINT64_C(0),
  508     UINT64_C(0),
  509     UINT64_C(0),
  510     UINT64_C(0),
  511     UINT64_C(0),
  512     UINT64_C(0),
  513     UINT64_C(0),
  514     UINT64_C(0),
  515     UINT64_C(0),
  516     UINT64_C(0),
  517     UINT64_C(0),
  518     UINT64_C(0),
  519     UINT64_C(0),
  520     UINT64_C(0),
  521     UINT64_C(0),
  522     UINT64_C(0),
  523     UINT64_C(0),
  524     UINT64_C(0),
  525     UINT64_C(0),
  526     UINT64_C(0),
  527     UINT64_C(0),
  528     UINT64_C(0),
  529     UINT64_C(0),
  530     UINT64_C(0),
  531     UINT64_C(0),
  532     UINT64_C(0),
  533     UINT64_C(0),
  534     UINT64_C(0),
  535     UINT64_C(0),
  536     UINT64_C(0),
  537     UINT64_C(0),
  538     UINT64_C(0),
  539     UINT64_C(0),
  540     UINT64_C(0),
  541     UINT64_C(0),
  542     UINT64_C(0),
  543     UINT64_C(0),
  544     UINT64_C(0),
  545     UINT64_C(0),
  546     UINT64_C(0),
  547     UINT64_C(0),
  548     UINT64_C(0),
  549     UINT64_C(0),
  550     UINT64_C(0),
  551     UINT64_C(0),
  552     UINT64_C(0),
  553     UINT64_C(0),
  554     UINT64_C(0),
  555     UINT64_C(0),
  556     UINT64_C(0),
  557     UINT64_C(0),
  558     UINT64_C(0),
  559     UINT64_C(0),
  560     UINT64_C(0),
  561     UINT64_C(0),
  562     UINT64_C(0),
  563     UINT64_C(0),
  564     UINT64_C(0),
  565     UINT64_C(0),
  566     UINT64_C(0),
  567     UINT64_C(0),
  568     UINT64_C(0),
  569     UINT64_C(0),
  570     UINT64_C(0),
  571     UINT64_C(0),
  572     UINT64_C(0),
  573     UINT64_C(0),
  574     UINT64_C(0),
  575     UINT64_C(0),
  576     UINT64_C(0),
  577     UINT64_C(0),
  578     UINT64_C(0),
  579     UINT64_C(0),
  580     UINT64_C(0),
  581     UINT64_C(0),
  582     UINT64_C(0),
  583     UINT64_C(0),
  584     UINT64_C(0),
  585     UINT64_C(0),
  586     UINT64_C(0),
  587     UINT64_C(0),
  588     UINT64_C(0),
  589     UINT64_C(0),
  590     UINT64_C(0),
  591     UINT64_C(0),
  592     UINT64_C(0),
  593     UINT64_C(0),
  594     UINT64_C(0),
  595     UINT64_C(0),
  596     UINT64_C(0),
  597     UINT64_C(0),
  598     UINT64_C(0),
  599     UINT64_C(0),
  600     UINT64_C(0),
  601     UINT64_C(0),
  602     UINT64_C(0),
  603     UINT64_C(0),
  604     UINT64_C(0),
  605     UINT64_C(0),
  606     UINT64_C(0),
  607     UINT64_C(0),
  608     UINT64_C(0),
  609     UINT64_C(0),
  610     UINT64_C(0),
  611     UINT64_C(0),
  612     UINT64_C(0),
  613     UINT64_C(0),
  614     UINT64_C(0),
  615     UINT64_C(0),
  616     UINT64_C(0),
  617     UINT64_C(0),
  618     UINT64_C(0),
  619     UINT64_C(0),
  620     UINT64_C(0),
  621     UINT64_C(0),
  622     UINT64_C(0),
  623     UINT64_C(0),
  624     UINT64_C(0),
  625     UINT64_C(0),
  626     UINT64_C(0),
  627     UINT64_C(0),
  628     UINT64_C(0),
  629     UINT64_C(0),
  630     UINT64_C(0),
  631     UINT64_C(0),
  632     UINT64_C(0),
  633     UINT64_C(0),
  634     UINT64_C(0),
  635     UINT64_C(0),
  636     UINT64_C(0),
  637     UINT64_C(0),
  638     UINT64_C(0),
  639     UINT64_C(0),
  640     UINT64_C(0),
  641     UINT64_C(0),
  642     UINT64_C(0),
  643     UINT64_C(0),
  644     UINT64_C(0),
  645     UINT64_C(0),
  646     UINT64_C(0),
  647     UINT64_C(0),
  648     UINT64_C(0),
  649     UINT64_C(0),
  650     UINT64_C(0),
  651     UINT64_C(0),
  652     UINT64_C(0),
  653     UINT64_C(0),
  654     UINT64_C(0),
  655     UINT64_C(0),
  656     UINT64_C(0),
  657     UINT64_C(0),
  658     UINT64_C(0),
  659     UINT64_C(0),
  660     UINT64_C(0),
  661     UINT64_C(0),
  662     UINT64_C(0),
  663     UINT64_C(0),
  664     UINT64_C(0),
  665     UINT64_C(0),
  666     UINT64_C(0),
  667     UINT64_C(0),
  668     UINT64_C(0),
  669     UINT64_C(0),
  670     UINT64_C(0),
  671     UINT64_C(0),
  672     UINT64_C(0),
  673     UINT64_C(0),
  674     UINT64_C(0),
  675     UINT64_C(0),
  676     UINT64_C(0),
  677     UINT64_C(0),
  678     UINT64_C(0),
  679     UINT64_C(0),
  680     UINT64_C(0),
  681     UINT64_C(0),
  682     UINT64_C(0),
  683     UINT64_C(0),
  684     UINT64_C(0),
  685     UINT64_C(0),
  686     UINT64_C(0),
  687     UINT64_C(0),
  688     UINT64_C(0),
  689     UINT64_C(0),
  690     UINT64_C(0),
  691     UINT64_C(0),
  692     UINT64_C(0),
  693     UINT64_C(0),
  694     UINT64_C(0),
  695     UINT64_C(0),
  696     UINT64_C(0),
  697     UINT64_C(0),
  698     UINT64_C(0),
  699     UINT64_C(0),
  700     UINT64_C(0),
  701     UINT64_C(0),
  702     UINT64_C(0),
  703     UINT64_C(0),
  704     UINT64_C(0),
  705     UINT64_C(0),
  706     UINT64_C(0),
  707     UINT64_C(0),
  708     UINT64_C(0),
  709     UINT64_C(0),
  710     UINT64_C(0),
  711     UINT64_C(0),
  712     UINT64_C(0),
  713     UINT64_C(0),
  714     UINT64_C(0),
  715     UINT64_C(0),
  716     UINT64_C(0),
  717     UINT64_C(0),
  718     UINT64_C(0),
  719     UINT64_C(0),
  720     UINT64_C(0),
  721     UINT64_C(0),
  722     UINT64_C(0),
  723     UINT64_C(0),
  724     UINT64_C(0),
  725     UINT64_C(0),
  726     UINT64_C(0),
  727     UINT64_C(0),
  728     UINT64_C(0),
  729     UINT64_C(0),
  730     UINT64_C(0),
  731     UINT64_C(0),
  732     UINT64_C(0),
  733     UINT64_C(0),
  734     UINT64_C(0),
  735     UINT64_C(0),
  736     UINT64_C(0),
  737     UINT64_C(0),
  738     UINT64_C(0),
  739     UINT64_C(0),
  740     UINT64_C(0),
  741     UINT64_C(0),
  742     UINT64_C(0),
  743     UINT64_C(0),
  744     UINT64_C(0),
  745     UINT64_C(0),
  746     UINT64_C(0),
  747     UINT64_C(0),
  748     UINT64_C(0),
  749     UINT64_C(0),
  750     UINT64_C(0),
  751     UINT64_C(0),
  752     UINT64_C(0),
  753     UINT64_C(0),
  754     UINT64_C(0),
  755     UINT64_C(0),
  756     UINT64_C(0),
  757     UINT64_C(0),
  758     UINT64_C(0),
  759     UINT64_C(0),
  760     UINT64_C(0),
  761     UINT64_C(0),
  762     UINT64_C(0),
  763     UINT64_C(0),
  764     UINT64_C(0),
  765     UINT64_C(0),
  766     UINT64_C(0),
  767     UINT64_C(0),
  768     UINT64_C(0),
  769     UINT64_C(0),
  770     UINT64_C(0),
  771     UINT64_C(0),
  772     UINT64_C(0),
  773     UINT64_C(0),
  774     UINT64_C(0),
  775     UINT64_C(0),
  776     UINT64_C(0),
  777     UINT64_C(0),
  778     UINT64_C(0),
  779     UINT64_C(0),
  780     UINT64_C(0),
  781     UINT64_C(0),
  782     UINT64_C(0),
  783     UINT64_C(0),
  784     UINT64_C(0),
  785     UINT64_C(0),
  786     UINT64_C(0),
  787     UINT64_C(0),
  788     UINT64_C(0),
  789     UINT64_C(0),
  790     UINT64_C(0),
  791     UINT64_C(0),
  792     UINT64_C(0),
  793     UINT64_C(0),
  794     UINT64_C(0),
  795     UINT64_C(0),
  796     UINT64_C(0),
  797     UINT64_C(0),
  798     UINT64_C(0),
  799     UINT64_C(0),
  800     UINT64_C(0),
  801     UINT64_C(0),
  802     UINT64_C(0),
  803     UINT64_C(0),
  804     UINT64_C(0),
  805     UINT64_C(0),
  806     UINT64_C(0),
  807     UINT64_C(0),
  808     UINT64_C(0),
  809     UINT64_C(0),
  810     UINT64_C(0),
  811     UINT64_C(0),
  812     UINT64_C(0),
  813     UINT64_C(0),
  814     UINT64_C(0),
  815     UINT64_C(0),
  816     UINT64_C(0),
  817     UINT64_C(0),
  818     UINT64_C(0),
  819     UINT64_C(0),
  820     UINT64_C(0),
  821     UINT64_C(0),
  822     UINT64_C(0),
  823     UINT64_C(0),
  824     UINT64_C(0),
  825     UINT64_C(0),
  826     UINT64_C(0),
  827     UINT64_C(0),
  828     UINT64_C(0),
  829     UINT64_C(0),
  830     UINT64_C(0),
  831     UINT64_C(0),
  832     UINT64_C(0),
  833     UINT64_C(0),
  834     UINT64_C(0),
  835     UINT64_C(0),
  836     UINT64_C(0),
  837     UINT64_C(0),
  838     UINT64_C(0),
  839     UINT64_C(0),
  840     UINT64_C(0),
  841     UINT64_C(0),
  842     UINT64_C(0),
  843     UINT64_C(0),
  844     UINT64_C(0),
  845     UINT64_C(0),
  846     UINT64_C(0),
  847     UINT64_C(0),
  848     UINT64_C(0),
  849     UINT64_C(0),
  850     UINT64_C(0),
  851     UINT64_C(0),
  852     UINT64_C(0),
  853     UINT64_C(0),
  854     UINT64_C(0),
  855     UINT64_C(0),
  856     UINT64_C(0),
  857     UINT64_C(0),
  858     UINT64_C(0),
  859     UINT64_C(0),
  860     UINT64_C(0),
  861     UINT64_C(0),
  862     UINT64_C(0),
  863     UINT64_C(0),
  864     UINT64_C(0),
  865     UINT64_C(0),
  866     UINT64_C(0),
  867     UINT64_C(0),
  868     UINT64_C(0),
  869     UINT64_C(0),
  870     UINT64_C(0),
  871     UINT64_C(0),
  872     UINT64_C(0),
  873     UINT64_C(0),
  874     UINT64_C(0),
  875     UINT64_C(0),
  876     UINT64_C(0),
  877     UINT64_C(0),
  878     UINT64_C(0),
  879     UINT64_C(0),
  880     UINT64_C(0),
  881     UINT64_C(0),
  882     UINT64_C(0),
  883     UINT64_C(0),
  884     UINT64_C(0),
  885     UINT64_C(0),
  886     UINT64_C(0),
  887     UINT64_C(0),
  888     UINT64_C(0),
  889     UINT64_C(0),
  890     UINT64_C(0),
  891     UINT64_C(0),
  892     UINT64_C(0),
  893     UINT64_C(0),
  894     UINT64_C(0),
  895     UINT64_C(0),
  896     UINT64_C(0),
  897     UINT64_C(0),
  898     UINT64_C(0),
  899     UINT64_C(0),
  900     UINT64_C(0),
  901     UINT64_C(0),
  902     UINT64_C(0),
  903     UINT64_C(0),
  904     UINT64_C(0),
  905     UINT64_C(0),
  906     UINT64_C(0),
  907     UINT64_C(0),
  908     UINT64_C(0),
  909     UINT64_C(0),
  910     UINT64_C(0),
  911     UINT64_C(0),
  912     UINT64_C(0),
  913     UINT64_C(0),
  914     UINT64_C(0),
  915     UINT64_C(0),
  916     UINT64_C(0),
  917     UINT64_C(0),
  918     UINT64_C(0),
  919     UINT64_C(0),
  920     UINT64_C(0),
  921     UINT64_C(0),
  922     UINT64_C(0),
  923     UINT64_C(0),
  924     UINT64_C(0),
  925     UINT64_C(0),
  926     UINT64_C(0),
  927     UINT64_C(0),
  928     UINT64_C(0),
  929     UINT64_C(0),
  930     UINT64_C(0),
  931     UINT64_C(0),
  932     UINT64_C(0),
  933     UINT64_C(0),
  934     UINT64_C(0),
  935     UINT64_C(0),
  936     UINT64_C(0),
  937     UINT64_C(0),
  938     UINT64_C(0),
  939     UINT64_C(0),
  940     UINT64_C(0),
  941     UINT64_C(0),
  942     UINT64_C(0),
  943     UINT64_C(0),
  944     UINT64_C(0),
  945     UINT64_C(0),
  946     UINT64_C(0),
  947     UINT64_C(0),
  948     UINT64_C(0),
  949     UINT64_C(0),
  950     UINT64_C(0),
  951     UINT64_C(0),
  952     UINT64_C(0),
  953     UINT64_C(0),
  954     UINT64_C(0),
  955     UINT64_C(0),
  956     UINT64_C(0),
  957     UINT64_C(0),
  958     UINT64_C(0),
  959     UINT64_C(0),
  960     UINT64_C(0),
  961     UINT64_C(0),
  962     UINT64_C(0),
  963     UINT64_C(0),
  964     UINT64_C(0),
  965     UINT64_C(0),
  966     UINT64_C(0),
  967     UINT64_C(0),
  968     UINT64_C(0),
  969     UINT64_C(0),
  970     UINT64_C(0),
  971     UINT64_C(0),
  972     UINT64_C(0),
  973     UINT64_C(0),
  974     UINT64_C(0),
  975     UINT64_C(0),
  976     UINT64_C(0),
  977     UINT64_C(0),
  978     UINT64_C(0),
  979     UINT64_C(0),
  980     UINT64_C(0),
  981     UINT64_C(0),
  982     UINT64_C(0),
  983     UINT64_C(0),
  984     UINT64_C(0),
  985     UINT64_C(0),
  986     UINT64_C(0),
  987     UINT64_C(0),
  988     UINT64_C(0),
  989     UINT64_C(0),
  990     UINT64_C(0),
  991     UINT64_C(0),
  992     UINT64_C(0),
  993     UINT64_C(0),
  994     UINT64_C(0),
  995     UINT64_C(0),
  996     UINT64_C(0),
  997     UINT64_C(0),
  998     UINT64_C(0),
  999     UINT64_C(0),
 1000     UINT64_C(0),
 1001     UINT64_C(0),
 1002     UINT64_C(0),
 1003     UINT64_C(0),
 1004     UINT64_C(0),
 1005     UINT64_C(0),
 1006     UINT64_C(0),
 1007     UINT64_C(0),
 1008     UINT64_C(0),
 1009     UINT64_C(0),
 1010     UINT64_C(0),
 1011     UINT64_C(0),
 1012     UINT64_C(0),
 1013     UINT64_C(0),
 1014     UINT64_C(0),
 1015     UINT64_C(0),
 1016     UINT64_C(0),
 1017     UINT64_C(0),
 1018     UINT64_C(0),
 1019     UINT64_C(0),
 1020     UINT64_C(0),
 1021     UINT64_C(0),
 1022     UINT64_C(0),
 1023     UINT64_C(0),
 1024     UINT64_C(0),
 1025     UINT64_C(0),
 1026     UINT64_C(0),
 1027     UINT64_C(0),
 1028     UINT64_C(0),
 1029     UINT64_C(0),
 1030     UINT64_C(0),
 1031     UINT64_C(0),
 1032     UINT64_C(0),
 1033     UINT64_C(0),
 1034     UINT64_C(0),
 1035     UINT64_C(0),
 1036     UINT64_C(0),
 1037     UINT64_C(0),
 1038     UINT64_C(0),
 1039     UINT64_C(0),
 1040     UINT64_C(0),
 1041     UINT64_C(0),
 1042     UINT64_C(0),
 1043     UINT64_C(0),
 1044     UINT64_C(0),
 1045     UINT64_C(0),
 1046     UINT64_C(0),
 1047     UINT64_C(0),
 1048     UINT64_C(0),
 1049     UINT64_C(0),
 1050     UINT64_C(0),
 1051     UINT64_C(0),
 1052     UINT64_C(0),
 1053     UINT64_C(0),
 1054     UINT64_C(0),
 1055     UINT64_C(0),
 1056     UINT64_C(0),
 1057     UINT64_C(0),
 1058     UINT64_C(0),
 1059     UINT64_C(0),
 1060     UINT64_C(0),
 1061     UINT64_C(0),
 1062     UINT64_C(0),
 1063     UINT64_C(0),
 1064     UINT64_C(0),
 1065     UINT64_C(0),
 1066     UINT64_C(0),
 1067     UINT64_C(0),
 1068     UINT64_C(0),
 1069     UINT64_C(0),
 1070     UINT64_C(0),
 1071     UINT64_C(0),
 1072     UINT64_C(0),
 1073     UINT64_C(0),
 1074     UINT64_C(0),
 1075     UINT64_C(0),
 1076     UINT64_C(0),
 1077     UINT64_C(0),
 1078     UINT64_C(0),
 1079     UINT64_C(0),
 1080     UINT64_C(0),
 1081     UINT64_C(0),
 1082     UINT64_C(0),
 1083     UINT64_C(0),
 1084     UINT64_C(0),
 1085     UINT64_C(0),
 1086     UINT64_C(0),
 1087     UINT64_C(0),
 1088     UINT64_C(0),
 1089     UINT64_C(0),
 1090     UINT64_C(0),
 1091     UINT64_C(0),
 1092     UINT64_C(0),
 1093     UINT64_C(0),
 1094     UINT64_C(0),
 1095     UINT64_C(0),
 1096     UINT64_C(0),
 1097     UINT64_C(0),
 1098     UINT64_C(0),
 1099     UINT64_C(0),
 1100     UINT64_C(0),
 1101     UINT64_C(0),
 1102     UINT64_C(0),
 1103     UINT64_C(0),
 1104     UINT64_C(0),
 1105     UINT64_C(0),
 1106     UINT64_C(0),
 1107     UINT64_C(0),
 1108     UINT64_C(0),
 1109     UINT64_C(0),
 1110     UINT64_C(0),
 1111     UINT64_C(0),
 1112     UINT64_C(0),
 1113     UINT64_C(0),
 1114     UINT64_C(0),
 1115     UINT64_C(0),
 1116     UINT64_C(0),
 1117     UINT64_C(0),
 1118     UINT64_C(0),
 1119     UINT64_C(0),
 1120     UINT64_C(0),
 1121     UINT64_C(0),
 1122     UINT64_C(0),
 1123     UINT64_C(0),
 1124     UINT64_C(0),
 1125     UINT64_C(0),
 1126     UINT64_C(0),
 1127     UINT64_C(0),
 1128     UINT64_C(0),
 1129     UINT64_C(0),
 1130     UINT64_C(0),
 1131     UINT64_C(0),
 1132     UINT64_C(0),
 1133     UINT64_C(0),
 1134     UINT64_C(0),
 1135     UINT64_C(0),
 1136     UINT64_C(0),
 1137     UINT64_C(0),
 1138     UINT64_C(0),
 1139     UINT64_C(0),
 1140     UINT64_C(0),
 1141     UINT64_C(0),
 1142     UINT64_C(0),
 1143     UINT64_C(0),
 1144     UINT64_C(0),
 1145     UINT64_C(0),
 1146     UINT64_C(0),
 1147     UINT64_C(0),
 1148     UINT64_C(0),
 1149     UINT64_C(0),
 1150     UINT64_C(0),
 1151     UINT64_C(0),
 1152     UINT64_C(0),
 1153     UINT64_C(0),
 1154     UINT64_C(0),
 1155     UINT64_C(0),
 1156     UINT64_C(0),
 1157     UINT64_C(0),
 1158     UINT64_C(0),
 1159     UINT64_C(0),
 1160     UINT64_C(0),
 1161     UINT64_C(0),
 1162     UINT64_C(0),
 1163     UINT64_C(0),
 1164     UINT64_C(0),
 1165     UINT64_C(0),
 1166     UINT64_C(0),
 1167     UINT64_C(0),
 1168     UINT64_C(0),
 1169     UINT64_C(0),
 1170     UINT64_C(0),
 1171     UINT64_C(0),
 1172     UINT64_C(0),
 1173     UINT64_C(0),
 1174     UINT64_C(0),
 1175     UINT64_C(0),
 1176     UINT64_C(0),
 1177     UINT64_C(0),
 1178     UINT64_C(0),
 1179     UINT64_C(0),
 1180     UINT64_C(0),
 1181     UINT64_C(0),
 1182     UINT64_C(0),
 1183     UINT64_C(0),
 1184     UINT64_C(0),
 1185     UINT64_C(0),
 1186     UINT64_C(0),
 1187     UINT64_C(0),
 1188     UINT64_C(0),
 1189     UINT64_C(0),
 1190     UINT64_C(0),
 1191     UINT64_C(0),
 1192     UINT64_C(0),
 1193     UINT64_C(0),
 1194     UINT64_C(0),
 1195     UINT64_C(0),
 1196     UINT64_C(0),
 1197     UINT64_C(0),
 1198     UINT64_C(0),
 1199     UINT64_C(0),
 1200     UINT64_C(0),
 1201     UINT64_C(0),
 1202     UINT64_C(0),
 1203     UINT64_C(0),
 1204     UINT64_C(0),
 1205     UINT64_C(0),
 1206     UINT64_C(0),
 1207     UINT64_C(0),
 1208     UINT64_C(0),
 1209     UINT64_C(0),
 1210     UINT64_C(0),
 1211     UINT64_C(0),
 1212     UINT64_C(0),
 1213     UINT64_C(0),
 1214     UINT64_C(0),
 1215     UINT64_C(0),
 1216     UINT64_C(0),
 1217     UINT64_C(0),
 1218     UINT64_C(0),
 1219     UINT64_C(0),
 1220     UINT64_C(0),
 1221     UINT64_C(0),
 1222     UINT64_C(0),
 1223     UINT64_C(0),
 1224     UINT64_C(0),
 1225     UINT64_C(0),
 1226     UINT64_C(0),
 1227     UINT64_C(0),
 1228     UINT64_C(0),
 1229     UINT64_C(0),
 1230     UINT64_C(0),
 1231     UINT64_C(0),
 1232     UINT64_C(0),
 1233     UINT64_C(0),
 1234     UINT64_C(0),
 1235     UINT64_C(0),
 1236     UINT64_C(0),
 1237     UINT64_C(0),
 1238     UINT64_C(0),
 1239     UINT64_C(0),
 1240     UINT64_C(0),
 1241     UINT64_C(0),
 1242     UINT64_C(0),
 1243     UINT64_C(0),
 1244     UINT64_C(0),
 1245     UINT64_C(0),
 1246     UINT64_C(0),
 1247     UINT64_C(0),
 1248     UINT64_C(0),
 1249     UINT64_C(0),
 1250     UINT64_C(0),
 1251     UINT64_C(0),
 1252     UINT64_C(0),
 1253     UINT64_C(0),
 1254     UINT64_C(0),
 1255     UINT64_C(0),
 1256     UINT64_C(0),
 1257     UINT64_C(0),
 1258     UINT64_C(0),
 1259     UINT64_C(0),
 1260     UINT64_C(0),
 1261     UINT64_C(0),
 1262     UINT64_C(0),
 1263     UINT64_C(0),
 1264     UINT64_C(0),
 1265     UINT64_C(0),
 1266     UINT64_C(0),
 1267     UINT64_C(0),
 1268     UINT64_C(0),
 1269     UINT64_C(0),
 1270     UINT64_C(0),
 1271     UINT64_C(0),
 1272     UINT64_C(0),
 1273     UINT64_C(0),
 1274     UINT64_C(0),
 1275     UINT64_C(0),
 1276     UINT64_C(0),
 1277     UINT64_C(0),
 1278     UINT64_C(0),
 1279     UINT64_C(0),
 1280     UINT64_C(0),
 1281     UINT64_C(0),
 1282     UINT64_C(0),
 1283     UINT64_C(0),
 1284     UINT64_C(0),
 1285     UINT64_C(0),
 1286     UINT64_C(0),
 1287     UINT64_C(0),
 1288     UINT64_C(0),
 1289     UINT64_C(0),
 1290     UINT64_C(0),
 1291     UINT64_C(0),
 1292     UINT64_C(0),
 1293     UINT64_C(0),
 1294     UINT64_C(0),
 1295     UINT64_C(0),
 1296     UINT64_C(0),
 1297     UINT64_C(0),
 1298     UINT64_C(0),
 1299     UINT64_C(0),
 1300     UINT64_C(0),
 1301     UINT64_C(0),
 1302     UINT64_C(0),
 1303     UINT64_C(0),
 1304     UINT64_C(0),
 1305     UINT64_C(0),
 1306     UINT64_C(0),
 1307     UINT64_C(0),
 1308     UINT64_C(0),
 1309     UINT64_C(0),
 1310     UINT64_C(0),
 1311     UINT64_C(0),
 1312     UINT64_C(0),
 1313     UINT64_C(0),
 1314     UINT64_C(0),
 1315     UINT64_C(0),
 1316     UINT64_C(0),
 1317     UINT64_C(0),
 1318     UINT64_C(0),
 1319     UINT64_C(0),
 1320     UINT64_C(0),
 1321     UINT64_C(0),
 1322     UINT64_C(0),
 1323     UINT64_C(0),
 1324     UINT64_C(0),
 1325     UINT64_C(0),
 1326     UINT64_C(0),
 1327     UINT64_C(0),
 1328     UINT64_C(0),
 1329     UINT64_C(0),
 1330     UINT64_C(0),
 1331     UINT64_C(0),
 1332     UINT64_C(0),
 1333     UINT64_C(0),
 1334     UINT64_C(0),
 1335     UINT64_C(0),
 1336     UINT64_C(0),
 1337     UINT64_C(0),
 1338     UINT64_C(0),
 1339     UINT64_C(0),
 1340     UINT64_C(0),
 1341     UINT64_C(0),
 1342     UINT64_C(0),
 1343     UINT64_C(0),
 1344     UINT64_C(0),
 1345     UINT64_C(0),
 1346     UINT64_C(0),
 1347     UINT64_C(0),
 1348     UINT64_C(0),
 1349     UINT64_C(0),
 1350     UINT64_C(0),
 1351     UINT64_C(0),
 1352     UINT64_C(0),
 1353     UINT64_C(0),
 1354     UINT64_C(0),
 1355     UINT64_C(0),
 1356     UINT64_C(0),
 1357     UINT64_C(0),
 1358     UINT64_C(0),
 1359     UINT64_C(0),
 1360     UINT64_C(0),
 1361     UINT64_C(0),
 1362     UINT64_C(0),
 1363     UINT64_C(0),
 1364     UINT64_C(0),
 1365     UINT64_C(0),
 1366     UINT64_C(0),
 1367     UINT64_C(0),
 1368     UINT64_C(0),
 1369     UINT64_C(0),
 1370     UINT64_C(0),
 1371     UINT64_C(0),
 1372     UINT64_C(0),
 1373     UINT64_C(0),
 1374     UINT64_C(0),
 1375     UINT64_C(0),
 1376     UINT64_C(0),
 1377     UINT64_C(0),
 1378     UINT64_C(0),
 1379     UINT64_C(0),
 1380     UINT64_C(0),
 1381     UINT64_C(0),
 1382     UINT64_C(0),
 1383     UINT64_C(0),
 1384     UINT64_C(0),
 1385     UINT64_C(0),
 1386     UINT64_C(0),
 1387     UINT64_C(0),
 1388     UINT64_C(0),
 1389     UINT64_C(0),
 1390     UINT64_C(0),
 1391     UINT64_C(0),
 1392     UINT64_C(0),
 1393     UINT64_C(0),
 1394     UINT64_C(0),
 1395     UINT64_C(0),
 1396     UINT64_C(0),
 1397     UINT64_C(0),
 1398     UINT64_C(0),
 1399     UINT64_C(0),
 1400     UINT64_C(0),
 1401     UINT64_C(0),
 1402     UINT64_C(0),
 1403     UINT64_C(0),
 1404     UINT64_C(0),
 1405     UINT64_C(0),
 1406     UINT64_C(0),
 1407     UINT64_C(0),
 1408     UINT64_C(0),
 1409     UINT64_C(0),
 1410     UINT64_C(0),
 1411     UINT64_C(0),
 1412     UINT64_C(0),
 1413     UINT64_C(0),
 1414     UINT64_C(0),
 1415     UINT64_C(0),
 1416     UINT64_C(0),
 1417     UINT64_C(0),
 1418     UINT64_C(0),
 1419     UINT64_C(0),
 1420     UINT64_C(0),
 1421     UINT64_C(0),
 1422     UINT64_C(0),
 1423     UINT64_C(0),
 1424     UINT64_C(0),
 1425     UINT64_C(0),
 1426     UINT64_C(0),
 1427     UINT64_C(0),
 1428     UINT64_C(0),
 1429     UINT64_C(0),
 1430     UINT64_C(0),
 1431     UINT64_C(0),
 1432     UINT64_C(0),
 1433     UINT64_C(0),
 1434     UINT64_C(0),
 1435     UINT64_C(0),
 1436     UINT64_C(0),
 1437     UINT64_C(0),
 1438     UINT64_C(0),
 1439     UINT64_C(0),
 1440     UINT64_C(0),
 1441     UINT64_C(0),
 1442     UINT64_C(0),
 1443     UINT64_C(0),
 1444     UINT64_C(0),
 1445     UINT64_C(0),
 1446     UINT64_C(0),
 1447     UINT64_C(0),
 1448     UINT64_C(0),
 1449     UINT64_C(0),
 1450     UINT64_C(0),
 1451     UINT64_C(0),
 1452     UINT64_C(0),
 1453     UINT64_C(0),
 1454     UINT64_C(0),
 1455     UINT64_C(0),
 1456     UINT64_C(0),
 1457     UINT64_C(0),
 1458     UINT64_C(0),
 1459     UINT64_C(0),
 1460     UINT64_C(0),
 1461     UINT64_C(0),
 1462     UINT64_C(0),
 1463     UINT64_C(0),
 1464     UINT64_C(0),
 1465     UINT64_C(0),
 1466     UINT64_C(0),
 1467     UINT64_C(0),
 1468     UINT64_C(0),
 1469     UINT64_C(0),
 1470     UINT64_C(0),
 1471     UINT64_C(0),
 1472     UINT64_C(0),
 1473     UINT64_C(0),
 1474     UINT64_C(0),
 1475     UINT64_C(0),
 1476     UINT64_C(0),
 1477     UINT64_C(0),
 1478     UINT64_C(0),
 1479     UINT64_C(0),
 1480     UINT64_C(0),
 1481     UINT64_C(0),
 1482     UINT64_C(0),
 1483     UINT64_C(0),
 1484     UINT64_C(0),
 1485     UINT64_C(0),
 1486     UINT64_C(0),
 1487     UINT64_C(0),
 1488     UINT64_C(0),
 1489     UINT64_C(0),
 1490     UINT64_C(0),
 1491     UINT64_C(0),
 1492     UINT64_C(0),
 1493     UINT64_C(0),
 1494     UINT64_C(0),
 1495     UINT64_C(0),
 1496     UINT64_C(0),
 1497     UINT64_C(0),
 1498     UINT64_C(0),
 1499     UINT64_C(0),
 1500     UINT64_C(0),
 1501     UINT64_C(0),
 1502     UINT64_C(0),
 1503     UINT64_C(0),
 1504     UINT64_C(0),
 1505     UINT64_C(0),
 1506     UINT64_C(0),
 1507     UINT64_C(0),
 1508     UINT64_C(0),
 1509     UINT64_C(0),
 1510     UINT64_C(0),
 1511     UINT64_C(0),
 1512     UINT64_C(0),
 1513     UINT64_C(0),
 1514     UINT64_C(0),
 1515     UINT64_C(0),
 1516     UINT64_C(0),
 1517     UINT64_C(0),
 1518     UINT64_C(0),
 1519     UINT64_C(0),
 1520     UINT64_C(0),
 1521     UINT64_C(0),
 1522     UINT64_C(0),
 1523     UINT64_C(0),
 1524     UINT64_C(0),
 1525     UINT64_C(0),
 1526     UINT64_C(0),
 1527     UINT64_C(0),
 1528     UINT64_C(0),
 1529     UINT64_C(0),
 1530     UINT64_C(0),
 1531     UINT64_C(0),
 1532     UINT64_C(0),
 1533     UINT64_C(0),
 1534     UINT64_C(0),
 1535     UINT64_C(0),
 1536     UINT64_C(0),
 1537     UINT64_C(0),
 1538     UINT64_C(0),
 1539     UINT64_C(0),
 1540     UINT64_C(0),
 1541     UINT64_C(0),
 1542     UINT64_C(0),
 1543     UINT64_C(0),
 1544     UINT64_C(0),
 1545     UINT64_C(0),
 1546     UINT64_C(0),
 1547     UINT64_C(0),
 1548     UINT64_C(0),
 1549     UINT64_C(0),
 1550     UINT64_C(0),
 1551     UINT64_C(0),
 1552     UINT64_C(0),
 1553     UINT64_C(0),
 1554     UINT64_C(0),
 1555     UINT64_C(0),
 1556     UINT64_C(0),
 1557     UINT64_C(0),
 1558     UINT64_C(0),
 1559     UINT64_C(0),
 1560     UINT64_C(0),
 1561     UINT64_C(0),
 1562     UINT64_C(0),
 1563     UINT64_C(0),
 1564     UINT64_C(0),
 1565     UINT64_C(0),
 1566     UINT64_C(0),
 1567     UINT64_C(0),
 1568     UINT64_C(0),
 1569     UINT64_C(0),
 1570     UINT64_C(0),
 1571     UINT64_C(0),
 1572     UINT64_C(0),
 1573     UINT64_C(0),
 1574     UINT64_C(0),
 1575     UINT64_C(0),
 1576     UINT64_C(0),
 1577     UINT64_C(0),
 1578     UINT64_C(0),
 1579     UINT64_C(0),
 1580     UINT64_C(0),
 1581     UINT64_C(0),
 1582     UINT64_C(0),
 1583     UINT64_C(0),
 1584     UINT64_C(0),
 1585     UINT64_C(0),
 1586     UINT64_C(0),
 1587     UINT64_C(0),
 1588     UINT64_C(0),
 1589     UINT64_C(0),
 1590     UINT64_C(0),
 1591     UINT64_C(0),
 1592     UINT64_C(0),
 1593     UINT64_C(0),
 1594     UINT64_C(0),
 1595     UINT64_C(0),
 1596     UINT64_C(0),
 1597     UINT64_C(0),
 1598     UINT64_C(0),
 1599     UINT64_C(0),
 1600     UINT64_C(0),
 1601     UINT64_C(0),
 1602     UINT64_C(0),
 1603     UINT64_C(0),
 1604     UINT64_C(0),
 1605     UINT64_C(0),
 1606     UINT64_C(0),
 1607     UINT64_C(0),
 1608     UINT64_C(0),
 1609     UINT64_C(0),
 1610     UINT64_C(0),
 1611     UINT64_C(0),
 1612     UINT64_C(0),
 1613     UINT64_C(0),
 1614     UINT64_C(0),
 1615     UINT64_C(0),
 1616     UINT64_C(0),
 1617     UINT64_C(0),
 1618     UINT64_C(0),
 1619     UINT64_C(0),
 1620     UINT64_C(0),
 1621     UINT64_C(0),
 1622     UINT64_C(0),
 1623     UINT64_C(0),
 1624     UINT64_C(0),
 1625     UINT64_C(0),
 1626     UINT64_C(0),
 1627     UINT64_C(0),
 1628     UINT64_C(0),
 1629     UINT64_C(0),
 1630     UINT64_C(0),
 1631     UINT64_C(0),
 1632     UINT64_C(0),
 1633     UINT64_C(0),
 1634     UINT64_C(0),
 1635     UINT64_C(0),
 1636     UINT64_C(0),
 1637     UINT64_C(0),
 1638     UINT64_C(0),
 1639     UINT64_C(0),
 1640     UINT64_C(0),
 1641     UINT64_C(0),
 1642     UINT64_C(0),
 1643     UINT64_C(0),
 1644     UINT64_C(0),
 1645     UINT64_C(0),
 1646     UINT64_C(0),
 1647     UINT64_C(0),
 1648     UINT64_C(0),
 1649     UINT64_C(0),
 1650     UINT64_C(0),
 1651     UINT64_C(0),
 1652     UINT64_C(0),
 1653     UINT64_C(0),
 1654     UINT64_C(0),
 1655     UINT64_C(0),
 1656     UINT64_C(0),
 1657     UINT64_C(0),
 1658     UINT64_C(0),
 1659     UINT64_C(0),
 1660     UINT64_C(0),
 1661     UINT64_C(0),
 1662     UINT64_C(0),
 1663     UINT64_C(0),
 1664     UINT64_C(0),
 1665     UINT64_C(0),
 1666     UINT64_C(0),
 1667     UINT64_C(0),
 1668     UINT64_C(0),
 1669     UINT64_C(0),
 1670     UINT64_C(0),
 1671     UINT64_C(0),
 1672     UINT64_C(0),
 1673     UINT64_C(0),
 1674     UINT64_C(0),
 1675     UINT64_C(0),
 1676     UINT64_C(0),
 1677     UINT64_C(0),
 1678     UINT64_C(0),
 1679     UINT64_C(0),
 1680     UINT64_C(0),
 1681     UINT64_C(0),
 1682     UINT64_C(0),
 1683     UINT64_C(0),
 1684     UINT64_C(0),
 1685     UINT64_C(0),
 1686     UINT64_C(0),
 1687     UINT64_C(0),
 1688     UINT64_C(0),
 1689     UINT64_C(0),
 1690     UINT64_C(0),
 1691     UINT64_C(0),
 1692     UINT64_C(0),
 1693     UINT64_C(0),
 1694     UINT64_C(0),
 1695     UINT64_C(0),
 1696     UINT64_C(0),
 1697     UINT64_C(0),
 1698     UINT64_C(0),
 1699     UINT64_C(0),
 1700     UINT64_C(0),
 1701     UINT64_C(0),
 1702     UINT64_C(0),
 1703     UINT64_C(0),
 1704     UINT64_C(0),
 1705     UINT64_C(0),
 1706     UINT64_C(0),
 1707     UINT64_C(0),
 1708     UINT64_C(0),
 1709     UINT64_C(0),
 1710     UINT64_C(0),
 1711     UINT64_C(0),
 1712     UINT64_C(0),
 1713     UINT64_C(0),
 1714     UINT64_C(0),
 1715     UINT64_C(0),
 1716     UINT64_C(0),
 1717     UINT64_C(0),
 1718     UINT64_C(0),
 1719     UINT64_C(0),
 1720     UINT64_C(0),
 1721     UINT64_C(0),
 1722     UINT64_C(0),
 1723     UINT64_C(0),
 1724     UINT64_C(0),
 1725     UINT64_C(0),
 1726     UINT64_C(0),
 1727     UINT64_C(0),
 1728     UINT64_C(0),
 1729     UINT64_C(0),
 1730     UINT64_C(0),
 1731     UINT64_C(0),
 1732     UINT64_C(0),
 1733     UINT64_C(0),
 1734     UINT64_C(0),
 1735     UINT64_C(0),
 1736     UINT64_C(0),
 1737     UINT64_C(0),
 1738     UINT64_C(0),
 1739     UINT64_C(0),
 1740     UINT64_C(0),
 1741     UINT64_C(0),
 1742     UINT64_C(0),
 1743     UINT64_C(0),
 1744     UINT64_C(0),
 1745     UINT64_C(0),
 1746     UINT64_C(0),
 1747     UINT64_C(0),
 1748     UINT64_C(0),
 1749     UINT64_C(0),
 1750     UINT64_C(0),
 1751     UINT64_C(0),
 1752     UINT64_C(0),
 1753     UINT64_C(0),
 1754     UINT64_C(0),
 1755     UINT64_C(0),
 1756     UINT64_C(0),
 1757     UINT64_C(0),
 1758     UINT64_C(0),
 1759     UINT64_C(0),
 1760     UINT64_C(0),
 1761     UINT64_C(0),
 1762     UINT64_C(0),
 1763     UINT64_C(0),
 1764     UINT64_C(0),
 1765     UINT64_C(0),
 1766     UINT64_C(0),
 1767     UINT64_C(0),
 1768     UINT64_C(0),
 1769     UINT64_C(0),
 1770     UINT64_C(0),
 1771     UINT64_C(0),
 1772     UINT64_C(0),
 1773     UINT64_C(0),
 1774     UINT64_C(0),
 1775     UINT64_C(0),
 1776     UINT64_C(0),
 1777     UINT64_C(0),
 1778     UINT64_C(0),
 1779     UINT64_C(0),
 1780     UINT64_C(0),
 1781     UINT64_C(0),
 1782     UINT64_C(0),
 1783     UINT64_C(0),
 1784     UINT64_C(0),
 1785     UINT64_C(0),
 1786     UINT64_C(0),
 1787     UINT64_C(0),
 1788     UINT64_C(0),
 1789     UINT64_C(0),
 1790     UINT64_C(0),
 1791     UINT64_C(0),
 1792     UINT64_C(0),
 1793     UINT64_C(0),
 1794     UINT64_C(0),
 1795     UINT64_C(0),
 1796     UINT64_C(0),
 1797     UINT64_C(0),
 1798     UINT64_C(0),
 1799     UINT64_C(0),
 1800     UINT64_C(0),
 1801     UINT64_C(0),
 1802     UINT64_C(0),
 1803     UINT64_C(0),
 1804     UINT64_C(0),
 1805     UINT64_C(0),
 1806     UINT64_C(0),
 1807     UINT64_C(0),
 1808     UINT64_C(0),
 1809     UINT64_C(0),
 1810     UINT64_C(0),
 1811     UINT64_C(0),
 1812     UINT64_C(0),
 1813     UINT64_C(0),
 1814     UINT64_C(0),
 1815     UINT64_C(0),
 1816     UINT64_C(0),
 1817     UINT64_C(0),
 1818     UINT64_C(0),
 1819     UINT64_C(0),
 1820     UINT64_C(0),
 1821     UINT64_C(0),
 1822     UINT64_C(0),
 1823     UINT64_C(0),
 1824     UINT64_C(0),
 1825     UINT64_C(0),
 1826     UINT64_C(0),
 1827     UINT64_C(0),
 1828     UINT64_C(0),
 1829     UINT64_C(0),
 1830     UINT64_C(0),
 1831     UINT64_C(0),
 1832     UINT64_C(0),
 1833     UINT64_C(0),
 1834     UINT64_C(0),
 1835     UINT64_C(0),
 1836     UINT64_C(0),
 1837     UINT64_C(0),
 1838     UINT64_C(0),
 1839     UINT64_C(0),
 1840     UINT64_C(0),
 1841     UINT64_C(0),
 1842     UINT64_C(0),
 1843     UINT64_C(0),
 1844     UINT64_C(0),
 1845     UINT64_C(0),
 1846     UINT64_C(0),
 1847     UINT64_C(0),
 1848     UINT64_C(0),
 1849     UINT64_C(0),
 1850     UINT64_C(0),
 1851     UINT64_C(0),
 1852     UINT64_C(0),
 1853     UINT64_C(0),
 1854     UINT64_C(0),
 1855     UINT64_C(0),
 1856     UINT64_C(0),
 1857     UINT64_C(0),
 1858     UINT64_C(0),
 1859     UINT64_C(0),
 1860     UINT64_C(0),
 1861     UINT64_C(0),
 1862     UINT64_C(0),
 1863     UINT64_C(0),
 1864     UINT64_C(0),
 1865     UINT64_C(0),
 1866     UINT64_C(0),
 1867     UINT64_C(0),
 1868     UINT64_C(0),
 1869     UINT64_C(0),
 1870     UINT64_C(0),
 1871     UINT64_C(0),
 1872     UINT64_C(0),
 1873     UINT64_C(0),
 1874     UINT64_C(0),
 1875     UINT64_C(0),
 1876     UINT64_C(0),
 1877     UINT64_C(0),
 1878     UINT64_C(0),
 1879     UINT64_C(0),
 1880     UINT64_C(0),
 1881     UINT64_C(0),
 1882     UINT64_C(0),
 1883     UINT64_C(0),
 1884     UINT64_C(0),
 1885     UINT64_C(0),
 1886     UINT64_C(0),
 1887     UINT64_C(0),
 1888     UINT64_C(0),
 1889     UINT64_C(0),
 1890     UINT64_C(0),
 1891     UINT64_C(0),
 1892     UINT64_C(0),
 1893     UINT64_C(0),
 1894     UINT64_C(0),
 1895     UINT64_C(0),
 1896     UINT64_C(0),
 1897     UINT64_C(0),
 1898     UINT64_C(0),
 1899     UINT64_C(0),
 1900     UINT64_C(0),
 1901     UINT64_C(0),
 1902     UINT64_C(0),
 1903     UINT64_C(0),
 1904     UINT64_C(0),
 1905     UINT64_C(0),
 1906     UINT64_C(0),
 1907     UINT64_C(0),
 1908     UINT64_C(0),
 1909     UINT64_C(0),
 1910     UINT64_C(0),
 1911     UINT64_C(0),
 1912     UINT64_C(0),
 1913     UINT64_C(0),
 1914     UINT64_C(0),
 1915     UINT64_C(0),
 1916     UINT64_C(0),
 1917     UINT64_C(0),
 1918     UINT64_C(0),
 1919     UINT64_C(0),
 1920     UINT64_C(0),
 1921     UINT64_C(0),
 1922     UINT64_C(0),
 1923     UINT64_C(0),
 1924     UINT64_C(0),
 1925     UINT64_C(0),
 1926     UINT64_C(0),
 1927     UINT64_C(0),
 1928     UINT64_C(0),
 1929     UINT64_C(0),
 1930     UINT64_C(0),
 1931     UINT64_C(0),
 1932     UINT64_C(0),
 1933     UINT64_C(0),
 1934     UINT64_C(0),
 1935     UINT64_C(0),
 1936     UINT64_C(0),
 1937     UINT64_C(0),
 1938     UINT64_C(0),
 1939     UINT64_C(0),
 1940     UINT64_C(0),
 1941     UINT64_C(0),
 1942     UINT64_C(0),
 1943     UINT64_C(0),
 1944     UINT64_C(0),
 1945     UINT64_C(0),
 1946     UINT64_C(0),
 1947     UINT64_C(0),
 1948     UINT64_C(0),
 1949     UINT64_C(0),
 1950     UINT64_C(0),
 1951     UINT64_C(0),
 1952     UINT64_C(0),
 1953     UINT64_C(0),
 1954     UINT64_C(0),
 1955     UINT64_C(0),
 1956     UINT64_C(0),
 1957     UINT64_C(0),
 1958     UINT64_C(0),
 1959     UINT64_C(0),
 1960     UINT64_C(0),
 1961     UINT64_C(0),
 1962     UINT64_C(0),
 1963     UINT64_C(0),
 1964     UINT64_C(0),
 1965     UINT64_C(0),
 1966     UINT64_C(0),
 1967     UINT64_C(0),
 1968     UINT64_C(0),
 1969     UINT64_C(0),
 1970     UINT64_C(0),
 1971     UINT64_C(0),
 1972     UINT64_C(0),
 1973     UINT64_C(0),
 1974     UINT64_C(0),
 1975     UINT64_C(0),
 1976     UINT64_C(0),
 1977     UINT64_C(0),
 1978     UINT64_C(0),
 1979     UINT64_C(0),
 1980     UINT64_C(0),
 1981     UINT64_C(0),
 1982     UINT64_C(0),
 1983     UINT64_C(0),
 1984     UINT64_C(0),
 1985     UINT64_C(0),
 1986     UINT64_C(0),
 1987     UINT64_C(0),
 1988     UINT64_C(0),
 1989     UINT64_C(0),
 1990     UINT64_C(0),
 1991     UINT64_C(0),
 1992     UINT64_C(0),
 1993     UINT64_C(0),
 1994     UINT64_C(0),
 1995     UINT64_C(0),
 1996     UINT64_C(0),
 1997     UINT64_C(0),
 1998     UINT64_C(0),
 1999     UINT64_C(0),
 2000     UINT64_C(0),
 2001     UINT64_C(0),
 2002     UINT64_C(0),
 2003     UINT64_C(0),
 2004     UINT64_C(0),
 2005     UINT64_C(0),
 2006     UINT64_C(0),
 2007     UINT64_C(0),
 2008     UINT64_C(0),
 2009     UINT64_C(0),
 2010     UINT64_C(0),
 2011     UINT64_C(0),
 2012     UINT64_C(0),
 2013     UINT64_C(0),
 2014     UINT64_C(0),
 2015     UINT64_C(0),
 2016     UINT64_C(0),
 2017     UINT64_C(0),
 2018     UINT64_C(0),
 2019     UINT64_C(0),
 2020     UINT64_C(0),
 2021     UINT64_C(0),
 2022     UINT64_C(0),
 2023     UINT64_C(0),
 2024     UINT64_C(0),
 2025     UINT64_C(0),
 2026     UINT64_C(0),
 2027     UINT64_C(0),
 2028     UINT64_C(0),
 2029     UINT64_C(0),
 2030     UINT64_C(0),
 2031     UINT64_C(0),
 2032     UINT64_C(0),
 2033     UINT64_C(0),
 2034     UINT64_C(0),
 2035     UINT64_C(0),
 2036     UINT64_C(0),
 2037     UINT64_C(0),
 2038     UINT64_C(0),
 2039     UINT64_C(0),
 2040     UINT64_C(0),
 2041     UINT64_C(0),
 2042     UINT64_C(0),
 2043     UINT64_C(0),
 2044     UINT64_C(0),
 2045     UINT64_C(0),
 2046     UINT64_C(0),
 2047     UINT64_C(0),
 2048     UINT64_C(0),
 2049     UINT64_C(0),
 2050     UINT64_C(0),
 2051     UINT64_C(0),
 2052     UINT64_C(0),
 2053     UINT64_C(0),
 2054     UINT64_C(0),
 2055     UINT64_C(0),
 2056     UINT64_C(0),
 2057     UINT64_C(0),
 2058     UINT64_C(0),
 2059     UINT64_C(0),
 2060     UINT64_C(0),
 2061     UINT64_C(0),
 2062     UINT64_C(0),
 2063     UINT64_C(0),
 2064     UINT64_C(0),
 2065     UINT64_C(0),
 2066     UINT64_C(0),
 2067     UINT64_C(0),
 2068     UINT64_C(0),
 2069     UINT64_C(0),
 2070     UINT64_C(0),
 2071     UINT64_C(0),
 2072     UINT64_C(0),
 2073     UINT64_C(0),
 2074     UINT64_C(0),
 2075     UINT64_C(0),
 2076     UINT64_C(0),
 2077     UINT64_C(0),
 2078     UINT64_C(0),
 2079     UINT64_C(0),
 2080     UINT64_C(0),
 2081     UINT64_C(0),
 2082     UINT64_C(0),
 2083     UINT64_C(0),
 2084     UINT64_C(0),
 2085     UINT64_C(0),
 2086     UINT64_C(0),
 2087     UINT64_C(0),
 2088     UINT64_C(0),
 2089     UINT64_C(0),
 2090     UINT64_C(0),
 2091     UINT64_C(0),
 2092     UINT64_C(0),
 2093     UINT64_C(0),
 2094     UINT64_C(0),
 2095     UINT64_C(0),
 2096     UINT64_C(0),
 2097     UINT64_C(0),
 2098     UINT64_C(0),
 2099     UINT64_C(0),
 2100     UINT64_C(0),
 2101     UINT64_C(0),
 2102     UINT64_C(0),
 2103     UINT64_C(0),
 2104     UINT64_C(0),
 2105     UINT64_C(0),
 2106     UINT64_C(0),
 2107     UINT64_C(0),
 2108     UINT64_C(0),
 2109     UINT64_C(0),
 2110     UINT64_C(0),
 2111     UINT64_C(0),
 2112     UINT64_C(0),
 2113     UINT64_C(0),
 2114     UINT64_C(0),
 2115     UINT64_C(0),
 2116     UINT64_C(0),
 2117     UINT64_C(0),
 2118     UINT64_C(0),
 2119     UINT64_C(0),
 2120     UINT64_C(0),
 2121     UINT64_C(0),
 2122     UINT64_C(0),
 2123     UINT64_C(0),
 2124     UINT64_C(0),
 2125     UINT64_C(0),
 2126     UINT64_C(0),
 2127     UINT64_C(0),
 2128     UINT64_C(0),
 2129     UINT64_C(0),
 2130     UINT64_C(0),
 2131     UINT64_C(0),
 2132     UINT64_C(0),
 2133     UINT64_C(0),
 2134     UINT64_C(0),
 2135     UINT64_C(0),
 2136     UINT64_C(0),
 2137     UINT64_C(0),
 2138     UINT64_C(0),
 2139     UINT64_C(0),
 2140     UINT64_C(0),
 2141     UINT64_C(0),
 2142     UINT64_C(0),
 2143     UINT64_C(0),
 2144     UINT64_C(0),
 2145     UINT64_C(0),
 2146     UINT64_C(0),
 2147     UINT64_C(0),
 2148     UINT64_C(0),
 2149     UINT64_C(0),
 2150     UINT64_C(0),
 2151     UINT64_C(0),
 2152     UINT64_C(0),
 2153     UINT64_C(0),
 2154     UINT64_C(0),
 2155     UINT64_C(0),
 2156     UINT64_C(0),
 2157     UINT64_C(0),
 2158     UINT64_C(0),
 2159     UINT64_C(0),
 2160     UINT64_C(0),
 2161     UINT64_C(0),
 2162     UINT64_C(0),
 2163     UINT64_C(0),
 2164     UINT64_C(0),
 2165     UINT64_C(0),
 2166     UINT64_C(0),
 2167     UINT64_C(0),
 2168     UINT64_C(0),
 2169     UINT64_C(0),
 2170     UINT64_C(0),
 2171     UINT64_C(0),
 2172     UINT64_C(0),
 2173     UINT64_C(0),
 2174     UINT64_C(0),
 2175     UINT64_C(0),
 2176     UINT64_C(0),
 2177     UINT64_C(0),
 2178     UINT64_C(0),
 2179     UINT64_C(0),
 2180     UINT64_C(0),
 2181     UINT64_C(0),
 2182     UINT64_C(0),
 2183     UINT64_C(0),
 2184     UINT64_C(0),
 2185     UINT64_C(0),
 2186     UINT64_C(0),
 2187     UINT64_C(0),
 2188     UINT64_C(0),
 2189     UINT64_C(0),
 2190     UINT64_C(0),
 2191     UINT64_C(0),
 2192     UINT64_C(0),
 2193     UINT64_C(0),
 2194     UINT64_C(0),
 2195     UINT64_C(0),
 2196     UINT64_C(0),
 2197     UINT64_C(0),
 2198     UINT64_C(0),
 2199     UINT64_C(0),
 2200     UINT64_C(0),
 2201     UINT64_C(0),
 2202     UINT64_C(0),
 2203     UINT64_C(0),
 2204     UINT64_C(0),
 2205     UINT64_C(0),
 2206     UINT64_C(0),
 2207     UINT64_C(0),
 2208     UINT64_C(0),
 2209     UINT64_C(0),
 2210     UINT64_C(0),
 2211     UINT64_C(0),
 2212     UINT64_C(0),
 2213     UINT64_C(0),
 2214     UINT64_C(0),
 2215     UINT64_C(0),
 2216     UINT64_C(0),
 2217     UINT64_C(0),
 2218     UINT64_C(0),
 2219     UINT64_C(0),
 2220     UINT64_C(0),
 2221     UINT64_C(0),
 2222     UINT64_C(0),
 2223     UINT64_C(0),
 2224     UINT64_C(0),
 2225     UINT64_C(0),
 2226     UINT64_C(0),
 2227     UINT64_C(0),
 2228     UINT64_C(0),
 2229     UINT64_C(0),
 2230     UINT64_C(0),
 2231     UINT64_C(0),
 2232     UINT64_C(0),
 2233     UINT64_C(0),
 2234     UINT64_C(0),
 2235     UINT64_C(0),
 2236     UINT64_C(0),
 2237     UINT64_C(0),
 2238     UINT64_C(0),
 2239     UINT64_C(0),
 2240     UINT64_C(0),
 2241     UINT64_C(0),
 2242     UINT64_C(0),
 2243     UINT64_C(0),
 2244     UINT64_C(0),
 2245     UINT64_C(0),
 2246     UINT64_C(0),
 2247     UINT64_C(0),
 2248     UINT64_C(0),
 2249     UINT64_C(0),
 2250     UINT64_C(0),
 2251     UINT64_C(0),
 2252     UINT64_C(0),
 2253     UINT64_C(0),
 2254     UINT64_C(0),
 2255     UINT64_C(0),
 2256     UINT64_C(0),
 2257     UINT64_C(0),
 2258     UINT64_C(0),
 2259     UINT64_C(0),
 2260     UINT64_C(0),
 2261     UINT64_C(0),
 2262     UINT64_C(0),
 2263     UINT64_C(0),
 2264     UINT64_C(0),
 2265     UINT64_C(0),
 2266     UINT64_C(0),
 2267     UINT64_C(0),
 2268     UINT64_C(0),
 2269     UINT64_C(0),
 2270     UINT64_C(0),
 2271     UINT64_C(0),
 2272     UINT64_C(0),
 2273     UINT64_C(0),
 2274     UINT64_C(0),
 2275     UINT64_C(0),
 2276     UINT64_C(0),
 2277     UINT64_C(0),
 2278     UINT64_C(0),
 2279     UINT64_C(0),
 2280     UINT64_C(0),
 2281     UINT64_C(0),
 2282     UINT64_C(0),
 2283     UINT64_C(0),
 2284     UINT64_C(0),
 2285     UINT64_C(0),
 2286     UINT64_C(0),
 2287     UINT64_C(0),
 2288     UINT64_C(0),
 2289     UINT64_C(0),
 2290     UINT64_C(0),
 2291     UINT64_C(0),
 2292     UINT64_C(0),
 2293     UINT64_C(0),
 2294     UINT64_C(0),
 2295     UINT64_C(0),
 2296     UINT64_C(0),
 2297     UINT64_C(0),
 2298     UINT64_C(0),
 2299     UINT64_C(0),
 2300     UINT64_C(0),
 2301     UINT64_C(0),
 2302     UINT64_C(0),
 2303     UINT64_C(0),
 2304     UINT64_C(0),
 2305     UINT64_C(0),
 2306     UINT64_C(0),
 2307     UINT64_C(0),
 2308     UINT64_C(0),
 2309     UINT64_C(0),
 2310     UINT64_C(0),
 2311     UINT64_C(0),
 2312     UINT64_C(0),
 2313     UINT64_C(0),
 2314     UINT64_C(0),
 2315     UINT64_C(0),
 2316     UINT64_C(0),
 2317     UINT64_C(0),
 2318     UINT64_C(0),
 2319     UINT64_C(0),
 2320     UINT64_C(0),
 2321     UINT64_C(0),
 2322     UINT64_C(0),
 2323     UINT64_C(0),
 2324     UINT64_C(0),
 2325     UINT64_C(0),
 2326     UINT64_C(0),
 2327     UINT64_C(0),
 2328     UINT64_C(0),
 2329     UINT64_C(0),
 2330     UINT64_C(0),
 2331     UINT64_C(0),
 2332     UINT64_C(0),
 2333     UINT64_C(0),
 2334     UINT64_C(0),
 2335     UINT64_C(0),
 2336     UINT64_C(0),
 2337     UINT64_C(0),
 2338     UINT64_C(0),
 2339     UINT64_C(0),
 2340     UINT64_C(0),
 2341     UINT64_C(0),
 2342     UINT64_C(0),
 2343     UINT64_C(0),
 2344     UINT64_C(0),
 2345     UINT64_C(0),
 2346     UINT64_C(0),
 2347     UINT64_C(0),
 2348     UINT64_C(0),
 2349     UINT64_C(0),
 2350     UINT64_C(0),
 2351     UINT64_C(0),
 2352     UINT64_C(0),
 2353     UINT64_C(0),
 2354     UINT64_C(0),
 2355     UINT64_C(0),
 2356     UINT64_C(0),
 2357     UINT64_C(0),
 2358     UINT64_C(0),
 2359     UINT64_C(0),
 2360     UINT64_C(0),
 2361     UINT64_C(0),
 2362     UINT64_C(0),
 2363     UINT64_C(0),
 2364     UINT64_C(0),
 2365     UINT64_C(0),
 2366     UINT64_C(0),
 2367     UINT64_C(0),
 2368     UINT64_C(0),
 2369     UINT64_C(0),
 2370     UINT64_C(0),
 2371     UINT64_C(0),
 2372     UINT64_C(0),
 2373     UINT64_C(0),
 2374     UINT64_C(0),
 2375     UINT64_C(0),
 2376     UINT64_C(0),
 2377     UINT64_C(0),
 2378     UINT64_C(0),
 2379     UINT64_C(0),
 2380     UINT64_C(0),
 2381     UINT64_C(0),
 2382     UINT64_C(0),
 2383     UINT64_C(0),
 2384     UINT64_C(0),
 2385     UINT64_C(0),
 2386     UINT64_C(0),
 2387     UINT64_C(0),
 2388     UINT64_C(0),
 2389     UINT64_C(0),
 2390     UINT64_C(0),
 2391     UINT64_C(0),
 2392     UINT64_C(0),
 2393     UINT64_C(0),
 2394     UINT64_C(0),
 2395     UINT64_C(0),
 2396     UINT64_C(0),
 2397     UINT64_C(0),
 2398     UINT64_C(0),
 2399     UINT64_C(0),
 2400     UINT64_C(0),
 2401     UINT64_C(0),
 2402     UINT64_C(0),
 2403     UINT64_C(0),
 2404     UINT64_C(0),
 2405     UINT64_C(0),
 2406     UINT64_C(0),
 2407     UINT64_C(0),
 2408     UINT64_C(0),
 2409     UINT64_C(0),
 2410     UINT64_C(0),
 2411     UINT64_C(0),
 2412     UINT64_C(0),
 2413     UINT64_C(0),
 2414     UINT64_C(0),
 2415     UINT64_C(0),
 2416     UINT64_C(0),
 2417     UINT64_C(0),
 2418     UINT64_C(0),
 2419     UINT64_C(0),
 2420     UINT64_C(0),
 2421     UINT64_C(0),
 2422     UINT64_C(0),
 2423     UINT64_C(0),
 2424     UINT64_C(0),
 2425     UINT64_C(0),
 2426     UINT64_C(0),
 2427     UINT64_C(0),
 2428     UINT64_C(0),
 2429     UINT64_C(0),
 2430     UINT64_C(0),
 2431     UINT64_C(0),
 2432     UINT64_C(0),
 2433     UINT64_C(0),
 2434     UINT64_C(0),
 2435     UINT64_C(0),
 2436     UINT64_C(0),
 2437     UINT64_C(0),
 2438     UINT64_C(0),
 2439     UINT64_C(0),
 2440     UINT64_C(0),
 2441     UINT64_C(0),
 2442     UINT64_C(0),
 2443     UINT64_C(0),
 2444     UINT64_C(0),
 2445     UINT64_C(0),
 2446     UINT64_C(0),
 2447     UINT64_C(0),
 2448     UINT64_C(0),
 2449     UINT64_C(0),
 2450     UINT64_C(0),
 2451     UINT64_C(0),
 2452     UINT64_C(0),
 2453     UINT64_C(0),
 2454     UINT64_C(0),
 2455     UINT64_C(0),
 2456     UINT64_C(0),
 2457     UINT64_C(0),
 2458     UINT64_C(0),
 2459     UINT64_C(0),
 2460     UINT64_C(0),
 2461     UINT64_C(0),
 2462     UINT64_C(0),
 2463     UINT64_C(0),
 2464     UINT64_C(0),
 2465     UINT64_C(0),
 2466     UINT64_C(0),
 2467     UINT64_C(0),
 2468     UINT64_C(0),
 2469     UINT64_C(0),
 2470     UINT64_C(0),
 2471     UINT64_C(0),
 2472     UINT64_C(0),
 2473     UINT64_C(0),
 2474     UINT64_C(0),
 2475     UINT64_C(0),
 2476     UINT64_C(0),
 2477     UINT64_C(0),
 2478     UINT64_C(0),
 2479     UINT64_C(0),
 2480     UINT64_C(0),
 2481     UINT64_C(0),
 2482     UINT64_C(0),
 2483     UINT64_C(0),
 2484     UINT64_C(0),
 2485     UINT64_C(0),
 2486     UINT64_C(0),
 2487     UINT64_C(0),
 2488     UINT64_C(0),
 2489     UINT64_C(0),
 2490     UINT64_C(0),
 2491     UINT64_C(0),
 2492     UINT64_C(0),
 2493     UINT64_C(0),
 2494     UINT64_C(0),
 2495     UINT64_C(0),
 2496     UINT64_C(0),
 2497     UINT64_C(0),
 2498     UINT64_C(0),
 2499     UINT64_C(0),
 2500     UINT64_C(0),
 2501     UINT64_C(0),
 2502     UINT64_C(0),
 2503     UINT64_C(0),
 2504     UINT64_C(0),
 2505     UINT64_C(0),
 2506     UINT64_C(0),
 2507     UINT64_C(0),
 2508     UINT64_C(0),
 2509     UINT64_C(0),
 2510     UINT64_C(0),
 2511     UINT64_C(0),
 2512     UINT64_C(0),
 2513     UINT64_C(0),
 2514     UINT64_C(0),
 2515     UINT64_C(0),
 2516     UINT64_C(0),
 2517     UINT64_C(0),
 2518     UINT64_C(0),
 2519     UINT64_C(0),
 2520     UINT64_C(0),
 2521     UINT64_C(0),
 2522     UINT64_C(0),
 2523     UINT64_C(0),
 2524     UINT64_C(0),
 2525     UINT64_C(0),
 2526     UINT64_C(0),
 2527     UINT64_C(0),
 2528     UINT64_C(0),
 2529     UINT64_C(0),
 2530     UINT64_C(0),
 2531     UINT64_C(0),
 2532     UINT64_C(0),
 2533     UINT64_C(0),
 2534     UINT64_C(0),
 2535     UINT64_C(0),
 2536     UINT64_C(0),
 2537     UINT64_C(0),
 2538     UINT64_C(0),
 2539     UINT64_C(0),
 2540     UINT64_C(0),
 2541     UINT64_C(0),
 2542     UINT64_C(0),
 2543     UINT64_C(0),
 2544     UINT64_C(0),
 2545     UINT64_C(0),
 2546     UINT64_C(0),
 2547     UINT64_C(0),
 2548     UINT64_C(0),
 2549     UINT64_C(0),
 2550     UINT64_C(0),
 2551     UINT64_C(0),
 2552     UINT64_C(0),
 2553     UINT64_C(0),
 2554     UINT64_C(0),
 2555     UINT64_C(0),
 2556     UINT64_C(0),
 2557     UINT64_C(0),
 2558     UINT64_C(0),
 2559     UINT64_C(0),
 2560     UINT64_C(0),
 2561     UINT64_C(0),
 2562     UINT64_C(0),
 2563     UINT64_C(0),
 2564     UINT64_C(0),
 2565     UINT64_C(0),
 2566     UINT64_C(0),
 2567     UINT64_C(0),
 2568     UINT64_C(0),
 2569     UINT64_C(0),
 2570     UINT64_C(0),
 2571     UINT64_C(0),
 2572     UINT64_C(0),
 2573     UINT64_C(0),
 2574     UINT64_C(0),
 2575     UINT64_C(0),
 2576     UINT64_C(0),
 2577     UINT64_C(0),
 2578     UINT64_C(0),
 2579     UINT64_C(0),
 2580     UINT64_C(0),
 2581     UINT64_C(0),
 2582     UINT64_C(0),
 2583     UINT64_C(0),
 2584     UINT64_C(0),
 2585     UINT64_C(0),
 2586     UINT64_C(0),
 2587     UINT64_C(0),
 2588     UINT64_C(0),
 2589     UINT64_C(0),
 2590     UINT64_C(0),
 2591     UINT64_C(0),
 2592     UINT64_C(0),
 2593     UINT64_C(0),
 2594     UINT64_C(0),
 2595     UINT64_C(0),
 2596     UINT64_C(0),
 2597     UINT64_C(0),
 2598     UINT64_C(0),
 2599     UINT64_C(0),
 2600     UINT64_C(0),
 2601     UINT64_C(0),
 2602     UINT64_C(0),
 2603     UINT64_C(0),
 2604     UINT64_C(0),
 2605     UINT64_C(0),
 2606     UINT64_C(0),
 2607     UINT64_C(0),
 2608     UINT64_C(0),
 2609     UINT64_C(0),
 2610     UINT64_C(0),
 2611     UINT64_C(0),
 2612     UINT64_C(0),
 2613     UINT64_C(0),
 2614     UINT64_C(0),
 2615     UINT64_C(0),
 2616     UINT64_C(0),
 2617     UINT64_C(0),
 2618     UINT64_C(0),
 2619     UINT64_C(0),
 2620     UINT64_C(0),
 2621     UINT64_C(0),
 2622     UINT64_C(0),
 2623     UINT64_C(0),
 2624     UINT64_C(0),
 2625     UINT64_C(0),
 2626     UINT64_C(0),
 2627     UINT64_C(0),
 2628     UINT64_C(0),
 2629     UINT64_C(0),
 2630     UINT64_C(0),
 2631     UINT64_C(0),
 2632     UINT64_C(0),
 2633     UINT64_C(0),
 2634     UINT64_C(0),
 2635     UINT64_C(0),
 2636     UINT64_C(0),
 2637     UINT64_C(0),
 2638     UINT64_C(0),
 2639     UINT64_C(0),
 2640     UINT64_C(0),
 2641     UINT64_C(0),
 2642     UINT64_C(0),
 2643     UINT64_C(0),
 2644     UINT64_C(0),
 2645     UINT64_C(0),
 2646     UINT64_C(0),
 2647     UINT64_C(0),
 2648     UINT64_C(0),
 2649     UINT64_C(0),
 2650     UINT64_C(0),
 2651     UINT64_C(0),
 2652     UINT64_C(0),
 2653     UINT64_C(0),
 2654     UINT64_C(0),
 2655     UINT64_C(0),
 2656     UINT64_C(0),
 2657     UINT64_C(0),
 2658     UINT64_C(0),
 2659     UINT64_C(0),
 2660     UINT64_C(0),
 2661     UINT64_C(0),
 2662     UINT64_C(0),
 2663     UINT64_C(0),
 2664     UINT64_C(0),
 2665     UINT64_C(0),
 2666     UINT64_C(0),
 2667     UINT64_C(0),
 2668     UINT64_C(0),
 2669     UINT64_C(0),
 2670     UINT64_C(0),
 2671     UINT64_C(0),
 2672     UINT64_C(0),
 2673     UINT64_C(0),
 2674     UINT64_C(0),
 2675     UINT64_C(0),
 2676     UINT64_C(0),
 2677     UINT64_C(0),
 2678     UINT64_C(0),
 2679     UINT64_C(0),
 2680     UINT64_C(0),
 2681     UINT64_C(0),
 2682     UINT64_C(0),
 2683     UINT64_C(0),
 2684     UINT64_C(0),
 2685     UINT64_C(0),
 2686     UINT64_C(0),
 2687     UINT64_C(0),
 2688     UINT64_C(0),
 2689     UINT64_C(0),
 2690     UINT64_C(0),
 2691     UINT64_C(0),
 2692     UINT64_C(0),
 2693     UINT64_C(0),
 2694     UINT64_C(0),
 2695     UINT64_C(0),
 2696     UINT64_C(0),
 2697     UINT64_C(0),
 2698     UINT64_C(0),
 2699     UINT64_C(0),
 2700     UINT64_C(0),
 2701     UINT64_C(0),
 2702     UINT64_C(0),
 2703     UINT64_C(0),
 2704     UINT64_C(0),
 2705     UINT64_C(0),
 2706     UINT64_C(0),
 2707     UINT64_C(0),
 2708     UINT64_C(0),
 2709     UINT64_C(0),
 2710     UINT64_C(0),
 2711     UINT64_C(0),
 2712     UINT64_C(0),
 2713     UINT64_C(0),
 2714     UINT64_C(0),
 2715     UINT64_C(0),
 2716     UINT64_C(0),
 2717     UINT64_C(0),
 2718     UINT64_C(0),
 2719     UINT64_C(0),
 2720     UINT64_C(0),
 2721     UINT64_C(0),
 2722     UINT64_C(0),
 2723     UINT64_C(0),
 2724     UINT64_C(0),
 2725     UINT64_C(0),
 2726     UINT64_C(0),
 2727     UINT64_C(0),
 2728     UINT64_C(0),
 2729     UINT64_C(0),
 2730     UINT64_C(0),
 2731     UINT64_C(0),
 2732     UINT64_C(0),
 2733     UINT64_C(0),
 2734     UINT64_C(0),
 2735     UINT64_C(0),
 2736     UINT64_C(0),
 2737     UINT64_C(0),
 2738     UINT64_C(0),
 2739     UINT64_C(0),
 2740     UINT64_C(0),
 2741     UINT64_C(0),
 2742     UINT64_C(0),
 2743     UINT64_C(0),
 2744     UINT64_C(0),
 2745     UINT64_C(0),
 2746     UINT64_C(0),
 2747     UINT64_C(0),
 2748     UINT64_C(0),
 2749     UINT64_C(0),
 2750     UINT64_C(0),
 2751     UINT64_C(0),
 2752     UINT64_C(0),
 2753     UINT64_C(0),
 2754     UINT64_C(0),
 2755     UINT64_C(0),
 2756     UINT64_C(0),
 2757     UINT64_C(0),
 2758     UINT64_C(0),
 2759     UINT64_C(0),
 2760     UINT64_C(0),
 2761     UINT64_C(0),
 2762     UINT64_C(0),
 2763     UINT64_C(0),
 2764     UINT64_C(0),
 2765     UINT64_C(0),
 2766     UINT64_C(0),
 2767     UINT64_C(0),
 2768     UINT64_C(0),
 2769     UINT64_C(0),
 2770     UINT64_C(0),
 2771     UINT64_C(0),
 2772     UINT64_C(0),
 2773     UINT64_C(0),
 2774     UINT64_C(0),
 2775     UINT64_C(0),
 2776     UINT64_C(0),
 2777     UINT64_C(0),
 2778     UINT64_C(0),
 2779     UINT64_C(0),
 2780     UINT64_C(0),
 2781     UINT64_C(0),
 2782     UINT64_C(0),
 2783     UINT64_C(0),
 2784     UINT64_C(0),
 2785     UINT64_C(0),
 2786     UINT64_C(0),
 2787     UINT64_C(0),
 2788     UINT64_C(0),
 2789     UINT64_C(0),
 2790     UINT64_C(0),
 2791     UINT64_C(0),
 2792     UINT64_C(0),
 2793     UINT64_C(0),
 2794     UINT64_C(0),
 2795     UINT64_C(0),
 2796     UINT64_C(0),
 2797     UINT64_C(0),
 2798     UINT64_C(0),
 2799     UINT64_C(0),
 2800     UINT64_C(0),
 2801     UINT64_C(0),
 2802     UINT64_C(0),
 2803     UINT64_C(0),
 2804     UINT64_C(0),
 2805     UINT64_C(0),
 2806     UINT64_C(0),
 2807     UINT64_C(0),
 2808     UINT64_C(0),
 2809     UINT64_C(0),
 2810     UINT64_C(0),
 2811     UINT64_C(0),
 2812     UINT64_C(0),
 2813     UINT64_C(0),
 2814     UINT64_C(0),
 2815     UINT64_C(0),
 2816     UINT64_C(0),
 2817     UINT64_C(0),
 2818     UINT64_C(0),
 2819     UINT64_C(0),
 2820     UINT64_C(0),
 2821     UINT64_C(0),
 2822     UINT64_C(0),
 2823     UINT64_C(0),
 2824     UINT64_C(0),
 2825     UINT64_C(0),
 2826     UINT64_C(0),
 2827     UINT64_C(0),
 2828     UINT64_C(0),
 2829     UINT64_C(0),
 2830     UINT64_C(0),
 2831     UINT64_C(0),
 2832     UINT64_C(0),
 2833     UINT64_C(0),
 2834     UINT64_C(0),
 2835     UINT64_C(0),
 2836     UINT64_C(0),
 2837     UINT64_C(0),
 2838     UINT64_C(0),
 2839     UINT64_C(0),
 2840     UINT64_C(0),
 2841     UINT64_C(0),
 2842     UINT64_C(0),
 2843     UINT64_C(0),
 2844     UINT64_C(0),
 2845     UINT64_C(0),
 2846     UINT64_C(0),
 2847     UINT64_C(0),
 2848     UINT64_C(0),
 2849     UINT64_C(0),
 2850     UINT64_C(0),
 2851     UINT64_C(0),
 2852     UINT64_C(0),
 2853     UINT64_C(0),
 2854     UINT64_C(0),
 2855     UINT64_C(0),
 2856     UINT64_C(0),
 2857     UINT64_C(0),
 2858     UINT64_C(0),
 2859     UINT64_C(0),
 2860     UINT64_C(0),
 2861     UINT64_C(0),
 2862     UINT64_C(0),
 2863     UINT64_C(0),
 2864     UINT64_C(0),
 2865     UINT64_C(0),
 2866     UINT64_C(0),
 2867     UINT64_C(0),
 2868     UINT64_C(0),
 2869     UINT64_C(0),
 2870     UINT64_C(0),
 2871     UINT64_C(0),
 2872     UINT64_C(0),
 2873     UINT64_C(0),
 2874     UINT64_C(0),
 2875     UINT64_C(0),
 2876     UINT64_C(0),
 2877     UINT64_C(0),
 2878     UINT64_C(0),
 2879     UINT64_C(0),
 2880     UINT64_C(0),
 2881     UINT64_C(0),
 2882     UINT64_C(0),
 2883     UINT64_C(0),
 2884     UINT64_C(0),
 2885     UINT64_C(0),
 2886     UINT64_C(0),
 2887     UINT64_C(0),
 2888     UINT64_C(0),
 2889     UINT64_C(0),
 2890     UINT64_C(0),
 2891     UINT64_C(0),
 2892     UINT64_C(0),
 2893     UINT64_C(0),
 2894     UINT64_C(0),
 2895     UINT64_C(0),
 2896     UINT64_C(0),
 2897     UINT64_C(0),
 2898     UINT64_C(0),
 2899     UINT64_C(0),
 2900     UINT64_C(0),
 2901     UINT64_C(0),
 2902     UINT64_C(0),
 2903     UINT64_C(0),
 2904     UINT64_C(0),
 2905     UINT64_C(0),
 2906     UINT64_C(0),
 2907     UINT64_C(0),
 2908     UINT64_C(0),
 2909     UINT64_C(0),
 2910     UINT64_C(0),
 2911     UINT64_C(0),
 2912     UINT64_C(0),
 2913     UINT64_C(0),
 2914     UINT64_C(0),
 2915     UINT64_C(0),
 2916     UINT64_C(0),
 2917     UINT64_C(0),
 2918     UINT64_C(0),
 2919     UINT64_C(0),
 2920     UINT64_C(0),
 2921     UINT64_C(0),
 2922     UINT64_C(0),
 2923     UINT64_C(0),
 2924     UINT64_C(0),
 2925     UINT64_C(0),
 2926     UINT64_C(0),
 2927     UINT64_C(0),
 2928     UINT64_C(0),
 2929     UINT64_C(0),
 2930     UINT64_C(0),
 2931     UINT64_C(0),
 2932     UINT64_C(0),
 2933     UINT64_C(0),
 2934     UINT64_C(0),
 2935     UINT64_C(0),
 2936     UINT64_C(0),
 2937     UINT64_C(0),
 2938     UINT64_C(0),
 2939     UINT64_C(0),
 2940     UINT64_C(0),
 2941     UINT64_C(0),
 2942     UINT64_C(0),
 2943     UINT64_C(0),
 2944     UINT64_C(0),
 2945     UINT64_C(0),
 2946     UINT64_C(0),
 2947     UINT64_C(0),
 2948     UINT64_C(0),
 2949     UINT64_C(0),
 2950     UINT64_C(0),
 2951     UINT64_C(0),
 2952     UINT64_C(0),
 2953     UINT64_C(0),
 2954     UINT64_C(0),
 2955     UINT64_C(0),
 2956     UINT64_C(0),
 2957     UINT64_C(0),
 2958     UINT64_C(0),
 2959     UINT64_C(0),
 2960     UINT64_C(0),
 2961     UINT64_C(0),
 2962     UINT64_C(0),
 2963     UINT64_C(0),
 2964     UINT64_C(0),
 2965     UINT64_C(0),
 2966     UINT64_C(0),
 2967     UINT64_C(0),
 2968     UINT64_C(0),
 2969     UINT64_C(0),
 2970     UINT64_C(0),
 2971     UINT64_C(0),
 2972     UINT64_C(0),
 2973     UINT64_C(0),
 2974     UINT64_C(0),
 2975     UINT64_C(0),
 2976     UINT64_C(0),
 2977     UINT64_C(0),
 2978     UINT64_C(0),
 2979     UINT64_C(0),
 2980     UINT64_C(0),
 2981     UINT64_C(0),
 2982     UINT64_C(0),
 2983     UINT64_C(0),
 2984     UINT64_C(0),
 2985     UINT64_C(0),
 2986     UINT64_C(0),
 2987     UINT64_C(0),
 2988     UINT64_C(0),
 2989     UINT64_C(0),
 2990     UINT64_C(0),
 2991     UINT64_C(0),
 2992     UINT64_C(0),
 2993     UINT64_C(0),
 2994     UINT64_C(0),
 2995     UINT64_C(0),
 2996     UINT64_C(0),
 2997     UINT64_C(0),
 2998     UINT64_C(0),
 2999     UINT64_C(0),
 3000     UINT64_C(0),
 3001     UINT64_C(0),
 3002     UINT64_C(0),
 3003     UINT64_C(0),
 3004     UINT64_C(0),
 3005     UINT64_C(0),
 3006     UINT64_C(0),
 3007     UINT64_C(0),
 3008     UINT64_C(0),
 3009     UINT64_C(0),
 3010     UINT64_C(0),
 3011     UINT64_C(0),
 3012     UINT64_C(0),
 3013     UINT64_C(0),
 3014     UINT64_C(0),
 3015     UINT64_C(0),
 3016     UINT64_C(0),
 3017     UINT64_C(0),
 3018     UINT64_C(0),
 3019     UINT64_C(0),
 3020     UINT64_C(0),
 3021     UINT64_C(0),
 3022     UINT64_C(0),
 3023     UINT64_C(0),
 3024     UINT64_C(0),
 3025     UINT64_C(0),
 3026     UINT64_C(0),
 3027     UINT64_C(0),
 3028     UINT64_C(0),
 3029     UINT64_C(0),
 3030     UINT64_C(0),
 3031     UINT64_C(0),
 3032     UINT64_C(0),
 3033     UINT64_C(0),
 3034     UINT64_C(0),
 3035     UINT64_C(0),
 3036     UINT64_C(0),
 3037     UINT64_C(0),
 3038     UINT64_C(0),
 3039     UINT64_C(0),
 3040     UINT64_C(0),
 3041     UINT64_C(0),
 3042     UINT64_C(0),
 3043     UINT64_C(0),
 3044     UINT64_C(0),
 3045     UINT64_C(0),
 3046     UINT64_C(0),
 3047     UINT64_C(0),
 3048     UINT64_C(0),
 3049     UINT64_C(0),
 3050     UINT64_C(0),
 3051     UINT64_C(0),
 3052     UINT64_C(0),
 3053     UINT64_C(0),
 3054     UINT64_C(0),
 3055     UINT64_C(0),
 3056     UINT64_C(0),
 3057     UINT64_C(0),
 3058     UINT64_C(0),
 3059     UINT64_C(0),
 3060     UINT64_C(0),
 3061     UINT64_C(0),
 3062     UINT64_C(0),
 3063     UINT64_C(0),
 3064     UINT64_C(0),
 3065     UINT64_C(0),
 3066     UINT64_C(0),
 3067     UINT64_C(0),
 3068     UINT64_C(0),
 3069     UINT64_C(0),
 3070     UINT64_C(0),
 3071     UINT64_C(0),
 3072     UINT64_C(0),
 3073     UINT64_C(0),
 3074     UINT64_C(0),
 3075     UINT64_C(0),
 3076     UINT64_C(0),
 3077     UINT64_C(0),
 3078     UINT64_C(0),
 3079     UINT64_C(0),
 3080     UINT64_C(0),
 3081     UINT64_C(0),
 3082     UINT64_C(0),
 3083     UINT64_C(0),
 3084     UINT64_C(0),
 3085     UINT64_C(0),
 3086     UINT64_C(0),
 3087     UINT64_C(0),
 3088     UINT64_C(0),
 3089     UINT64_C(0),
 3090     UINT64_C(0),
 3091     UINT64_C(0),
 3092     UINT64_C(0),
 3093     UINT64_C(0),
 3094     UINT64_C(0),
 3095     UINT64_C(0),
 3096     UINT64_C(0),
 3097     UINT64_C(0),
 3098     UINT64_C(0),
 3099     UINT64_C(0),
 3100     UINT64_C(0),
 3101     UINT64_C(0),
 3102     UINT64_C(0),
 3103     UINT64_C(0),
 3104     UINT64_C(0),
 3105     UINT64_C(0),
 3106     UINT64_C(0),
 3107     UINT64_C(0),
 3108     UINT64_C(0),
 3109     UINT64_C(0),
 3110     UINT64_C(0),
 3111     UINT64_C(0),
 3112     UINT64_C(0),
 3113     UINT64_C(0),
 3114     UINT64_C(0),
 3115     UINT64_C(0),
 3116     UINT64_C(0),
 3117     UINT64_C(0),
 3118     UINT64_C(0),
 3119     UINT64_C(0),
 3120     UINT64_C(0),
 3121     UINT64_C(0),
 3122     UINT64_C(0),
 3123     UINT64_C(0),
 3124     UINT64_C(0),
 3125     UINT64_C(0),
 3126     UINT64_C(0),
 3127     UINT64_C(0),
 3128     UINT64_C(0),
 3129     UINT64_C(0),
 3130     UINT64_C(0),
 3131     UINT64_C(0),
 3132     UINT64_C(0),
 3133     UINT64_C(0),
 3134     UINT64_C(0),
 3135     UINT64_C(0),
 3136     UINT64_C(0),
 3137     UINT64_C(0),
 3138     UINT64_C(0),
 3139     UINT64_C(0),
 3140     UINT64_C(0),
 3141     UINT64_C(0),
 3142     UINT64_C(0),
 3143     UINT64_C(0),
 3144     UINT64_C(0),
 3145     UINT64_C(0),
 3146     UINT64_C(0),
 3147     UINT64_C(0),
 3148     UINT64_C(0),
 3149     UINT64_C(0),
 3150     UINT64_C(0),
 3151     UINT64_C(0),
 3152     UINT64_C(0),
 3153     UINT64_C(0),
 3154     UINT64_C(0),
 3155     UINT64_C(0),
 3156     UINT64_C(0),
 3157     UINT64_C(0),
 3158     UINT64_C(0),
 3159     UINT64_C(0),
 3160     UINT64_C(0),
 3161     UINT64_C(0),
 3162     UINT64_C(0),
 3163     UINT64_C(0),
 3164     UINT64_C(0),
 3165     UINT64_C(0),
 3166     UINT64_C(0),
 3167     UINT64_C(0),
 3168     UINT64_C(0),
 3169     UINT64_C(0),
 3170     UINT64_C(0),
 3171     UINT64_C(0),
 3172     UINT64_C(0),
 3173     UINT64_C(0),
 3174     UINT64_C(0),
 3175     UINT64_C(0),
 3176     UINT64_C(0),
 3177     UINT64_C(0),
 3178     UINT64_C(0),
 3179     UINT64_C(0),
 3180     UINT64_C(0),
 3181     UINT64_C(0),
 3182     UINT64_C(0),
 3183     UINT64_C(0),
 3184     UINT64_C(0),
 3185     UINT64_C(0),
 3186     UINT64_C(0),
 3187     UINT64_C(0),
 3188     UINT64_C(0),
 3189     UINT64_C(0),
 3190     UINT64_C(0),
 3191     UINT64_C(0),
 3192     UINT64_C(0),
 3193     UINT64_C(0),
 3194     UINT64_C(0),
 3195     UINT64_C(0),
 3196     UINT64_C(0),
 3197     UINT64_C(0),
 3198     UINT64_C(0),
 3199     UINT64_C(0),
 3200     UINT64_C(0),
 3201     UINT64_C(0),
 3202     UINT64_C(0),
 3203     UINT64_C(0),
 3204     UINT64_C(0),
 3205     UINT64_C(0),
 3206     UINT64_C(0),
 3207     UINT64_C(0),
 3208     UINT64_C(0),
 3209     UINT64_C(0),
 3210     UINT64_C(0),
 3211     UINT64_C(0),
 3212     UINT64_C(0),
 3213     UINT64_C(0),
 3214     UINT64_C(0),
 3215     UINT64_C(0),
 3216     UINT64_C(0),
 3217     UINT64_C(0),
 3218     UINT64_C(0),
 3219     UINT64_C(0),
 3220     UINT64_C(0),
 3221     UINT64_C(0),
 3222     UINT64_C(0),
 3223     UINT64_C(0),
 3224     UINT64_C(0),
 3225     UINT64_C(0),
 3226     UINT64_C(0),
 3227     UINT64_C(0),
 3228     UINT64_C(0),
 3229     UINT64_C(0),
 3230     UINT64_C(0),
 3231     UINT64_C(0),
 3232     UINT64_C(0),
 3233     UINT64_C(0),
 3234     UINT64_C(0),
 3235     UINT64_C(0),
 3236     UINT64_C(0),
 3237     UINT64_C(0),
 3238     UINT64_C(0),
 3239     UINT64_C(0),
 3240     UINT64_C(0),
 3241     UINT64_C(0),
 3242     UINT64_C(0),
 3243     UINT64_C(0),
 3244     UINT64_C(0),
 3245     UINT64_C(0),
 3246     UINT64_C(0),
 3247     UINT64_C(0),
 3248     UINT64_C(0),
 3249     UINT64_C(0),
 3250     UINT64_C(0),
 3251     UINT64_C(0),
 3252     UINT64_C(0),
 3253     UINT64_C(0),
 3254     UINT64_C(0),
 3255     UINT64_C(0),
 3256     UINT64_C(0),
 3257     UINT64_C(0),
 3258     UINT64_C(0),
 3259     UINT64_C(0),
 3260     UINT64_C(0),
 3261     UINT64_C(0),
 3262     UINT64_C(0),
 3263     UINT64_C(0),
 3264     UINT64_C(0),
 3265     UINT64_C(0),
 3266     UINT64_C(0),
 3267     UINT64_C(0),
 3268     UINT64_C(0),
 3269     UINT64_C(0),
 3270     UINT64_C(0),
 3271     UINT64_C(0),
 3272     UINT64_C(0),
 3273     UINT64_C(0),
 3274     UINT64_C(0),
 3275     UINT64_C(0),
 3276     UINT64_C(0),
 3277     UINT64_C(0),
 3278     UINT64_C(0),
 3279     UINT64_C(0),
 3280     UINT64_C(0),
 3281     UINT64_C(0),
 3282     UINT64_C(0),
 3283     UINT64_C(0),
 3284     UINT64_C(0),
 3285     UINT64_C(0),
 3286     UINT64_C(0),
 3287     UINT64_C(0),
 3288     UINT64_C(0),
 3289     UINT64_C(0),
 3290     UINT64_C(0),
 3291     UINT64_C(0),
 3292     UINT64_C(0),
 3293     UINT64_C(0),
 3294     UINT64_C(0),
 3295     UINT64_C(0),
 3296     UINT64_C(0),
 3297     UINT64_C(0),
 3298     UINT64_C(0),
 3299     UINT64_C(0),
 3300     UINT64_C(0),
 3301     UINT64_C(0),
 3302     UINT64_C(0),
 3303     UINT64_C(0),
 3304     UINT64_C(0),
 3305     UINT64_C(0),
 3306     UINT64_C(0),
 3307     UINT64_C(0),
 3308     UINT64_C(0),
 3309     UINT64_C(0),
 3310     UINT64_C(0),
 3311     UINT64_C(0),
 3312     UINT64_C(0),
 3313     UINT64_C(0),
 3314     UINT64_C(0),
 3315     UINT64_C(0),
 3316     UINT64_C(0),
 3317     UINT64_C(0),
 3318     UINT64_C(0),
 3319     UINT64_C(0),
 3320     UINT64_C(0),
 3321     UINT64_C(0),
 3322     UINT64_C(0),
 3323     UINT64_C(0),
 3324     UINT64_C(0),
 3325     UINT64_C(0),
 3326     UINT64_C(0),
 3327     UINT64_C(0),
 3328     UINT64_C(0),
 3329     UINT64_C(0),
 3330     UINT64_C(0),
 3331     UINT64_C(0),
 3332     UINT64_C(0),
 3333     UINT64_C(0),
 3334     UINT64_C(0),
 3335     UINT64_C(0),
 3336     UINT64_C(0),
 3337     UINT64_C(0),
 3338     UINT64_C(0),
 3339     UINT64_C(0),
 3340     UINT64_C(0),
 3341     UINT64_C(0),
 3342     UINT64_C(0),
 3343     UINT64_C(0),
 3344     UINT64_C(0),
 3345     UINT64_C(0),
 3346     UINT64_C(0),
 3347     UINT64_C(0),
 3348     UINT64_C(0),
 3349     UINT64_C(0),
 3350     UINT64_C(0),
 3351     UINT64_C(0),
 3352     UINT64_C(0),
 3353     UINT64_C(0),
 3354     UINT64_C(0),
 3355     UINT64_C(0),
 3356     UINT64_C(0),
 3357     UINT64_C(0),
 3358     UINT64_C(0),
 3359     UINT64_C(0),
 3360     UINT64_C(0),
 3361     UINT64_C(0),
 3362     UINT64_C(0),
 3363     UINT64_C(0),
 3364     UINT64_C(0),
 3365     UINT64_C(0),
 3366     UINT64_C(0),
 3367     UINT64_C(0),
 3368     UINT64_C(0),
 3369     UINT64_C(0),
 3370     UINT64_C(0),
 3371     UINT64_C(0),
 3372     UINT64_C(0),
 3373     UINT64_C(0),
 3374     UINT64_C(0),
 3375     UINT64_C(0),
 3376     UINT64_C(0),
 3377     UINT64_C(0),
 3378     UINT64_C(0),
 3379     UINT64_C(0),
 3380     UINT64_C(0),
 3381     UINT64_C(0),
 3382     UINT64_C(0),
 3383     UINT64_C(0),
 3384     UINT64_C(0),
 3385     UINT64_C(0),
 3386     UINT64_C(0),
 3387     UINT64_C(0),
 3388     UINT64_C(0),
 3389     UINT64_C(0),
 3390     UINT64_C(0),
 3391     UINT64_C(0),
 3392     UINT64_C(0),
 3393     UINT64_C(0),
 3394     UINT64_C(0),
 3395     UINT64_C(0),
 3396     UINT64_C(0),
 3397     UINT64_C(0),
 3398     UINT64_C(0),
 3399     UINT64_C(0),
 3400     UINT64_C(0),
 3401     UINT64_C(0),
 3402     UINT64_C(0),
 3403     UINT64_C(0),
 3404     UINT64_C(0),
 3405     UINT64_C(0),
 3406     UINT64_C(0),
 3407     UINT64_C(0),
 3408     UINT64_C(0),
 3409     UINT64_C(0),
 3410     UINT64_C(0),
 3411     UINT64_C(0),
 3412     UINT64_C(0),
 3413     UINT64_C(0),
 3414     UINT64_C(0),
 3415     UINT64_C(0),
 3416     UINT64_C(0),
 3417     UINT64_C(0),
 3418     UINT64_C(0),
 3419     UINT64_C(0),
 3420     UINT64_C(0),
 3421     UINT64_C(0),
 3422     UINT64_C(0),
 3423     UINT64_C(0),
 3424     UINT64_C(0),
 3425     UINT64_C(0),
 3426     UINT64_C(0),
 3427     UINT64_C(0),
 3428     UINT64_C(0),
 3429     UINT64_C(0),
 3430     UINT64_C(0),
 3431     UINT64_C(0),
 3432     UINT64_C(0),
 3433     UINT64_C(0),
 3434     UINT64_C(0),
 3435     UINT64_C(0),
 3436     UINT64_C(0),
 3437     UINT64_C(0),
 3438     UINT64_C(0),
 3439     UINT64_C(0),
 3440     UINT64_C(0),
 3441     UINT64_C(0),
 3442     UINT64_C(0),
 3443     UINT64_C(0),
 3444     UINT64_C(0),
 3445     UINT64_C(0),
 3446     UINT64_C(0),
 3447     UINT64_C(0),
 3448     UINT64_C(0),
 3449     UINT64_C(0),
 3450     UINT64_C(0),
 3451     UINT64_C(0),
 3452     UINT64_C(0),
 3453     UINT64_C(0),
 3454     UINT64_C(0),
 3455     UINT64_C(0),
 3456     UINT64_C(0),
 3457     UINT64_C(0),
 3458     UINT64_C(0),
 3459     UINT64_C(0),
 3460     UINT64_C(0),
 3461     UINT64_C(0),
 3462     UINT64_C(0),
 3463     UINT64_C(0),
 3464     UINT64_C(0),
 3465     UINT64_C(0),
 3466     UINT64_C(0),
 3467     UINT64_C(0),
 3468     UINT64_C(0),
 3469     UINT64_C(0),
 3470     UINT64_C(0),
 3471     UINT64_C(0),
 3472     UINT64_C(0),
 3473     UINT64_C(0),
 3474     UINT64_C(0),
 3475     UINT64_C(0),
 3476     UINT64_C(0),
 3477     UINT64_C(0),
 3478     UINT64_C(0),
 3479     UINT64_C(0),
 3480     UINT64_C(0),
 3481     UINT64_C(0),
 3482     UINT64_C(0),
 3483     UINT64_C(0),
 3484     UINT64_C(0),
 3485     UINT64_C(0),
 3486     UINT64_C(0),
 3487     UINT64_C(0),
 3488     UINT64_C(0),
 3489     UINT64_C(0),
 3490     UINT64_C(0),
 3491     UINT64_C(0),
 3492     UINT64_C(0),
 3493     UINT64_C(0),
 3494     UINT64_C(0),
 3495     UINT64_C(0),
 3496     UINT64_C(0),
 3497     UINT64_C(0),
 3498     UINT64_C(0),
 3499     UINT64_C(0),
 3500     UINT64_C(0),
 3501     UINT64_C(0),
 3502     UINT64_C(0),
 3503     UINT64_C(0),
 3504     UINT64_C(0),
 3505     UINT64_C(0),
 3506     UINT64_C(0),
 3507     UINT64_C(0),
 3508     UINT64_C(0),
 3509     UINT64_C(0),
 3510     UINT64_C(0),
 3511     UINT64_C(0),
 3512     UINT64_C(0),
 3513     UINT64_C(0),
 3514     UINT64_C(0),
 3515     UINT64_C(0),
 3516     UINT64_C(0),
 3517     UINT64_C(0),
 3518     UINT64_C(0),
 3519     UINT64_C(0),
 3520     UINT64_C(0),
 3521     UINT64_C(0),
 3522     UINT64_C(0),
 3523     UINT64_C(0),
 3524     UINT64_C(0),
 3525     UINT64_C(0),
 3526     UINT64_C(0),
 3527     UINT64_C(0),
 3528     UINT64_C(0),
 3529     UINT64_C(0),
 3530     UINT64_C(0),
 3531     UINT64_C(0),
 3532     UINT64_C(0),
 3533     UINT64_C(0),
 3534     UINT64_C(0),
 3535     UINT64_C(0),
 3536     UINT64_C(0),
 3537     UINT64_C(0),
 3538     UINT64_C(0),
 3539     UINT64_C(0),
 3540     UINT64_C(0),
 3541     UINT64_C(0),
 3542     UINT64_C(0),
 3543     UINT64_C(0),
 3544     UINT64_C(0),
 3545     UINT64_C(0),
 3546     UINT64_C(0),
 3547     UINT64_C(0),
 3548     UINT64_C(0),
 3549     UINT64_C(0),
 3550     UINT64_C(0),
 3551     UINT64_C(0),
 3552     UINT64_C(0),
 3553     UINT64_C(0),
 3554     UINT64_C(0),
 3555     UINT64_C(0),
 3556     UINT64_C(0),
 3557     UINT64_C(0),
 3558     UINT64_C(0),
 3559     UINT64_C(0),
 3560     UINT64_C(0),
 3561     UINT64_C(0),
 3562     UINT64_C(0),
 3563     UINT64_C(0),
 3564     UINT64_C(0),
 3565     UINT64_C(0),
 3566     UINT64_C(0),
 3567     UINT64_C(0),
 3568     UINT64_C(0),
 3569     UINT64_C(0),
 3570     UINT64_C(0),
 3571     UINT64_C(0),
 3572     UINT64_C(0),
 3573     UINT64_C(0),
 3574     UINT64_C(0),
 3575     UINT64_C(0),
 3576     UINT64_C(0),
 3577     UINT64_C(0),
 3578     UINT64_C(0),
 3579     UINT64_C(0),
 3580     UINT64_C(0),
 3581     UINT64_C(0),
 3582     UINT64_C(0),
 3583     UINT64_C(0),
 3584     UINT64_C(0),
 3585     UINT64_C(0),
 3586     UINT64_C(0),
 3587     UINT64_C(0),
 3588     UINT64_C(0),
 3589     UINT64_C(0),
 3590     UINT64_C(0),
 3591     UINT64_C(0),
 3592     UINT64_C(0),
 3593     UINT64_C(0),
 3594     UINT64_C(0),
 3595     UINT64_C(0),
 3596     UINT64_C(0),
 3597     UINT64_C(0),
 3598     UINT64_C(0),
 3599     UINT64_C(0),
 3600     UINT64_C(0),
 3601     UINT64_C(0),
 3602     UINT64_C(0),
 3603     UINT64_C(0),
 3604     UINT64_C(0),
 3605     UINT64_C(0),
 3606     UINT64_C(0),
 3607     UINT64_C(0),
 3608     UINT64_C(0),
 3609     UINT64_C(0),
 3610     UINT64_C(0),
 3611     UINT64_C(0),
 3612     UINT64_C(0),
 3613     UINT64_C(0),
 3614     UINT64_C(0),
 3615     UINT64_C(0),
 3616     UINT64_C(0),
 3617     UINT64_C(0),
 3618     UINT64_C(0),
 3619     UINT64_C(0),
 3620     UINT64_C(0),
 3621     UINT64_C(0),
 3622     UINT64_C(0),
 3623     UINT64_C(0),
 3624     UINT64_C(0),
 3625     UINT64_C(0),
 3626     UINT64_C(0),
 3627     UINT64_C(0),
 3628     UINT64_C(0),
 3629     UINT64_C(0),
 3630     UINT64_C(0),
 3631     UINT64_C(0),
 3632     UINT64_C(0),
 3633     UINT64_C(0),
 3634     UINT64_C(0),
 3635     UINT64_C(0),
 3636     UINT64_C(0),
 3637     UINT64_C(0),
 3638     UINT64_C(0),
 3639     UINT64_C(0),
 3640     UINT64_C(0),
 3641     UINT64_C(0),
 3642     UINT64_C(0),
 3643     UINT64_C(0),
 3644     UINT64_C(0),
 3645     UINT64_C(0),
 3646     UINT64_C(0),
 3647     UINT64_C(0),
 3648     UINT64_C(0),
 3649     UINT64_C(0),
 3650     UINT64_C(0),
 3651     UINT64_C(0),
 3652     UINT64_C(0),
 3653     UINT64_C(0),
 3654     UINT64_C(0),
 3655     UINT64_C(0),
 3656     UINT64_C(0),
 3657     UINT64_C(0),
 3658     UINT64_C(0),
 3659     UINT64_C(0),
 3660     UINT64_C(0),
 3661     UINT64_C(0),
 3662     UINT64_C(0),
 3663     UINT64_C(0),
 3664     UINT64_C(0),
 3665     UINT64_C(0),
 3666     UINT64_C(0),
 3667     UINT64_C(0),
 3668     UINT64_C(0),
 3669     UINT64_C(0),
 3670     UINT64_C(0),
 3671     UINT64_C(0),
 3672     UINT64_C(0),
 3673     UINT64_C(0),
 3674     UINT64_C(0),
 3675     UINT64_C(0),
 3676     UINT64_C(0),
 3677     UINT64_C(0),
 3678     UINT64_C(0),
 3679     UINT64_C(0),
 3680     UINT64_C(0),
 3681     UINT64_C(0),
 3682     UINT64_C(0),
 3683     UINT64_C(0),
 3684     UINT64_C(0),
 3685     UINT64_C(0),
 3686     UINT64_C(0),
 3687     UINT64_C(0),
 3688     UINT64_C(0),
 3689     UINT64_C(0),
 3690     UINT64_C(0),
 3691     UINT64_C(0),
 3692     UINT64_C(0),
 3693     UINT64_C(0),
 3694     UINT64_C(0),
 3695     UINT64_C(0),
 3696     UINT64_C(0),
 3697     UINT64_C(0),
 3698     UINT64_C(0),
 3699     UINT64_C(0),
 3700     UINT64_C(0),
 3701     UINT64_C(0),
 3702     UINT64_C(0),
 3703     UINT64_C(0),
 3704     UINT64_C(0),
 3705     UINT64_C(0),
 3706     UINT64_C(0),
 3707     UINT64_C(0),
 3708     UINT64_C(0),
 3709     UINT64_C(0),
 3710     UINT64_C(0),
 3711     UINT64_C(0),
 3712     UINT64_C(0),
 3713     UINT64_C(0),
 3714     UINT64_C(0),
 3715     UINT64_C(0),
 3716     UINT64_C(0),
 3717     UINT64_C(0),
 3718     UINT64_C(0),
 3719     UINT64_C(0),
 3720     UINT64_C(0),
 3721     UINT64_C(0),
 3722     UINT64_C(0),
 3723     UINT64_C(0),
 3724     UINT64_C(0),
 3725     UINT64_C(0),
 3726     UINT64_C(0),
 3727     UINT64_C(0),
 3728     UINT64_C(0),
 3729     UINT64_C(0),
 3730     UINT64_C(0),
 3731     UINT64_C(0),
 3732     UINT64_C(0),
 3733     UINT64_C(0),
 3734     UINT64_C(0),
 3735     UINT64_C(0),
 3736     UINT64_C(0),
 3737     UINT64_C(0),
 3738     UINT64_C(0),
 3739     UINT64_C(0),
 3740     UINT64_C(0),
 3741     UINT64_C(0),
 3742     UINT64_C(0),
 3743     UINT64_C(0),
 3744     UINT64_C(0),
 3745     UINT64_C(0),
 3746     UINT64_C(0),
 3747     UINT64_C(0),
 3748     UINT64_C(0),
 3749     UINT64_C(0),
 3750     UINT64_C(0),
 3751     UINT64_C(0),
 3752     UINT64_C(0),
 3753     UINT64_C(0),
 3754     UINT64_C(0),
 3755     UINT64_C(0),
 3756     UINT64_C(0),
 3757     UINT64_C(0),
 3758     UINT64_C(0),
 3759     UINT64_C(0),
 3760     UINT64_C(0),
 3761     UINT64_C(0),
 3762     UINT64_C(0),
 3763     UINT64_C(0),
 3764     UINT64_C(0),
 3765     UINT64_C(0),
 3766     UINT64_C(0),
 3767     UINT64_C(0),
 3768     UINT64_C(0),
 3769     UINT64_C(0),
 3770     UINT64_C(0),
 3771     UINT64_C(0),
 3772     UINT64_C(0),
 3773     UINT64_C(0),
 3774     UINT64_C(0),
 3775     UINT64_C(0),
 3776     UINT64_C(0),
 3777     UINT64_C(0),
 3778     UINT64_C(0),
 3779     UINT64_C(0),
 3780     UINT64_C(0),
 3781     UINT64_C(0),
 3782     UINT64_C(0),
 3783     UINT64_C(0),
 3784     UINT64_C(0),
 3785     UINT64_C(0),
 3786     UINT64_C(0),
 3787     UINT64_C(0),
 3788     UINT64_C(0),
 3789     UINT64_C(0),
 3790     UINT64_C(0),
 3791     UINT64_C(0),
 3792     UINT64_C(0),
 3793     UINT64_C(0),
 3794     UINT64_C(0),
 3795     UINT64_C(0),
 3796     UINT64_C(0),
 3797     UINT64_C(0),
 3798     UINT64_C(0),
 3799     UINT64_C(0),
 3800     UINT64_C(0),
 3801     UINT64_C(0),
 3802     UINT64_C(0),
 3803     UINT64_C(0),
 3804     UINT64_C(0),
 3805     UINT64_C(0),
 3806     UINT64_C(0),
 3807     UINT64_C(0),
 3808     UINT64_C(0),
 3809     UINT64_C(0),
 3810     UINT64_C(0),
 3811     UINT64_C(0),
 3812     UINT64_C(0),
 3813     UINT64_C(0),
 3814     UINT64_C(0),
 3815     UINT64_C(0),
 3816     UINT64_C(0),
 3817     UINT64_C(0),
 3818     UINT64_C(0),
 3819     UINT64_C(0),
 3820     UINT64_C(0),
 3821     UINT64_C(0),
 3822     UINT64_C(0),
 3823     UINT64_C(0),
 3824     UINT64_C(0),
 3825     UINT64_C(0),
 3826     UINT64_C(0),
 3827     UINT64_C(0),
 3828     UINT64_C(0),
 3829     UINT64_C(0),
 3830     UINT64_C(0),
 3831     UINT64_C(0),
 3832     UINT64_C(0),
 3833     UINT64_C(0),
 3834     UINT64_C(0),
 3835     UINT64_C(0),
 3836     UINT64_C(0),
 3837     UINT64_C(0),
 3838     UINT64_C(0),
 3839     UINT64_C(0),
 3840     UINT64_C(0),
 3841     UINT64_C(0),
 3842     UINT64_C(0),
 3843     UINT64_C(0),
 3844     UINT64_C(0),
 3845     UINT64_C(0),
 3846     UINT64_C(0),
 3847     UINT64_C(0),
 3848     UINT64_C(0),
 3849     UINT64_C(0),
 3850     UINT64_C(0),
 3851     UINT64_C(0),
 3852     UINT64_C(0),
 3853     UINT64_C(0),
 3854     UINT64_C(0),
 3855     UINT64_C(0),
 3856     UINT64_C(0),
 3857     UINT64_C(0),
 3858     UINT64_C(0),
 3859     UINT64_C(0),
 3860     UINT64_C(0),
 3861     UINT64_C(0),
 3862     UINT64_C(0),
 3863     UINT64_C(0),
 3864     UINT64_C(0),
 3865     UINT64_C(0),
 3866     UINT64_C(0),
 3867     UINT64_C(0),
 3868     UINT64_C(0),
 3869     UINT64_C(0),
 3870     UINT64_C(0),
 3871     UINT64_C(0),
 3872     UINT64_C(0),
 3873     UINT64_C(0),
 3874     UINT64_C(0),
 3875     UINT64_C(0),
 3876     UINT64_C(0),
 3877     UINT64_C(0),
 3878     UINT64_C(0),
 3879     UINT64_C(0),
 3880     UINT64_C(0),
 3881     UINT64_C(0),
 3882     UINT64_C(0),
 3883     UINT64_C(0),
 3884     UINT64_C(0),
 3885     UINT64_C(0),
 3886     UINT64_C(0),
 3887     UINT64_C(0),
 3888     UINT64_C(0),
 3889     UINT64_C(0),
 3890     UINT64_C(0),
 3891     UINT64_C(0),
 3892     UINT64_C(0),
 3893     UINT64_C(0),
 3894     UINT64_C(0),
 3895     UINT64_C(0),
 3896     UINT64_C(0),
 3897     UINT64_C(0),
 3898     UINT64_C(0),
 3899     UINT64_C(0),
 3900     UINT64_C(0),
 3901     UINT64_C(0),
 3902     UINT64_C(0),
 3903     UINT64_C(0),
 3904     UINT64_C(0),
 3905     UINT64_C(0),
 3906     UINT64_C(0),
 3907     UINT64_C(0),
 3908     UINT64_C(0),
 3909     UINT64_C(0),
 3910     UINT64_C(0),
 3911     UINT64_C(0),
 3912     UINT64_C(0),
 3913     UINT64_C(0),
 3914     UINT64_C(0),
 3915     UINT64_C(0),
 3916     UINT64_C(0),
 3917     UINT64_C(0),
 3918     UINT64_C(0),
 3919     UINT64_C(0),
 3920     UINT64_C(0),
 3921     UINT64_C(0),
 3922     UINT64_C(0),
 3923     UINT64_C(0),
 3924     UINT64_C(0),
 3925     UINT64_C(0),
 3926     UINT64_C(0),
 3927     UINT64_C(0),
 3928     UINT64_C(0),
 3929     UINT64_C(0),
 3930     UINT64_C(0),
 3931     UINT64_C(0),
 3932     UINT64_C(0),
 3933     UINT64_C(0),
 3934     UINT64_C(0),
 3935     UINT64_C(0),
 3936     UINT64_C(0),
 3937     UINT64_C(0),
 3938     UINT64_C(0),
 3939     UINT64_C(0),
 3940     UINT64_C(0),
 3941     UINT64_C(0),
 3942     UINT64_C(0),
 3943     UINT64_C(0),
 3944     UINT64_C(0),
 3945     UINT64_C(0),
 3946     UINT64_C(0),
 3947     UINT64_C(0),
 3948     UINT64_C(0),
 3949     UINT64_C(0),
 3950     UINT64_C(0),
 3951     UINT64_C(0),
 3952     UINT64_C(0),
 3953     UINT64_C(0),
 3954     UINT64_C(0),
 3955     UINT64_C(0),
 3956     UINT64_C(0),
 3957     UINT64_C(0),
 3958     UINT64_C(0),
 3959     UINT64_C(0),
 3960     UINT64_C(0),
 3961     UINT64_C(0),
 3962     UINT64_C(0),
 3963     UINT64_C(0),
 3964     UINT64_C(0),
 3965     UINT64_C(0),
 3966     UINT64_C(0),
 3967     UINT64_C(0),
 3968     UINT64_C(0),
 3969     UINT64_C(0),
 3970     UINT64_C(0),
 3971     UINT64_C(0),
 3972     UINT64_C(0),
 3973     UINT64_C(0),
 3974     UINT64_C(0),
 3975     UINT64_C(0),
 3976     UINT64_C(0),
 3977     UINT64_C(0),
 3978     UINT64_C(0),
 3979     UINT64_C(0),
 3980     UINT64_C(0),
 3981     UINT64_C(0),
 3982     UINT64_C(0),
 3983     UINT64_C(0),
 3984     UINT64_C(0),
 3985     UINT64_C(0),
 3986     UINT64_C(0),
 3987     UINT64_C(0),
 3988     UINT64_C(0),
 3989     UINT64_C(0),
 3990     UINT64_C(0),
 3991     UINT64_C(0),
 3992     UINT64_C(0),
 3993     UINT64_C(0),
 3994     UINT64_C(0),
 3995     UINT64_C(0),
 3996     UINT64_C(0),
 3997     UINT64_C(0),
 3998     UINT64_C(0),
 3999     UINT64_C(0),
 4000     UINT64_C(0),
 4001     UINT64_C(0),
 4002     UINT64_C(0),
 4003     UINT64_C(0),
 4004     UINT64_C(0),
 4005     UINT64_C(0),
 4006     UINT64_C(0),
 4007     UINT64_C(0),
 4008     UINT64_C(0),
 4009     UINT64_C(0),
 4010     UINT64_C(0),
 4011     UINT64_C(0),
 4012     UINT64_C(0),
 4013     UINT64_C(0),
 4014     UINT64_C(0),
 4015     UINT64_C(0),
 4016     UINT64_C(0),
 4017     UINT64_C(0),
 4018     UINT64_C(0),
 4019     UINT64_C(0),
 4020     UINT64_C(0),
 4021     UINT64_C(0),
 4022     UINT64_C(0),
 4023     UINT64_C(0),
 4024     UINT64_C(0),
 4025     UINT64_C(0),
 4026     UINT64_C(0),
 4027     UINT64_C(0),
 4028     UINT64_C(0),
 4029     UINT64_C(0),
 4030     UINT64_C(0),
 4031     UINT64_C(0),
 4032     UINT64_C(0),
 4033     UINT64_C(0),
 4034     UINT64_C(0),
 4035     UINT64_C(0),
 4036     UINT64_C(0),
 4037     UINT64_C(0),
 4038     UINT64_C(0),
 4039     UINT64_C(0),
 4040     UINT64_C(0),
 4041     UINT64_C(0),
 4042     UINT64_C(0),
 4043     UINT64_C(0),
 4044     UINT64_C(0),
 4045     UINT64_C(0),
 4046     UINT64_C(0),
 4047     UINT64_C(0),
 4048     UINT64_C(0),
 4049     UINT64_C(0),
 4050     UINT64_C(0),
 4051     UINT64_C(0),
 4052     UINT64_C(0),
 4053     UINT64_C(0),
 4054     UINT64_C(0),
 4055     UINT64_C(0),
 4056     UINT64_C(0),
 4057     UINT64_C(0),
 4058     UINT64_C(0),
 4059     UINT64_C(0),
 4060     UINT64_C(0),
 4061     UINT64_C(0),
 4062     UINT64_C(0),
 4063     UINT64_C(0),
 4064     UINT64_C(0),
 4065     UINT64_C(0),
 4066     UINT64_C(0),
 4067     UINT64_C(0),
 4068     UINT64_C(0),
 4069     UINT64_C(0),
 4070     UINT64_C(0),
 4071     UINT64_C(0),
 4072     UINT64_C(0),
 4073     UINT64_C(0),
 4074     UINT64_C(0),
 4075     UINT64_C(0),
 4076     UINT64_C(0),
 4077     UINT64_C(0),
 4078     UINT64_C(0),
 4079     UINT64_C(0),
 4080     UINT64_C(0),
 4081     UINT64_C(0),
 4082     UINT64_C(0),
 4083     UINT64_C(0),
 4084     UINT64_C(0),
 4085     UINT64_C(0),
 4086     UINT64_C(0),
 4087     UINT64_C(0),
 4088     UINT64_C(0),
 4089     UINT64_C(0),
 4090     UINT64_C(0),
 4091     UINT64_C(0),
 4092     UINT64_C(0),
 4093     UINT64_C(0),
 4094     UINT64_C(0),
 4095     UINT64_C(0),
 4096     UINT64_C(0),
 4097     UINT64_C(0),
 4098     UINT64_C(0),
 4099     UINT64_C(0),
 4100     UINT64_C(0),
 4101     UINT64_C(0),
 4102     UINT64_C(0),
 4103     UINT64_C(0),
 4104     UINT64_C(0),
 4105     UINT64_C(0),
 4106     UINT64_C(0),
 4107     UINT64_C(0),
 4108     UINT64_C(0),
 4109     UINT64_C(0),
 4110     UINT64_C(0),
 4111     UINT64_C(0),
 4112     UINT64_C(0),
 4113     UINT64_C(0),
 4114     UINT64_C(0),
 4115     UINT64_C(0),
 4116     UINT64_C(0),
 4117     UINT64_C(0),
 4118     UINT64_C(0),
 4119     UINT64_C(0),
 4120     UINT64_C(0),
 4121     UINT64_C(0),
 4122     UINT64_C(0),
 4123     UINT64_C(0),
 4124     UINT64_C(0),
 4125     UINT64_C(0),
 4126     UINT64_C(0),
 4127     UINT64_C(0),
 4128     UINT64_C(0),
 4129     UINT64_C(0),
 4130     UINT64_C(0),
 4131     UINT64_C(0),
 4132     UINT64_C(0),
 4133     UINT64_C(0),
 4134     UINT64_C(0),
 4135     UINT64_C(0),
 4136     UINT64_C(0),
 4137     UINT64_C(3771252736),	// BUFFER_ATOMIC_ADD_ADDR64_RTN_gfx6_gfx7
 4138     UINT64_C(3771236352),	// BUFFER_ATOMIC_ADD_ADDR64_gfx6_gfx7
 4139     UINT64_C(3771232256),	// BUFFER_ATOMIC_ADD_BOTHEN_RTN_gfx10
 4140     UINT64_C(3771232256),	// BUFFER_ATOMIC_ADD_BOTHEN_RTN_gfx6_gfx7
 4141     UINT64_C(3775426560),	// BUFFER_ATOMIC_ADD_BOTHEN_RTN_vi
 4142     UINT64_C(3771215872),	// BUFFER_ATOMIC_ADD_BOTHEN_gfx10
 4143     UINT64_C(3771215872),	// BUFFER_ATOMIC_ADD_BOTHEN_gfx6_gfx7
 4144     UINT64_C(3775410176),	// BUFFER_ATOMIC_ADD_BOTHEN_vi
 4145     UINT64_C(3778293760),	// BUFFER_ATOMIC_ADD_F32_BOTHEN_vi
 4146     UINT64_C(3778289664),	// BUFFER_ATOMIC_ADD_F32_IDXEN_vi
 4147     UINT64_C(3778285568),	// BUFFER_ATOMIC_ADD_F32_OFFEN_vi
 4148     UINT64_C(3778281472),	// BUFFER_ATOMIC_ADD_F32_OFFSET_vi
 4149     UINT64_C(3771228160),	// BUFFER_ATOMIC_ADD_IDXEN_RTN_gfx10
 4150     UINT64_C(3771228160),	// BUFFER_ATOMIC_ADD_IDXEN_RTN_gfx6_gfx7
 4151     UINT64_C(3775422464),	// BUFFER_ATOMIC_ADD_IDXEN_RTN_vi
 4152     UINT64_C(3771211776),	// BUFFER_ATOMIC_ADD_IDXEN_gfx10
 4153     UINT64_C(3771211776),	// BUFFER_ATOMIC_ADD_IDXEN_gfx6_gfx7
 4154     UINT64_C(3775406080),	// BUFFER_ATOMIC_ADD_IDXEN_vi
 4155     UINT64_C(3771224064),	// BUFFER_ATOMIC_ADD_OFFEN_RTN_gfx10
 4156     UINT64_C(3771224064),	// BUFFER_ATOMIC_ADD_OFFEN_RTN_gfx6_gfx7
 4157     UINT64_C(3775418368),	// BUFFER_ATOMIC_ADD_OFFEN_RTN_vi
 4158     UINT64_C(3771207680),	// BUFFER_ATOMIC_ADD_OFFEN_gfx10
 4159     UINT64_C(3771207680),	// BUFFER_ATOMIC_ADD_OFFEN_gfx6_gfx7
 4160     UINT64_C(3775401984),	// BUFFER_ATOMIC_ADD_OFFEN_vi
 4161     UINT64_C(3771219968),	// BUFFER_ATOMIC_ADD_OFFSET_RTN_gfx10
 4162     UINT64_C(3771219968),	// BUFFER_ATOMIC_ADD_OFFSET_RTN_gfx6_gfx7
 4163     UINT64_C(3775414272),	// BUFFER_ATOMIC_ADD_OFFSET_RTN_vi
 4164     UINT64_C(3771203584),	// BUFFER_ATOMIC_ADD_OFFSET_gfx10
 4165     UINT64_C(3771203584),	// BUFFER_ATOMIC_ADD_OFFSET_gfx6_gfx7
 4166     UINT64_C(3775397888),	// BUFFER_ATOMIC_ADD_OFFSET_vi
 4167     UINT64_C(3779641344),	// BUFFER_ATOMIC_ADD_X2_ADDR64_RTN_gfx6_gfx7
 4168     UINT64_C(3779624960),	// BUFFER_ATOMIC_ADD_X2_ADDR64_gfx6_gfx7
 4169     UINT64_C(3779620864),	// BUFFER_ATOMIC_ADD_X2_BOTHEN_RTN_gfx10
 4170     UINT64_C(3779620864),	// BUFFER_ATOMIC_ADD_X2_BOTHEN_RTN_gfx6_gfx7
 4171     UINT64_C(3783815168),	// BUFFER_ATOMIC_ADD_X2_BOTHEN_RTN_vi
 4172     UINT64_C(3779604480),	// BUFFER_ATOMIC_ADD_X2_BOTHEN_gfx10
 4173     UINT64_C(3779604480),	// BUFFER_ATOMIC_ADD_X2_BOTHEN_gfx6_gfx7
 4174     UINT64_C(3783798784),	// BUFFER_ATOMIC_ADD_X2_BOTHEN_vi
 4175     UINT64_C(3779616768),	// BUFFER_ATOMIC_ADD_X2_IDXEN_RTN_gfx10
 4176     UINT64_C(3779616768),	// BUFFER_ATOMIC_ADD_X2_IDXEN_RTN_gfx6_gfx7
 4177     UINT64_C(3783811072),	// BUFFER_ATOMIC_ADD_X2_IDXEN_RTN_vi
 4178     UINT64_C(3779600384),	// BUFFER_ATOMIC_ADD_X2_IDXEN_gfx10
 4179     UINT64_C(3779600384),	// BUFFER_ATOMIC_ADD_X2_IDXEN_gfx6_gfx7
 4180     UINT64_C(3783794688),	// BUFFER_ATOMIC_ADD_X2_IDXEN_vi
 4181     UINT64_C(3779612672),	// BUFFER_ATOMIC_ADD_X2_OFFEN_RTN_gfx10
 4182     UINT64_C(3779612672),	// BUFFER_ATOMIC_ADD_X2_OFFEN_RTN_gfx6_gfx7
 4183     UINT64_C(3783806976),	// BUFFER_ATOMIC_ADD_X2_OFFEN_RTN_vi
 4184     UINT64_C(3779596288),	// BUFFER_ATOMIC_ADD_X2_OFFEN_gfx10
 4185     UINT64_C(3779596288),	// BUFFER_ATOMIC_ADD_X2_OFFEN_gfx6_gfx7
 4186     UINT64_C(3783790592),	// BUFFER_ATOMIC_ADD_X2_OFFEN_vi
 4187     UINT64_C(3779608576),	// BUFFER_ATOMIC_ADD_X2_OFFSET_RTN_gfx10
 4188     UINT64_C(3779608576),	// BUFFER_ATOMIC_ADD_X2_OFFSET_RTN_gfx6_gfx7
 4189     UINT64_C(3783802880),	// BUFFER_ATOMIC_ADD_X2_OFFSET_RTN_vi
 4190     UINT64_C(3779592192),	// BUFFER_ATOMIC_ADD_X2_OFFSET_gfx10
 4191     UINT64_C(3779592192),	// BUFFER_ATOMIC_ADD_X2_OFFSET_gfx6_gfx7
 4192     UINT64_C(3783786496),	// BUFFER_ATOMIC_ADD_X2_OFFSET_vi
 4193     UINT64_C(3773087744),	// BUFFER_ATOMIC_AND_ADDR64_RTN_gfx6_gfx7
 4194     UINT64_C(3773071360),	// BUFFER_ATOMIC_AND_ADDR64_gfx6_gfx7
 4195     UINT64_C(3773067264),	// BUFFER_ATOMIC_AND_BOTHEN_RTN_gfx10
 4196     UINT64_C(3773067264),	// BUFFER_ATOMIC_AND_BOTHEN_RTN_gfx6_gfx7
 4197     UINT64_C(3776999424),	// BUFFER_ATOMIC_AND_BOTHEN_RTN_vi
 4198     UINT64_C(3773050880),	// BUFFER_ATOMIC_AND_BOTHEN_gfx10
 4199     UINT64_C(3773050880),	// BUFFER_ATOMIC_AND_BOTHEN_gfx6_gfx7
 4200     UINT64_C(3776983040),	// BUFFER_ATOMIC_AND_BOTHEN_vi
 4201     UINT64_C(3773063168),	// BUFFER_ATOMIC_AND_IDXEN_RTN_gfx10
 4202     UINT64_C(3773063168),	// BUFFER_ATOMIC_AND_IDXEN_RTN_gfx6_gfx7
 4203     UINT64_C(3776995328),	// BUFFER_ATOMIC_AND_IDXEN_RTN_vi
 4204     UINT64_C(3773046784),	// BUFFER_ATOMIC_AND_IDXEN_gfx10
 4205     UINT64_C(3773046784),	// BUFFER_ATOMIC_AND_IDXEN_gfx6_gfx7
 4206     UINT64_C(3776978944),	// BUFFER_ATOMIC_AND_IDXEN_vi
 4207     UINT64_C(3773059072),	// BUFFER_ATOMIC_AND_OFFEN_RTN_gfx10
 4208     UINT64_C(3773059072),	// BUFFER_ATOMIC_AND_OFFEN_RTN_gfx6_gfx7
 4209     UINT64_C(3776991232),	// BUFFER_ATOMIC_AND_OFFEN_RTN_vi
 4210     UINT64_C(3773042688),	// BUFFER_ATOMIC_AND_OFFEN_gfx10
 4211     UINT64_C(3773042688),	// BUFFER_ATOMIC_AND_OFFEN_gfx6_gfx7
 4212     UINT64_C(3776974848),	// BUFFER_ATOMIC_AND_OFFEN_vi
 4213     UINT64_C(3773054976),	// BUFFER_ATOMIC_AND_OFFSET_RTN_gfx10
 4214     UINT64_C(3773054976),	// BUFFER_ATOMIC_AND_OFFSET_RTN_gfx6_gfx7
 4215     UINT64_C(3776987136),	// BUFFER_ATOMIC_AND_OFFSET_RTN_vi
 4216     UINT64_C(3773038592),	// BUFFER_ATOMIC_AND_OFFSET_gfx10
 4217     UINT64_C(3773038592),	// BUFFER_ATOMIC_AND_OFFSET_gfx6_gfx7
 4218     UINT64_C(3776970752),	// BUFFER_ATOMIC_AND_OFFSET_vi
 4219     UINT64_C(3781476352),	// BUFFER_ATOMIC_AND_X2_ADDR64_RTN_gfx6_gfx7
 4220     UINT64_C(3781459968),	// BUFFER_ATOMIC_AND_X2_ADDR64_gfx6_gfx7
 4221     UINT64_C(3781455872),	// BUFFER_ATOMIC_AND_X2_BOTHEN_RTN_gfx10
 4222     UINT64_C(3781455872),	// BUFFER_ATOMIC_AND_X2_BOTHEN_RTN_gfx6_gfx7
 4223     UINT64_C(3785388032),	// BUFFER_ATOMIC_AND_X2_BOTHEN_RTN_vi
 4224     UINT64_C(3781439488),	// BUFFER_ATOMIC_AND_X2_BOTHEN_gfx10
 4225     UINT64_C(3781439488),	// BUFFER_ATOMIC_AND_X2_BOTHEN_gfx6_gfx7
 4226     UINT64_C(3785371648),	// BUFFER_ATOMIC_AND_X2_BOTHEN_vi
 4227     UINT64_C(3781451776),	// BUFFER_ATOMIC_AND_X2_IDXEN_RTN_gfx10
 4228     UINT64_C(3781451776),	// BUFFER_ATOMIC_AND_X2_IDXEN_RTN_gfx6_gfx7
 4229     UINT64_C(3785383936),	// BUFFER_ATOMIC_AND_X2_IDXEN_RTN_vi
 4230     UINT64_C(3781435392),	// BUFFER_ATOMIC_AND_X2_IDXEN_gfx10
 4231     UINT64_C(3781435392),	// BUFFER_ATOMIC_AND_X2_IDXEN_gfx6_gfx7
 4232     UINT64_C(3785367552),	// BUFFER_ATOMIC_AND_X2_IDXEN_vi
 4233     UINT64_C(3781447680),	// BUFFER_ATOMIC_AND_X2_OFFEN_RTN_gfx10
 4234     UINT64_C(3781447680),	// BUFFER_ATOMIC_AND_X2_OFFEN_RTN_gfx6_gfx7
 4235     UINT64_C(3785379840),	// BUFFER_ATOMIC_AND_X2_OFFEN_RTN_vi
 4236     UINT64_C(3781431296),	// BUFFER_ATOMIC_AND_X2_OFFEN_gfx10
 4237     UINT64_C(3781431296),	// BUFFER_ATOMIC_AND_X2_OFFEN_gfx6_gfx7
 4238     UINT64_C(3785363456),	// BUFFER_ATOMIC_AND_X2_OFFEN_vi
 4239     UINT64_C(3781443584),	// BUFFER_ATOMIC_AND_X2_OFFSET_RTN_gfx10
 4240     UINT64_C(3781443584),	// BUFFER_ATOMIC_AND_X2_OFFSET_RTN_gfx6_gfx7
 4241     UINT64_C(3785375744),	// BUFFER_ATOMIC_AND_X2_OFFSET_RTN_vi
 4242     UINT64_C(3781427200),	// BUFFER_ATOMIC_AND_X2_OFFSET_gfx10
 4243     UINT64_C(3781427200),	// BUFFER_ATOMIC_AND_X2_OFFSET_gfx6_gfx7
 4244     UINT64_C(3785359360),	// BUFFER_ATOMIC_AND_X2_OFFSET_vi
 4245     UINT64_C(3770990592),	// BUFFER_ATOMIC_CMPSWAP_ADDR64_RTN_gfx6_gfx7
 4246     UINT64_C(3770974208),	// BUFFER_ATOMIC_CMPSWAP_ADDR64_gfx6_gfx7
 4247     UINT64_C(3770970112),	// BUFFER_ATOMIC_CMPSWAP_BOTHEN_RTN_gfx10
 4248     UINT64_C(3770970112),	// BUFFER_ATOMIC_CMPSWAP_BOTHEN_RTN_gfx6_gfx7
 4249     UINT64_C(3775164416),	// BUFFER_ATOMIC_CMPSWAP_BOTHEN_RTN_vi
 4250     UINT64_C(3770953728),	// BUFFER_ATOMIC_CMPSWAP_BOTHEN_gfx10
 4251     UINT64_C(3770953728),	// BUFFER_ATOMIC_CMPSWAP_BOTHEN_gfx6_gfx7
 4252     UINT64_C(3775148032),	// BUFFER_ATOMIC_CMPSWAP_BOTHEN_vi
 4253     UINT64_C(3770966016),	// BUFFER_ATOMIC_CMPSWAP_IDXEN_RTN_gfx10
 4254     UINT64_C(3770966016),	// BUFFER_ATOMIC_CMPSWAP_IDXEN_RTN_gfx6_gfx7
 4255     UINT64_C(3775160320),	// BUFFER_ATOMIC_CMPSWAP_IDXEN_RTN_vi
 4256     UINT64_C(3770949632),	// BUFFER_ATOMIC_CMPSWAP_IDXEN_gfx10
 4257     UINT64_C(3770949632),	// BUFFER_ATOMIC_CMPSWAP_IDXEN_gfx6_gfx7
 4258     UINT64_C(3775143936),	// BUFFER_ATOMIC_CMPSWAP_IDXEN_vi
 4259     UINT64_C(3770961920),	// BUFFER_ATOMIC_CMPSWAP_OFFEN_RTN_gfx10
 4260     UINT64_C(3770961920),	// BUFFER_ATOMIC_CMPSWAP_OFFEN_RTN_gfx6_gfx7
 4261     UINT64_C(3775156224),	// BUFFER_ATOMIC_CMPSWAP_OFFEN_RTN_vi
 4262     UINT64_C(3770945536),	// BUFFER_ATOMIC_CMPSWAP_OFFEN_gfx10
 4263     UINT64_C(3770945536),	// BUFFER_ATOMIC_CMPSWAP_OFFEN_gfx6_gfx7
 4264     UINT64_C(3775139840),	// BUFFER_ATOMIC_CMPSWAP_OFFEN_vi
 4265     UINT64_C(3770957824),	// BUFFER_ATOMIC_CMPSWAP_OFFSET_RTN_gfx10
 4266     UINT64_C(3770957824),	// BUFFER_ATOMIC_CMPSWAP_OFFSET_RTN_gfx6_gfx7
 4267     UINT64_C(3775152128),	// BUFFER_ATOMIC_CMPSWAP_OFFSET_RTN_vi
 4268     UINT64_C(3770941440),	// BUFFER_ATOMIC_CMPSWAP_OFFSET_gfx10
 4269     UINT64_C(3770941440),	// BUFFER_ATOMIC_CMPSWAP_OFFSET_gfx6_gfx7
 4270     UINT64_C(3775135744),	// BUFFER_ATOMIC_CMPSWAP_OFFSET_vi
 4271     UINT64_C(3779379200),	// BUFFER_ATOMIC_CMPSWAP_X2_ADDR64_RTN_gfx6_gfx7
 4272     UINT64_C(3779362816),	// BUFFER_ATOMIC_CMPSWAP_X2_ADDR64_gfx6_gfx7
 4273     UINT64_C(3779358720),	// BUFFER_ATOMIC_CMPSWAP_X2_BOTHEN_RTN_gfx10
 4274     UINT64_C(3779358720),	// BUFFER_ATOMIC_CMPSWAP_X2_BOTHEN_RTN_gfx6_gfx7
 4275     UINT64_C(3783553024),	// BUFFER_ATOMIC_CMPSWAP_X2_BOTHEN_RTN_vi
 4276     UINT64_C(3779342336),	// BUFFER_ATOMIC_CMPSWAP_X2_BOTHEN_gfx10
 4277     UINT64_C(3779342336),	// BUFFER_ATOMIC_CMPSWAP_X2_BOTHEN_gfx6_gfx7
 4278     UINT64_C(3783536640),	// BUFFER_ATOMIC_CMPSWAP_X2_BOTHEN_vi
 4279     UINT64_C(3779354624),	// BUFFER_ATOMIC_CMPSWAP_X2_IDXEN_RTN_gfx10
 4280     UINT64_C(3779354624),	// BUFFER_ATOMIC_CMPSWAP_X2_IDXEN_RTN_gfx6_gfx7
 4281     UINT64_C(3783548928),	// BUFFER_ATOMIC_CMPSWAP_X2_IDXEN_RTN_vi
 4282     UINT64_C(3779338240),	// BUFFER_ATOMIC_CMPSWAP_X2_IDXEN_gfx10
 4283     UINT64_C(3779338240),	// BUFFER_ATOMIC_CMPSWAP_X2_IDXEN_gfx6_gfx7
 4284     UINT64_C(3783532544),	// BUFFER_ATOMIC_CMPSWAP_X2_IDXEN_vi
 4285     UINT64_C(3779350528),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFEN_RTN_gfx10
 4286     UINT64_C(3779350528),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFEN_RTN_gfx6_gfx7
 4287     UINT64_C(3783544832),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFEN_RTN_vi
 4288     UINT64_C(3779334144),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFEN_gfx10
 4289     UINT64_C(3779334144),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFEN_gfx6_gfx7
 4290     UINT64_C(3783528448),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFEN_vi
 4291     UINT64_C(3779346432),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFSET_RTN_gfx10
 4292     UINT64_C(3779346432),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFSET_RTN_gfx6_gfx7
 4293     UINT64_C(3783540736),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFSET_RTN_vi
 4294     UINT64_C(3779330048),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFSET_gfx10
 4295     UINT64_C(3779330048),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFSET_gfx6_gfx7
 4296     UINT64_C(3783524352),	// BUFFER_ATOMIC_CMPSWAP_X2_OFFSET_vi
 4297     UINT64_C(3774136320),	// BUFFER_ATOMIC_DEC_ADDR64_RTN_gfx6_gfx7
 4298     UINT64_C(3774119936),	// BUFFER_ATOMIC_DEC_ADDR64_gfx6_gfx7
 4299     UINT64_C(3774115840),	// BUFFER_ATOMIC_DEC_BOTHEN_RTN_gfx10
 4300     UINT64_C(3774115840),	// BUFFER_ATOMIC_DEC_BOTHEN_RTN_gfx6_gfx7
 4301     UINT64_C(3778048000),	// BUFFER_ATOMIC_DEC_BOTHEN_RTN_vi
 4302     UINT64_C(3774099456),	// BUFFER_ATOMIC_DEC_BOTHEN_gfx10
 4303     UINT64_C(3774099456),	// BUFFER_ATOMIC_DEC_BOTHEN_gfx6_gfx7
 4304     UINT64_C(3778031616),	// BUFFER_ATOMIC_DEC_BOTHEN_vi
 4305     UINT64_C(3774111744),	// BUFFER_ATOMIC_DEC_IDXEN_RTN_gfx10
 4306     UINT64_C(3774111744),	// BUFFER_ATOMIC_DEC_IDXEN_RTN_gfx6_gfx7
 4307     UINT64_C(3778043904),	// BUFFER_ATOMIC_DEC_IDXEN_RTN_vi
 4308     UINT64_C(3774095360),	// BUFFER_ATOMIC_DEC_IDXEN_gfx10
 4309     UINT64_C(3774095360),	// BUFFER_ATOMIC_DEC_IDXEN_gfx6_gfx7
 4310     UINT64_C(3778027520),	// BUFFER_ATOMIC_DEC_IDXEN_vi
 4311     UINT64_C(3774107648),	// BUFFER_ATOMIC_DEC_OFFEN_RTN_gfx10
 4312     UINT64_C(3774107648),	// BUFFER_ATOMIC_DEC_OFFEN_RTN_gfx6_gfx7
 4313     UINT64_C(3778039808),	// BUFFER_ATOMIC_DEC_OFFEN_RTN_vi
 4314     UINT64_C(3774091264),	// BUFFER_ATOMIC_DEC_OFFEN_gfx10
 4315     UINT64_C(3774091264),	// BUFFER_ATOMIC_DEC_OFFEN_gfx6_gfx7
 4316     UINT64_C(3778023424),	// BUFFER_ATOMIC_DEC_OFFEN_vi
 4317     UINT64_C(3774103552),	// BUFFER_ATOMIC_DEC_OFFSET_RTN_gfx10
 4318     UINT64_C(3774103552),	// BUFFER_ATOMIC_DEC_OFFSET_RTN_gfx6_gfx7
 4319     UINT64_C(3778035712),	// BUFFER_ATOMIC_DEC_OFFSET_RTN_vi
 4320     UINT64_C(3774087168),	// BUFFER_ATOMIC_DEC_OFFSET_gfx10
 4321     UINT64_C(3774087168),	// BUFFER_ATOMIC_DEC_OFFSET_gfx6_gfx7
 4322     UINT64_C(3778019328),	// BUFFER_ATOMIC_DEC_OFFSET_vi
 4323     UINT64_C(3782524928),	// BUFFER_ATOMIC_DEC_X2_ADDR64_RTN_gfx6_gfx7
 4324     UINT64_C(3782508544),	// BUFFER_ATOMIC_DEC_X2_ADDR64_gfx6_gfx7
 4325     UINT64_C(3782504448),	// BUFFER_ATOMIC_DEC_X2_BOTHEN_RTN_gfx10
 4326     UINT64_C(3782504448),	// BUFFER_ATOMIC_DEC_X2_BOTHEN_RTN_gfx6_gfx7
 4327     UINT64_C(3786436608),	// BUFFER_ATOMIC_DEC_X2_BOTHEN_RTN_vi
 4328     UINT64_C(3782488064),	// BUFFER_ATOMIC_DEC_X2_BOTHEN_gfx10
 4329     UINT64_C(3782488064),	// BUFFER_ATOMIC_DEC_X2_BOTHEN_gfx6_gfx7
 4330     UINT64_C(3786420224),	// BUFFER_ATOMIC_DEC_X2_BOTHEN_vi
 4331     UINT64_C(3782500352),	// BUFFER_ATOMIC_DEC_X2_IDXEN_RTN_gfx10
 4332     UINT64_C(3782500352),	// BUFFER_ATOMIC_DEC_X2_IDXEN_RTN_gfx6_gfx7
 4333     UINT64_C(3786432512),	// BUFFER_ATOMIC_DEC_X2_IDXEN_RTN_vi
 4334     UINT64_C(3782483968),	// BUFFER_ATOMIC_DEC_X2_IDXEN_gfx10
 4335     UINT64_C(3782483968),	// BUFFER_ATOMIC_DEC_X2_IDXEN_gfx6_gfx7
 4336     UINT64_C(3786416128),	// BUFFER_ATOMIC_DEC_X2_IDXEN_vi
 4337     UINT64_C(3782496256),	// BUFFER_ATOMIC_DEC_X2_OFFEN_RTN_gfx10
 4338     UINT64_C(3782496256),	// BUFFER_ATOMIC_DEC_X2_OFFEN_RTN_gfx6_gfx7
 4339     UINT64_C(3786428416),	// BUFFER_ATOMIC_DEC_X2_OFFEN_RTN_vi
 4340     UINT64_C(3782479872),	// BUFFER_ATOMIC_DEC_X2_OFFEN_gfx10
 4341     UINT64_C(3782479872),	// BUFFER_ATOMIC_DEC_X2_OFFEN_gfx6_gfx7
 4342     UINT64_C(3786412032),	// BUFFER_ATOMIC_DEC_X2_OFFEN_vi
 4343     UINT64_C(3782492160),	// BUFFER_ATOMIC_DEC_X2_OFFSET_RTN_gfx10
 4344     UINT64_C(3782492160),	// BUFFER_ATOMIC_DEC_X2_OFFSET_RTN_gfx6_gfx7
 4345     UINT64_C(3786424320),	// BUFFER_ATOMIC_DEC_X2_OFFSET_RTN_vi
 4346     UINT64_C(3782475776),	// BUFFER_ATOMIC_DEC_X2_OFFSET_gfx10
 4347     UINT64_C(3782475776),	// BUFFER_ATOMIC_DEC_X2_OFFSET_gfx6_gfx7
 4348     UINT64_C(3786407936),	// BUFFER_ATOMIC_DEC_X2_OFFSET_vi
 4349     UINT64_C(3774398464),	// BUFFER_ATOMIC_FCMPSWAP_ADDR64_RTN_gfx6_gfx7
 4350     UINT64_C(3774382080),	// BUFFER_ATOMIC_FCMPSWAP_ADDR64_gfx6_gfx7
 4351     UINT64_C(3774377984),	// BUFFER_ATOMIC_FCMPSWAP_BOTHEN_RTN_gfx10
 4352     UINT64_C(3774377984),	// BUFFER_ATOMIC_FCMPSWAP_BOTHEN_RTN_gfx6_gfx7
 4353     UINT64_C(3774361600),	// BUFFER_ATOMIC_FCMPSWAP_BOTHEN_gfx10
 4354     UINT64_C(3774361600),	// BUFFER_ATOMIC_FCMPSWAP_BOTHEN_gfx6_gfx7
 4355     UINT64_C(3774373888),	// BUFFER_ATOMIC_FCMPSWAP_IDXEN_RTN_gfx10
 4356     UINT64_C(3774373888),	// BUFFER_ATOMIC_FCMPSWAP_IDXEN_RTN_gfx6_gfx7
 4357     UINT64_C(3774357504),	// BUFFER_ATOMIC_FCMPSWAP_IDXEN_gfx10
 4358     UINT64_C(3774357504),	// BUFFER_ATOMIC_FCMPSWAP_IDXEN_gfx6_gfx7
 4359     UINT64_C(3774369792),	// BUFFER_ATOMIC_FCMPSWAP_OFFEN_RTN_gfx10
 4360     UINT64_C(3774369792),	// BUFFER_ATOMIC_FCMPSWAP_OFFEN_RTN_gfx6_gfx7
 4361     UINT64_C(3774353408),	// BUFFER_ATOMIC_FCMPSWAP_OFFEN_gfx10
 4362     UINT64_C(3774353408),	// BUFFER_ATOMIC_FCMPSWAP_OFFEN_gfx6_gfx7
 4363     UINT64_C(3774365696),	// BUFFER_ATOMIC_FCMPSWAP_OFFSET_RTN_gfx10
 4364     UINT64_C(3774365696),	// BUFFER_ATOMIC_FCMPSWAP_OFFSET_RTN_gfx6_gfx7
 4365     UINT64_C(3774349312),	// BUFFER_ATOMIC_FCMPSWAP_OFFSET_gfx10
 4366     UINT64_C(3774349312),	// BUFFER_ATOMIC_FCMPSWAP_OFFSET_gfx6_gfx7
 4367     UINT64_C(3782787072),	// BUFFER_ATOMIC_FCMPSWAP_X2_ADDR64_RTN_gfx6_gfx7
 4368     UINT64_C(3782770688),	// BUFFER_ATOMIC_FCMPSWAP_X2_ADDR64_gfx6_gfx7
 4369     UINT64_C(3782766592),	// BUFFER_ATOMIC_FCMPSWAP_X2_BOTHEN_RTN_gfx10
 4370     UINT64_C(3782766592),	// BUFFER_ATOMIC_FCMPSWAP_X2_BOTHEN_RTN_gfx6_gfx7
 4371     UINT64_C(3782750208),	// BUFFER_ATOMIC_FCMPSWAP_X2_BOTHEN_gfx10
 4372     UINT64_C(3782750208),	// BUFFER_ATOMIC_FCMPSWAP_X2_BOTHEN_gfx6_gfx7
 4373     UINT64_C(3782762496),	// BUFFER_ATOMIC_FCMPSWAP_X2_IDXEN_RTN_gfx10
 4374     UINT64_C(3782762496),	// BUFFER_ATOMIC_FCMPSWAP_X2_IDXEN_RTN_gfx6_gfx7
 4375     UINT64_C(3782746112),	// BUFFER_ATOMIC_FCMPSWAP_X2_IDXEN_gfx10
 4376     UINT64_C(3782746112),	// BUFFER_ATOMIC_FCMPSWAP_X2_IDXEN_gfx6_gfx7
 4377     UINT64_C(3782758400),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFEN_RTN_gfx10
 4378     UINT64_C(3782758400),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFEN_RTN_gfx6_gfx7
 4379     UINT64_C(3782742016),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFEN_gfx10
 4380     UINT64_C(3782742016),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFEN_gfx6_gfx7
 4381     UINT64_C(3782754304),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFSET_RTN_gfx10
 4382     UINT64_C(3782754304),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFSET_RTN_gfx6_gfx7
 4383     UINT64_C(3782737920),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFSET_gfx10
 4384     UINT64_C(3782737920),	// BUFFER_ATOMIC_FCMPSWAP_X2_OFFSET_gfx6_gfx7
 4385     UINT64_C(3774922752),	// BUFFER_ATOMIC_FMAX_ADDR64_RTN_gfx6_gfx7
 4386     UINT64_C(3774906368),	// BUFFER_ATOMIC_FMAX_ADDR64_gfx6_gfx7
 4387     UINT64_C(3774902272),	// BUFFER_ATOMIC_FMAX_BOTHEN_RTN_gfx10
 4388     UINT64_C(3774902272),	// BUFFER_ATOMIC_FMAX_BOTHEN_RTN_gfx6_gfx7
 4389     UINT64_C(3774885888),	// BUFFER_ATOMIC_FMAX_BOTHEN_gfx10
 4390     UINT64_C(3774885888),	// BUFFER_ATOMIC_FMAX_BOTHEN_gfx6_gfx7
 4391     UINT64_C(3774898176),	// BUFFER_ATOMIC_FMAX_IDXEN_RTN_gfx10
 4392     UINT64_C(3774898176),	// BUFFER_ATOMIC_FMAX_IDXEN_RTN_gfx6_gfx7
 4393     UINT64_C(3774881792),	// BUFFER_ATOMIC_FMAX_IDXEN_gfx10
 4394     UINT64_C(3774881792),	// BUFFER_ATOMIC_FMAX_IDXEN_gfx6_gfx7
 4395     UINT64_C(3774894080),	// BUFFER_ATOMIC_FMAX_OFFEN_RTN_gfx10
 4396     UINT64_C(3774894080),	// BUFFER_ATOMIC_FMAX_OFFEN_RTN_gfx6_gfx7
 4397     UINT64_C(3774877696),	// BUFFER_ATOMIC_FMAX_OFFEN_gfx10
 4398     UINT64_C(3774877696),	// BUFFER_ATOMIC_FMAX_OFFEN_gfx6_gfx7
 4399     UINT64_C(3774889984),	// BUFFER_ATOMIC_FMAX_OFFSET_RTN_gfx10
 4400     UINT64_C(3774889984),	// BUFFER_ATOMIC_FMAX_OFFSET_RTN_gfx6_gfx7
 4401     UINT64_C(3774873600),	// BUFFER_ATOMIC_FMAX_OFFSET_gfx10
 4402     UINT64_C(3774873600),	// BUFFER_ATOMIC_FMAX_OFFSET_gfx6_gfx7
 4403     UINT64_C(3783311360),	// BUFFER_ATOMIC_FMAX_X2_ADDR64_RTN_gfx6_gfx7
 4404     UINT64_C(3783294976),	// BUFFER_ATOMIC_FMAX_X2_ADDR64_gfx6_gfx7
 4405     UINT64_C(3783290880),	// BUFFER_ATOMIC_FMAX_X2_BOTHEN_RTN_gfx10
 4406     UINT64_C(3783290880),	// BUFFER_ATOMIC_FMAX_X2_BOTHEN_RTN_gfx6_gfx7
 4407     UINT64_C(3783274496),	// BUFFER_ATOMIC_FMAX_X2_BOTHEN_gfx10
 4408     UINT64_C(3783274496),	// BUFFER_ATOMIC_FMAX_X2_BOTHEN_gfx6_gfx7
 4409     UINT64_C(3783286784),	// BUFFER_ATOMIC_FMAX_X2_IDXEN_RTN_gfx10
 4410     UINT64_C(3783286784),	// BUFFER_ATOMIC_FMAX_X2_IDXEN_RTN_gfx6_gfx7
 4411     UINT64_C(3783270400),	// BUFFER_ATOMIC_FMAX_X2_IDXEN_gfx10
 4412     UINT64_C(3783270400),	// BUFFER_ATOMIC_FMAX_X2_IDXEN_gfx6_gfx7
 4413     UINT64_C(3783282688),	// BUFFER_ATOMIC_FMAX_X2_OFFEN_RTN_gfx10
 4414     UINT64_C(3783282688),	// BUFFER_ATOMIC_FMAX_X2_OFFEN_RTN_gfx6_gfx7
 4415     UINT64_C(3783266304),	// BUFFER_ATOMIC_FMAX_X2_OFFEN_gfx10
 4416     UINT64_C(3783266304),	// BUFFER_ATOMIC_FMAX_X2_OFFEN_gfx6_gfx7
 4417     UINT64_C(3783278592),	// BUFFER_ATOMIC_FMAX_X2_OFFSET_RTN_gfx10
 4418     UINT64_C(3783278592),	// BUFFER_ATOMIC_FMAX_X2_OFFSET_RTN_gfx6_gfx7
 4419     UINT64_C(3783262208),	// BUFFER_ATOMIC_FMAX_X2_OFFSET_gfx10
 4420     UINT64_C(3783262208),	// BUFFER_ATOMIC_FMAX_X2_OFFSET_gfx6_gfx7
 4421     UINT64_C(3774660608),	// BUFFER_ATOMIC_FMIN_ADDR64_RTN_gfx6_gfx7
 4422     UINT64_C(3774644224),	// BUFFER_ATOMIC_FMIN_ADDR64_gfx6_gfx7
 4423     UINT64_C(3774640128),	// BUFFER_ATOMIC_FMIN_BOTHEN_RTN_gfx10
 4424     UINT64_C(3774640128),	// BUFFER_ATOMIC_FMIN_BOTHEN_RTN_gfx6_gfx7
 4425     UINT64_C(3774623744),	// BUFFER_ATOMIC_FMIN_BOTHEN_gfx10
 4426     UINT64_C(3774623744),	// BUFFER_ATOMIC_FMIN_BOTHEN_gfx6_gfx7
 4427     UINT64_C(3774636032),	// BUFFER_ATOMIC_FMIN_IDXEN_RTN_gfx10
 4428     UINT64_C(3774636032),	// BUFFER_ATOMIC_FMIN_IDXEN_RTN_gfx6_gfx7
 4429     UINT64_C(3774619648),	// BUFFER_ATOMIC_FMIN_IDXEN_gfx10
 4430     UINT64_C(3774619648),	// BUFFER_ATOMIC_FMIN_IDXEN_gfx6_gfx7
 4431     UINT64_C(3774631936),	// BUFFER_ATOMIC_FMIN_OFFEN_RTN_gfx10
 4432     UINT64_C(3774631936),	// BUFFER_ATOMIC_FMIN_OFFEN_RTN_gfx6_gfx7
 4433     UINT64_C(3774615552),	// BUFFER_ATOMIC_FMIN_OFFEN_gfx10
 4434     UINT64_C(3774615552),	// BUFFER_ATOMIC_FMIN_OFFEN_gfx6_gfx7
 4435     UINT64_C(3774627840),	// BUFFER_ATOMIC_FMIN_OFFSET_RTN_gfx10
 4436     UINT64_C(3774627840),	// BUFFER_ATOMIC_FMIN_OFFSET_RTN_gfx6_gfx7
 4437     UINT64_C(3774611456),	// BUFFER_ATOMIC_FMIN_OFFSET_gfx10
 4438     UINT64_C(3774611456),	// BUFFER_ATOMIC_FMIN_OFFSET_gfx6_gfx7
 4439     UINT64_C(3783049216),	// BUFFER_ATOMIC_FMIN_X2_ADDR64_RTN_gfx6_gfx7
 4440     UINT64_C(3783032832),	// BUFFER_ATOMIC_FMIN_X2_ADDR64_gfx6_gfx7
 4441     UINT64_C(3783028736),	// BUFFER_ATOMIC_FMIN_X2_BOTHEN_RTN_gfx10
 4442     UINT64_C(3783028736),	// BUFFER_ATOMIC_FMIN_X2_BOTHEN_RTN_gfx6_gfx7
 4443     UINT64_C(3783012352),	// BUFFER_ATOMIC_FMIN_X2_BOTHEN_gfx10
 4444     UINT64_C(3783012352),	// BUFFER_ATOMIC_FMIN_X2_BOTHEN_gfx6_gfx7
 4445     UINT64_C(3783024640),	// BUFFER_ATOMIC_FMIN_X2_IDXEN_RTN_gfx10
 4446     UINT64_C(3783024640),	// BUFFER_ATOMIC_FMIN_X2_IDXEN_RTN_gfx6_gfx7
 4447     UINT64_C(3783008256),	// BUFFER_ATOMIC_FMIN_X2_IDXEN_gfx10
 4448     UINT64_C(3783008256),	// BUFFER_ATOMIC_FMIN_X2_IDXEN_gfx6_gfx7
 4449     UINT64_C(3783020544),	// BUFFER_ATOMIC_FMIN_X2_OFFEN_RTN_gfx10
 4450     UINT64_C(3783020544),	// BUFFER_ATOMIC_FMIN_X2_OFFEN_RTN_gfx6_gfx7
 4451     UINT64_C(3783004160),	// BUFFER_ATOMIC_FMIN_X2_OFFEN_gfx10
 4452     UINT64_C(3783004160),	// BUFFER_ATOMIC_FMIN_X2_OFFEN_gfx6_gfx7
 4453     UINT64_C(3783016448),	// BUFFER_ATOMIC_FMIN_X2_OFFSET_RTN_gfx10
 4454     UINT64_C(3783016448),	// BUFFER_ATOMIC_FMIN_X2_OFFSET_RTN_gfx6_gfx7
 4455     UINT64_C(3783000064),	// BUFFER_ATOMIC_FMIN_X2_OFFSET_gfx10
 4456     UINT64_C(3783000064),	// BUFFER_ATOMIC_FMIN_X2_OFFSET_gfx6_gfx7
 4457     UINT64_C(3773874176),	// BUFFER_ATOMIC_INC_ADDR64_RTN_gfx6_gfx7
 4458     UINT64_C(3773857792),	// BUFFER_ATOMIC_INC_ADDR64_gfx6_gfx7
 4459     UINT64_C(3773853696),	// BUFFER_ATOMIC_INC_BOTHEN_RTN_gfx10
 4460     UINT64_C(3773853696),	// BUFFER_ATOMIC_INC_BOTHEN_RTN_gfx6_gfx7
 4461     UINT64_C(3777785856),	// BUFFER_ATOMIC_INC_BOTHEN_RTN_vi
 4462     UINT64_C(3773837312),	// BUFFER_ATOMIC_INC_BOTHEN_gfx10
 4463     UINT64_C(3773837312),	// BUFFER_ATOMIC_INC_BOTHEN_gfx6_gfx7
 4464     UINT64_C(3777769472),	// BUFFER_ATOMIC_INC_BOTHEN_vi
 4465     UINT64_C(3773849600),	// BUFFER_ATOMIC_INC_IDXEN_RTN_gfx10
 4466     UINT64_C(3773849600),	// BUFFER_ATOMIC_INC_IDXEN_RTN_gfx6_gfx7
 4467     UINT64_C(3777781760),	// BUFFER_ATOMIC_INC_IDXEN_RTN_vi
 4468     UINT64_C(3773833216),	// BUFFER_ATOMIC_INC_IDXEN_gfx10
 4469     UINT64_C(3773833216),	// BUFFER_ATOMIC_INC_IDXEN_gfx6_gfx7
 4470     UINT64_C(3777765376),	// BUFFER_ATOMIC_INC_IDXEN_vi
 4471     UINT64_C(3773845504),	// BUFFER_ATOMIC_INC_OFFEN_RTN_gfx10
 4472     UINT64_C(3773845504),	// BUFFER_ATOMIC_INC_OFFEN_RTN_gfx6_gfx7
 4473     UINT64_C(3777777664),	// BUFFER_ATOMIC_INC_OFFEN_RTN_vi
 4474     UINT64_C(3773829120),	// BUFFER_ATOMIC_INC_OFFEN_gfx10
 4475     UINT64_C(3773829120),	// BUFFER_ATOMIC_INC_OFFEN_gfx6_gfx7
 4476     UINT64_C(3777761280),	// BUFFER_ATOMIC_INC_OFFEN_vi
 4477     UINT64_C(3773841408),	// BUFFER_ATOMIC_INC_OFFSET_RTN_gfx10
 4478     UINT64_C(3773841408),	// BUFFER_ATOMIC_INC_OFFSET_RTN_gfx6_gfx7
 4479     UINT64_C(3777773568),	// BUFFER_ATOMIC_INC_OFFSET_RTN_vi
 4480     UINT64_C(3773825024),	// BUFFER_ATOMIC_INC_OFFSET_gfx10
 4481     UINT64_C(3773825024),	// BUFFER_ATOMIC_INC_OFFSET_gfx6_gfx7
 4482     UINT64_C(3777757184),	// BUFFER_ATOMIC_INC_OFFSET_vi
 4483     UINT64_C(3782262784),	// BUFFER_ATOMIC_INC_X2_ADDR64_RTN_gfx6_gfx7
 4484     UINT64_C(3782246400),	// BUFFER_ATOMIC_INC_X2_ADDR64_gfx6_gfx7
 4485     UINT64_C(3782242304),	// BUFFER_ATOMIC_INC_X2_BOTHEN_RTN_gfx10
 4486     UINT64_C(3782242304),	// BUFFER_ATOMIC_INC_X2_BOTHEN_RTN_gfx6_gfx7
 4487     UINT64_C(3786174464),	// BUFFER_ATOMIC_INC_X2_BOTHEN_RTN_vi
 4488     UINT64_C(3782225920),	// BUFFER_ATOMIC_INC_X2_BOTHEN_gfx10
 4489     UINT64_C(3782225920),	// BUFFER_ATOMIC_INC_X2_BOTHEN_gfx6_gfx7
 4490     UINT64_C(3786158080),	// BUFFER_ATOMIC_INC_X2_BOTHEN_vi
 4491     UINT64_C(3782238208),	// BUFFER_ATOMIC_INC_X2_IDXEN_RTN_gfx10
 4492     UINT64_C(3782238208),	// BUFFER_ATOMIC_INC_X2_IDXEN_RTN_gfx6_gfx7
 4493     UINT64_C(3786170368),	// BUFFER_ATOMIC_INC_X2_IDXEN_RTN_vi
 4494     UINT64_C(3782221824),	// BUFFER_ATOMIC_INC_X2_IDXEN_gfx10
 4495     UINT64_C(3782221824),	// BUFFER_ATOMIC_INC_X2_IDXEN_gfx6_gfx7
 4496     UINT64_C(3786153984),	// BUFFER_ATOMIC_INC_X2_IDXEN_vi
 4497     UINT64_C(3782234112),	// BUFFER_ATOMIC_INC_X2_OFFEN_RTN_gfx10
 4498     UINT64_C(3782234112),	// BUFFER_ATOMIC_INC_X2_OFFEN_RTN_gfx6_gfx7
 4499     UINT64_C(3786166272),	// BUFFER_ATOMIC_INC_X2_OFFEN_RTN_vi
 4500     UINT64_C(3782217728),	// BUFFER_ATOMIC_INC_X2_OFFEN_gfx10
 4501     UINT64_C(3782217728),	// BUFFER_ATOMIC_INC_X2_OFFEN_gfx6_gfx7
 4502     UINT64_C(3786149888),	// BUFFER_ATOMIC_INC_X2_OFFEN_vi
 4503     UINT64_C(3782230016),	// BUFFER_ATOMIC_INC_X2_OFFSET_RTN_gfx10
 4504     UINT64_C(3782230016),	// BUFFER_ATOMIC_INC_X2_OFFSET_RTN_gfx6_gfx7
 4505     UINT64_C(3786162176),	// BUFFER_ATOMIC_INC_X2_OFFSET_RTN_vi
 4506     UINT64_C(3782213632),	// BUFFER_ATOMIC_INC_X2_OFFSET_gfx10
 4507     UINT64_C(3782213632),	// BUFFER_ATOMIC_INC_X2_OFFSET_gfx6_gfx7
 4508     UINT64_C(3786145792),	// BUFFER_ATOMIC_INC_X2_OFFSET_vi
 4509     UINT64_C(3773349888),	// BUFFER_ATOMIC_OR_ADDR64_RTN_gfx6_gfx7
 4510     UINT64_C(3773333504),	// BUFFER_ATOMIC_OR_ADDR64_gfx6_gfx7
 4511     UINT64_C(3773329408),	// BUFFER_ATOMIC_OR_BOTHEN_RTN_gfx10
 4512     UINT64_C(3773329408),	// BUFFER_ATOMIC_OR_BOTHEN_RTN_gfx6_gfx7
 4513     UINT64_C(3777261568),	// BUFFER_ATOMIC_OR_BOTHEN_RTN_vi
 4514     UINT64_C(3773313024),	// BUFFER_ATOMIC_OR_BOTHEN_gfx10
 4515     UINT64_C(3773313024),	// BUFFER_ATOMIC_OR_BOTHEN_gfx6_gfx7
 4516     UINT64_C(3777245184),	// BUFFER_ATOMIC_OR_BOTHEN_vi
 4517     UINT64_C(3773325312),	// BUFFER_ATOMIC_OR_IDXEN_RTN_gfx10
 4518     UINT64_C(3773325312),	// BUFFER_ATOMIC_OR_IDXEN_RTN_gfx6_gfx7
 4519     UINT64_C(3777257472),	// BUFFER_ATOMIC_OR_IDXEN_RTN_vi
 4520     UINT64_C(3773308928),	// BUFFER_ATOMIC_OR_IDXEN_gfx10
 4521     UINT64_C(3773308928),	// BUFFER_ATOMIC_OR_IDXEN_gfx6_gfx7
 4522     UINT64_C(3777241088),	// BUFFER_ATOMIC_OR_IDXEN_vi
 4523     UINT64_C(3773321216),	// BUFFER_ATOMIC_OR_OFFEN_RTN_gfx10
 4524     UINT64_C(3773321216),	// BUFFER_ATOMIC_OR_OFFEN_RTN_gfx6_gfx7
 4525     UINT64_C(3777253376),	// BUFFER_ATOMIC_OR_OFFEN_RTN_vi
 4526     UINT64_C(3773304832),	// BUFFER_ATOMIC_OR_OFFEN_gfx10
 4527     UINT64_C(3773304832),	// BUFFER_ATOMIC_OR_OFFEN_gfx6_gfx7
 4528     UINT64_C(3777236992),	// BUFFER_ATOMIC_OR_OFFEN_vi
 4529     UINT64_C(3773317120),	// BUFFER_ATOMIC_OR_OFFSET_RTN_gfx10
 4530     UINT64_C(3773317120),	// BUFFER_ATOMIC_OR_OFFSET_RTN_gfx6_gfx7
 4531     UINT64_C(3777249280),	// BUFFER_ATOMIC_OR_OFFSET_RTN_vi
 4532     UINT64_C(3773300736),	// BUFFER_ATOMIC_OR_OFFSET_gfx10
 4533     UINT64_C(3773300736),	// BUFFER_ATOMIC_OR_OFFSET_gfx6_gfx7
 4534     UINT64_C(3777232896),	// BUFFER_ATOMIC_OR_OFFSET_vi
 4535     UINT64_C(3781738496),	// BUFFER_ATOMIC_OR_X2_ADDR64_RTN_gfx6_gfx7
 4536     UINT64_C(3781722112),	// BUFFER_ATOMIC_OR_X2_ADDR64_gfx6_gfx7
 4537     UINT64_C(3781718016),	// BUFFER_ATOMIC_OR_X2_BOTHEN_RTN_gfx10
 4538     UINT64_C(3781718016),	// BUFFER_ATOMIC_OR_X2_BOTHEN_RTN_gfx6_gfx7
 4539     UINT64_C(3785650176),	// BUFFER_ATOMIC_OR_X2_BOTHEN_RTN_vi
 4540     UINT64_C(3781701632),	// BUFFER_ATOMIC_OR_X2_BOTHEN_gfx10
 4541     UINT64_C(3781701632),	// BUFFER_ATOMIC_OR_X2_BOTHEN_gfx6_gfx7
 4542     UINT64_C(3785633792),	// BUFFER_ATOMIC_OR_X2_BOTHEN_vi
 4543     UINT64_C(3781713920),	// BUFFER_ATOMIC_OR_X2_IDXEN_RTN_gfx10
 4544     UINT64_C(3781713920),	// BUFFER_ATOMIC_OR_X2_IDXEN_RTN_gfx6_gfx7
 4545     UINT64_C(3785646080),	// BUFFER_ATOMIC_OR_X2_IDXEN_RTN_vi
 4546     UINT64_C(3781697536),	// BUFFER_ATOMIC_OR_X2_IDXEN_gfx10
 4547     UINT64_C(3781697536),	// BUFFER_ATOMIC_OR_X2_IDXEN_gfx6_gfx7
 4548     UINT64_C(3785629696),	// BUFFER_ATOMIC_OR_X2_IDXEN_vi
 4549     UINT64_C(3781709824),	// BUFFER_ATOMIC_OR_X2_OFFEN_RTN_gfx10
 4550     UINT64_C(3781709824),	// BUFFER_ATOMIC_OR_X2_OFFEN_RTN_gfx6_gfx7
 4551     UINT64_C(3785641984),	// BUFFER_ATOMIC_OR_X2_OFFEN_RTN_vi
 4552     UINT64_C(3781693440),	// BUFFER_ATOMIC_OR_X2_OFFEN_gfx10
 4553     UINT64_C(3781693440),	// BUFFER_ATOMIC_OR_X2_OFFEN_gfx6_gfx7
 4554     UINT64_C(3785625600),	// BUFFER_ATOMIC_OR_X2_OFFEN_vi
 4555     UINT64_C(3781705728),	// BUFFER_ATOMIC_OR_X2_OFFSET_RTN_gfx10
 4556     UINT64_C(3781705728),	// BUFFER_ATOMIC_OR_X2_OFFSET_RTN_gfx6_gfx7
 4557     UINT64_C(3785637888),	// BUFFER_ATOMIC_OR_X2_OFFSET_RTN_vi
 4558     UINT64_C(3781689344),	// BUFFER_ATOMIC_OR_X2_OFFSET_gfx10
 4559     UINT64_C(3781689344),	// BUFFER_ATOMIC_OR_X2_OFFSET_gfx6_gfx7
 4560     UINT64_C(3785621504),	// BUFFER_ATOMIC_OR_X2_OFFSET_vi
 4561     UINT64_C(3778555904),	// BUFFER_ATOMIC_PK_ADD_F16_BOTHEN_vi
 4562     UINT64_C(3778551808),	// BUFFER_ATOMIC_PK_ADD_F16_IDXEN_vi
 4563     UINT64_C(3778547712),	// BUFFER_ATOMIC_PK_ADD_F16_OFFEN_vi
 4564     UINT64_C(3778543616),	// BUFFER_ATOMIC_PK_ADD_F16_OFFSET_vi
 4565     UINT64_C(3772563456),	// BUFFER_ATOMIC_SMAX_ADDR64_RTN_gfx6_gfx7
 4566     UINT64_C(3772547072),	// BUFFER_ATOMIC_SMAX_ADDR64_gfx6_gfx7
 4567     UINT64_C(3772542976),	// BUFFER_ATOMIC_SMAX_BOTHEN_RTN_gfx10
 4568     UINT64_C(3772542976),	// BUFFER_ATOMIC_SMAX_BOTHEN_RTN_gfx6_gfx7
 4569     UINT64_C(3776475136),	// BUFFER_ATOMIC_SMAX_BOTHEN_RTN_vi
 4570     UINT64_C(3772526592),	// BUFFER_ATOMIC_SMAX_BOTHEN_gfx10
 4571     UINT64_C(3772526592),	// BUFFER_ATOMIC_SMAX_BOTHEN_gfx6_gfx7
 4572     UINT64_C(3776458752),	// BUFFER_ATOMIC_SMAX_BOTHEN_vi
 4573     UINT64_C(3772538880),	// BUFFER_ATOMIC_SMAX_IDXEN_RTN_gfx10
 4574     UINT64_C(3772538880),	// BUFFER_ATOMIC_SMAX_IDXEN_RTN_gfx6_gfx7
 4575     UINT64_C(3776471040),	// BUFFER_ATOMIC_SMAX_IDXEN_RTN_vi
 4576     UINT64_C(3772522496),	// BUFFER_ATOMIC_SMAX_IDXEN_gfx10
 4577     UINT64_C(3772522496),	// BUFFER_ATOMIC_SMAX_IDXEN_gfx6_gfx7
 4578     UINT64_C(3776454656),	// BUFFER_ATOMIC_SMAX_IDXEN_vi
 4579     UINT64_C(3772534784),	// BUFFER_ATOMIC_SMAX_OFFEN_RTN_gfx10
 4580     UINT64_C(3772534784),	// BUFFER_ATOMIC_SMAX_OFFEN_RTN_gfx6_gfx7
 4581     UINT64_C(3776466944),	// BUFFER_ATOMIC_SMAX_OFFEN_RTN_vi
 4582     UINT64_C(3772518400),	// BUFFER_ATOMIC_SMAX_OFFEN_gfx10
 4583     UINT64_C(3772518400),	// BUFFER_ATOMIC_SMAX_OFFEN_gfx6_gfx7
 4584     UINT64_C(3776450560),	// BUFFER_ATOMIC_SMAX_OFFEN_vi
 4585     UINT64_C(3772530688),	// BUFFER_ATOMIC_SMAX_OFFSET_RTN_gfx10
 4586     UINT64_C(3772530688),	// BUFFER_ATOMIC_SMAX_OFFSET_RTN_gfx6_gfx7
 4587     UINT64_C(3776462848),	// BUFFER_ATOMIC_SMAX_OFFSET_RTN_vi
 4588     UINT64_C(3772514304),	// BUFFER_ATOMIC_SMAX_OFFSET_gfx10
 4589     UINT64_C(3772514304),	// BUFFER_ATOMIC_SMAX_OFFSET_gfx6_gfx7
 4590     UINT64_C(3776446464),	// BUFFER_ATOMIC_SMAX_OFFSET_vi
 4591     UINT64_C(3780952064),	// BUFFER_ATOMIC_SMAX_X2_ADDR64_RTN_gfx6_gfx7
 4592     UINT64_C(3780935680),	// BUFFER_ATOMIC_SMAX_X2_ADDR64_gfx6_gfx7
 4593     UINT64_C(3780931584),	// BUFFER_ATOMIC_SMAX_X2_BOTHEN_RTN_gfx10
 4594     UINT64_C(3780931584),	// BUFFER_ATOMIC_SMAX_X2_BOTHEN_RTN_gfx6_gfx7
 4595     UINT64_C(3784863744),	// BUFFER_ATOMIC_SMAX_X2_BOTHEN_RTN_vi
 4596     UINT64_C(3780915200),	// BUFFER_ATOMIC_SMAX_X2_BOTHEN_gfx10
 4597     UINT64_C(3780915200),	// BUFFER_ATOMIC_SMAX_X2_BOTHEN_gfx6_gfx7
 4598     UINT64_C(3784847360),	// BUFFER_ATOMIC_SMAX_X2_BOTHEN_vi
 4599     UINT64_C(3780927488),	// BUFFER_ATOMIC_SMAX_X2_IDXEN_RTN_gfx10
 4600     UINT64_C(3780927488),	// BUFFER_ATOMIC_SMAX_X2_IDXEN_RTN_gfx6_gfx7
 4601     UINT64_C(3784859648),	// BUFFER_ATOMIC_SMAX_X2_IDXEN_RTN_vi
 4602     UINT64_C(3780911104),	// BUFFER_ATOMIC_SMAX_X2_IDXEN_gfx10
 4603     UINT64_C(3780911104),	// BUFFER_ATOMIC_SMAX_X2_IDXEN_gfx6_gfx7
 4604     UINT64_C(3784843264),	// BUFFER_ATOMIC_SMAX_X2_IDXEN_vi
 4605     UINT64_C(3780923392),	// BUFFER_ATOMIC_SMAX_X2_OFFEN_RTN_gfx10
 4606     UINT64_C(3780923392),	// BUFFER_ATOMIC_SMAX_X2_OFFEN_RTN_gfx6_gfx7
 4607     UINT64_C(3784855552),	// BUFFER_ATOMIC_SMAX_X2_OFFEN_RTN_vi
 4608     UINT64_C(3780907008),	// BUFFER_ATOMIC_SMAX_X2_OFFEN_gfx10
 4609     UINT64_C(3780907008),	// BUFFER_ATOMIC_SMAX_X2_OFFEN_gfx6_gfx7
 4610     UINT64_C(3784839168),	// BUFFER_ATOMIC_SMAX_X2_OFFEN_vi
 4611     UINT64_C(3780919296),	// BUFFER_ATOMIC_SMAX_X2_OFFSET_RTN_gfx10
 4612     UINT64_C(3780919296),	// BUFFER_ATOMIC_SMAX_X2_OFFSET_RTN_gfx6_gfx7
 4613     UINT64_C(3784851456),	// BUFFER_ATOMIC_SMAX_X2_OFFSET_RTN_vi
 4614     UINT64_C(3780902912),	// BUFFER_ATOMIC_SMAX_X2_OFFSET_gfx10
 4615     UINT64_C(3780902912),	// BUFFER_ATOMIC_SMAX_X2_OFFSET_gfx6_gfx7
 4616     UINT64_C(3784835072),	// BUFFER_ATOMIC_SMAX_X2_OFFSET_vi
 4617     UINT64_C(3772039168),	// BUFFER_ATOMIC_SMIN_ADDR64_RTN_gfx6_gfx7
 4618     UINT64_C(3772022784),	// BUFFER_ATOMIC_SMIN_ADDR64_gfx6_gfx7
 4619     UINT64_C(3772018688),	// BUFFER_ATOMIC_SMIN_BOTHEN_RTN_gfx10
 4620     UINT64_C(3772018688),	// BUFFER_ATOMIC_SMIN_BOTHEN_RTN_gfx6_gfx7
 4621     UINT64_C(3775950848),	// BUFFER_ATOMIC_SMIN_BOTHEN_RTN_vi
 4622     UINT64_C(3772002304),	// BUFFER_ATOMIC_SMIN_BOTHEN_gfx10
 4623     UINT64_C(3772002304),	// BUFFER_ATOMIC_SMIN_BOTHEN_gfx6_gfx7
 4624     UINT64_C(3775934464),	// BUFFER_ATOMIC_SMIN_BOTHEN_vi
 4625     UINT64_C(3772014592),	// BUFFER_ATOMIC_SMIN_IDXEN_RTN_gfx10
 4626     UINT64_C(3772014592),	// BUFFER_ATOMIC_SMIN_IDXEN_RTN_gfx6_gfx7
 4627     UINT64_C(3775946752),	// BUFFER_ATOMIC_SMIN_IDXEN_RTN_vi
 4628     UINT64_C(3771998208),	// BUFFER_ATOMIC_SMIN_IDXEN_gfx10
 4629     UINT64_C(3771998208),	// BUFFER_ATOMIC_SMIN_IDXEN_gfx6_gfx7
 4630     UINT64_C(3775930368),	// BUFFER_ATOMIC_SMIN_IDXEN_vi
 4631     UINT64_C(3772010496),	// BUFFER_ATOMIC_SMIN_OFFEN_RTN_gfx10
 4632     UINT64_C(3772010496),	// BUFFER_ATOMIC_SMIN_OFFEN_RTN_gfx6_gfx7
 4633     UINT64_C(3775942656),	// BUFFER_ATOMIC_SMIN_OFFEN_RTN_vi
 4634     UINT64_C(3771994112),	// BUFFER_ATOMIC_SMIN_OFFEN_gfx10
 4635     UINT64_C(3771994112),	// BUFFER_ATOMIC_SMIN_OFFEN_gfx6_gfx7
 4636     UINT64_C(3775926272),	// BUFFER_ATOMIC_SMIN_OFFEN_vi
 4637     UINT64_C(3772006400),	// BUFFER_ATOMIC_SMIN_OFFSET_RTN_gfx10
 4638     UINT64_C(3772006400),	// BUFFER_ATOMIC_SMIN_OFFSET_RTN_gfx6_gfx7
 4639     UINT64_C(3775938560),	// BUFFER_ATOMIC_SMIN_OFFSET_RTN_vi
 4640     UINT64_C(3771990016),	// BUFFER_ATOMIC_SMIN_OFFSET_gfx10
 4641     UINT64_C(3771990016),	// BUFFER_ATOMIC_SMIN_OFFSET_gfx6_gfx7
 4642     UINT64_C(3775922176),	// BUFFER_ATOMIC_SMIN_OFFSET_vi
 4643     UINT64_C(3780427776),	// BUFFER_ATOMIC_SMIN_X2_ADDR64_RTN_gfx6_gfx7
 4644     UINT64_C(3780411392),	// BUFFER_ATOMIC_SMIN_X2_ADDR64_gfx6_gfx7
 4645     UINT64_C(3780407296),	// BUFFER_ATOMIC_SMIN_X2_BOTHEN_RTN_gfx10
 4646     UINT64_C(3780407296),	// BUFFER_ATOMIC_SMIN_X2_BOTHEN_RTN_gfx6_gfx7
 4647     UINT64_C(3784339456),	// BUFFER_ATOMIC_SMIN_X2_BOTHEN_RTN_vi
 4648     UINT64_C(3780390912),	// BUFFER_ATOMIC_SMIN_X2_BOTHEN_gfx10
 4649     UINT64_C(3780390912),	// BUFFER_ATOMIC_SMIN_X2_BOTHEN_gfx6_gfx7
 4650     UINT64_C(3784323072),	// BUFFER_ATOMIC_SMIN_X2_BOTHEN_vi
 4651     UINT64_C(3780403200),	// BUFFER_ATOMIC_SMIN_X2_IDXEN_RTN_gfx10
 4652     UINT64_C(3780403200),	// BUFFER_ATOMIC_SMIN_X2_IDXEN_RTN_gfx6_gfx7
 4653     UINT64_C(3784335360),	// BUFFER_ATOMIC_SMIN_X2_IDXEN_RTN_vi
 4654     UINT64_C(3780386816),	// BUFFER_ATOMIC_SMIN_X2_IDXEN_gfx10
 4655     UINT64_C(3780386816),	// BUFFER_ATOMIC_SMIN_X2_IDXEN_gfx6_gfx7
 4656     UINT64_C(3784318976),	// BUFFER_ATOMIC_SMIN_X2_IDXEN_vi
 4657     UINT64_C(3780399104),	// BUFFER_ATOMIC_SMIN_X2_OFFEN_RTN_gfx10
 4658     UINT64_C(3780399104),	// BUFFER_ATOMIC_SMIN_X2_OFFEN_RTN_gfx6_gfx7
 4659     UINT64_C(3784331264),	// BUFFER_ATOMIC_SMIN_X2_OFFEN_RTN_vi
 4660     UINT64_C(3780382720),	// BUFFER_ATOMIC_SMIN_X2_OFFEN_gfx10
 4661     UINT64_C(3780382720),	// BUFFER_ATOMIC_SMIN_X2_OFFEN_gfx6_gfx7
 4662     UINT64_C(3784314880),	// BUFFER_ATOMIC_SMIN_X2_OFFEN_vi
 4663     UINT64_C(3780395008),	// BUFFER_ATOMIC_SMIN_X2_OFFSET_RTN_gfx10
 4664     UINT64_C(3780395008),	// BUFFER_ATOMIC_SMIN_X2_OFFSET_RTN_gfx6_gfx7
 4665     UINT64_C(3784327168),	// BUFFER_ATOMIC_SMIN_X2_OFFSET_RTN_vi
 4666     UINT64_C(3780378624),	// BUFFER_ATOMIC_SMIN_X2_OFFSET_gfx10
 4667     UINT64_C(3780378624),	// BUFFER_ATOMIC_SMIN_X2_OFFSET_gfx6_gfx7
 4668     UINT64_C(3784310784),	// BUFFER_ATOMIC_SMIN_X2_OFFSET_vi
 4669     UINT64_C(3771514880),	// BUFFER_ATOMIC_SUB_ADDR64_RTN_gfx6_gfx7
 4670     UINT64_C(3771498496),	// BUFFER_ATOMIC_SUB_ADDR64_gfx6_gfx7
 4671     UINT64_C(3771494400),	// BUFFER_ATOMIC_SUB_BOTHEN_RTN_gfx10
 4672     UINT64_C(3771494400),	// BUFFER_ATOMIC_SUB_BOTHEN_RTN_gfx6_gfx7
 4673     UINT64_C(3775688704),	// BUFFER_ATOMIC_SUB_BOTHEN_RTN_vi
 4674     UINT64_C(3771478016),	// BUFFER_ATOMIC_SUB_BOTHEN_gfx10
 4675     UINT64_C(3771478016),	// BUFFER_ATOMIC_SUB_BOTHEN_gfx6_gfx7
 4676     UINT64_C(3775672320),	// BUFFER_ATOMIC_SUB_BOTHEN_vi
 4677     UINT64_C(3771490304),	// BUFFER_ATOMIC_SUB_IDXEN_RTN_gfx10
 4678     UINT64_C(3771490304),	// BUFFER_ATOMIC_SUB_IDXEN_RTN_gfx6_gfx7
 4679     UINT64_C(3775684608),	// BUFFER_ATOMIC_SUB_IDXEN_RTN_vi
 4680     UINT64_C(3771473920),	// BUFFER_ATOMIC_SUB_IDXEN_gfx10
 4681     UINT64_C(3771473920),	// BUFFER_ATOMIC_SUB_IDXEN_gfx6_gfx7
 4682     UINT64_C(3775668224),	// BUFFER_ATOMIC_SUB_IDXEN_vi
 4683     UINT64_C(3771486208),	// BUFFER_ATOMIC_SUB_OFFEN_RTN_gfx10
 4684     UINT64_C(3771486208),	// BUFFER_ATOMIC_SUB_OFFEN_RTN_gfx6_gfx7
 4685     UINT64_C(3775680512),	// BUFFER_ATOMIC_SUB_OFFEN_RTN_vi
 4686     UINT64_C(3771469824),	// BUFFER_ATOMIC_SUB_OFFEN_gfx10
 4687     UINT64_C(3771469824),	// BUFFER_ATOMIC_SUB_OFFEN_gfx6_gfx7
 4688     UINT64_C(3775664128),	// BUFFER_ATOMIC_SUB_OFFEN_vi
 4689     UINT64_C(3771482112),	// BUFFER_ATOMIC_SUB_OFFSET_RTN_gfx10
 4690     UINT64_C(3771482112),	// BUFFER_ATOMIC_SUB_OFFSET_RTN_gfx6_gfx7
 4691     UINT64_C(3775676416),	// BUFFER_ATOMIC_SUB_OFFSET_RTN_vi
 4692     UINT64_C(3771465728),	// BUFFER_ATOMIC_SUB_OFFSET_gfx10
 4693     UINT64_C(3771465728),	// BUFFER_ATOMIC_SUB_OFFSET_gfx6_gfx7
 4694     UINT64_C(3775660032),	// BUFFER_ATOMIC_SUB_OFFSET_vi
 4695     UINT64_C(3779903488),	// BUFFER_ATOMIC_SUB_X2_ADDR64_RTN_gfx6_gfx7
 4696     UINT64_C(3779887104),	// BUFFER_ATOMIC_SUB_X2_ADDR64_gfx6_gfx7
 4697     UINT64_C(3779883008),	// BUFFER_ATOMIC_SUB_X2_BOTHEN_RTN_gfx10
 4698     UINT64_C(3779883008),	// BUFFER_ATOMIC_SUB_X2_BOTHEN_RTN_gfx6_gfx7
 4699     UINT64_C(3784077312),	// BUFFER_ATOMIC_SUB_X2_BOTHEN_RTN_vi
 4700     UINT64_C(3779866624),	// BUFFER_ATOMIC_SUB_X2_BOTHEN_gfx10
 4701     UINT64_C(3779866624),	// BUFFER_ATOMIC_SUB_X2_BOTHEN_gfx6_gfx7
 4702     UINT64_C(3784060928),	// BUFFER_ATOMIC_SUB_X2_BOTHEN_vi
 4703     UINT64_C(3779878912),	// BUFFER_ATOMIC_SUB_X2_IDXEN_RTN_gfx10
 4704     UINT64_C(3779878912),	// BUFFER_ATOMIC_SUB_X2_IDXEN_RTN_gfx6_gfx7
 4705     UINT64_C(3784073216),	// BUFFER_ATOMIC_SUB_X2_IDXEN_RTN_vi
 4706     UINT64_C(3779862528),	// BUFFER_ATOMIC_SUB_X2_IDXEN_gfx10
 4707     UINT64_C(3779862528),	// BUFFER_ATOMIC_SUB_X2_IDXEN_gfx6_gfx7
 4708     UINT64_C(3784056832),	// BUFFER_ATOMIC_SUB_X2_IDXEN_vi
 4709     UINT64_C(3779874816),	// BUFFER_ATOMIC_SUB_X2_OFFEN_RTN_gfx10
 4710     UINT64_C(3779874816),	// BUFFER_ATOMIC_SUB_X2_OFFEN_RTN_gfx6_gfx7
 4711     UINT64_C(3784069120),	// BUFFER_ATOMIC_SUB_X2_OFFEN_RTN_vi
 4712     UINT64_C(3779858432),	// BUFFER_ATOMIC_SUB_X2_OFFEN_gfx10
 4713     UINT64_C(3779858432),	// BUFFER_ATOMIC_SUB_X2_OFFEN_gfx6_gfx7
 4714     UINT64_C(3784052736),	// BUFFER_ATOMIC_SUB_X2_OFFEN_vi
 4715     UINT64_C(3779870720),	// BUFFER_ATOMIC_SUB_X2_OFFSET_RTN_gfx10
 4716     UINT64_C(3779870720),	// BUFFER_ATOMIC_SUB_X2_OFFSET_RTN_gfx6_gfx7
 4717     UINT64_C(3784065024),	// BUFFER_ATOMIC_SUB_X2_OFFSET_RTN_vi
 4718     UINT64_C(3779854336),	// BUFFER_ATOMIC_SUB_X2_OFFSET_gfx10
 4719     UINT64_C(3779854336),	// BUFFER_ATOMIC_SUB_X2_OFFSET_gfx6_gfx7
 4720     UINT64_C(3784048640),	// BUFFER_ATOMIC_SUB_X2_OFFSET_vi
 4721     UINT64_C(3770728448),	// BUFFER_ATOMIC_SWAP_ADDR64_RTN_gfx6_gfx7
 4722     UINT64_C(3770712064),	// BUFFER_ATOMIC_SWAP_ADDR64_gfx6_gfx7
 4723     UINT64_C(3770707968),	// BUFFER_ATOMIC_SWAP_BOTHEN_RTN_gfx10
 4724     UINT64_C(3770707968),	// BUFFER_ATOMIC_SWAP_BOTHEN_RTN_gfx6_gfx7
 4725     UINT64_C(3774902272),	// BUFFER_ATOMIC_SWAP_BOTHEN_RTN_vi
 4726     UINT64_C(3770691584),	// BUFFER_ATOMIC_SWAP_BOTHEN_gfx10
 4727     UINT64_C(3770691584),	// BUFFER_ATOMIC_SWAP_BOTHEN_gfx6_gfx7
 4728     UINT64_C(3774885888),	// BUFFER_ATOMIC_SWAP_BOTHEN_vi
 4729     UINT64_C(3770703872),	// BUFFER_ATOMIC_SWAP_IDXEN_RTN_gfx10
 4730     UINT64_C(3770703872),	// BUFFER_ATOMIC_SWAP_IDXEN_RTN_gfx6_gfx7
 4731     UINT64_C(3774898176),	// BUFFER_ATOMIC_SWAP_IDXEN_RTN_vi
 4732     UINT64_C(3770687488),	// BUFFER_ATOMIC_SWAP_IDXEN_gfx10
 4733     UINT64_C(3770687488),	// BUFFER_ATOMIC_SWAP_IDXEN_gfx6_gfx7
 4734     UINT64_C(3774881792),	// BUFFER_ATOMIC_SWAP_IDXEN_vi
 4735     UINT64_C(3770699776),	// BUFFER_ATOMIC_SWAP_OFFEN_RTN_gfx10
 4736     UINT64_C(3770699776),	// BUFFER_ATOMIC_SWAP_OFFEN_RTN_gfx6_gfx7
 4737     UINT64_C(3774894080),	// BUFFER_ATOMIC_SWAP_OFFEN_RTN_vi
 4738     UINT64_C(3770683392),	// BUFFER_ATOMIC_SWAP_OFFEN_gfx10
 4739     UINT64_C(3770683392),	// BUFFER_ATOMIC_SWAP_OFFEN_gfx6_gfx7
 4740     UINT64_C(3774877696),	// BUFFER_ATOMIC_SWAP_OFFEN_vi
 4741     UINT64_C(3770695680),	// BUFFER_ATOMIC_SWAP_OFFSET_RTN_gfx10
 4742     UINT64_C(3770695680),	// BUFFER_ATOMIC_SWAP_OFFSET_RTN_gfx6_gfx7
 4743     UINT64_C(3774889984),	// BUFFER_ATOMIC_SWAP_OFFSET_RTN_vi
 4744     UINT64_C(3770679296),	// BUFFER_ATOMIC_SWAP_OFFSET_gfx10
 4745     UINT64_C(3770679296),	// BUFFER_ATOMIC_SWAP_OFFSET_gfx6_gfx7
 4746     UINT64_C(3774873600),	// BUFFER_ATOMIC_SWAP_OFFSET_vi
 4747     UINT64_C(3779117056),	// BUFFER_ATOMIC_SWAP_X2_ADDR64_RTN_gfx6_gfx7
 4748     UINT64_C(3779100672),	// BUFFER_ATOMIC_SWAP_X2_ADDR64_gfx6_gfx7
 4749     UINT64_C(3779096576),	// BUFFER_ATOMIC_SWAP_X2_BOTHEN_RTN_gfx10
 4750     UINT64_C(3779096576),	// BUFFER_ATOMIC_SWAP_X2_BOTHEN_RTN_gfx6_gfx7
 4751     UINT64_C(3783290880),	// BUFFER_ATOMIC_SWAP_X2_BOTHEN_RTN_vi
 4752     UINT64_C(3779080192),	// BUFFER_ATOMIC_SWAP_X2_BOTHEN_gfx10
 4753     UINT64_C(3779080192),	// BUFFER_ATOMIC_SWAP_X2_BOTHEN_gfx6_gfx7
 4754     UINT64_C(3783274496),	// BUFFER_ATOMIC_SWAP_X2_BOTHEN_vi
 4755     UINT64_C(3779092480),	// BUFFER_ATOMIC_SWAP_X2_IDXEN_RTN_gfx10
 4756     UINT64_C(3779092480),	// BUFFER_ATOMIC_SWAP_X2_IDXEN_RTN_gfx6_gfx7
 4757     UINT64_C(3783286784),	// BUFFER_ATOMIC_SWAP_X2_IDXEN_RTN_vi
 4758     UINT64_C(3779076096),	// BUFFER_ATOMIC_SWAP_X2_IDXEN_gfx10
 4759     UINT64_C(3779076096),	// BUFFER_ATOMIC_SWAP_X2_IDXEN_gfx6_gfx7
 4760     UINT64_C(3783270400),	// BUFFER_ATOMIC_SWAP_X2_IDXEN_vi
 4761     UINT64_C(3779088384),	// BUFFER_ATOMIC_SWAP_X2_OFFEN_RTN_gfx10
 4762     UINT64_C(3779088384),	// BUFFER_ATOMIC_SWAP_X2_OFFEN_RTN_gfx6_gfx7
 4763     UINT64_C(3783282688),	// BUFFER_ATOMIC_SWAP_X2_OFFEN_RTN_vi
 4764     UINT64_C(3779072000),	// BUFFER_ATOMIC_SWAP_X2_OFFEN_gfx10
 4765     UINT64_C(3779072000),	// BUFFER_ATOMIC_SWAP_X2_OFFEN_gfx6_gfx7
 4766     UINT64_C(3783266304),	// BUFFER_ATOMIC_SWAP_X2_OFFEN_vi
 4767     UINT64_C(3779084288),	// BUFFER_ATOMIC_SWAP_X2_OFFSET_RTN_gfx10
 4768     UINT64_C(3779084288),	// BUFFER_ATOMIC_SWAP_X2_OFFSET_RTN_gfx6_gfx7
 4769     UINT64_C(3783278592),	// BUFFER_ATOMIC_SWAP_X2_OFFSET_RTN_vi
 4770     UINT64_C(3779067904),	// BUFFER_ATOMIC_SWAP_X2_OFFSET_gfx10
 4771     UINT64_C(3779067904),	// BUFFER_ATOMIC_SWAP_X2_OFFSET_gfx6_gfx7
 4772     UINT64_C(3783262208),	// BUFFER_ATOMIC_SWAP_X2_OFFSET_vi
 4773     UINT64_C(3772825600),	// BUFFER_ATOMIC_UMAX_ADDR64_RTN_gfx6_gfx7
 4774     UINT64_C(3772809216),	// BUFFER_ATOMIC_UMAX_ADDR64_gfx6_gfx7
 4775     UINT64_C(3772805120),	// BUFFER_ATOMIC_UMAX_BOTHEN_RTN_gfx10
 4776     UINT64_C(3772805120),	// BUFFER_ATOMIC_UMAX_BOTHEN_RTN_gfx6_gfx7
 4777     UINT64_C(3776737280),	// BUFFER_ATOMIC_UMAX_BOTHEN_RTN_vi
 4778     UINT64_C(3772788736),	// BUFFER_ATOMIC_UMAX_BOTHEN_gfx10
 4779     UINT64_C(3772788736),	// BUFFER_ATOMIC_UMAX_BOTHEN_gfx6_gfx7
 4780     UINT64_C(3776720896),	// BUFFER_ATOMIC_UMAX_BOTHEN_vi
 4781     UINT64_C(3772801024),	// BUFFER_ATOMIC_UMAX_IDXEN_RTN_gfx10
 4782     UINT64_C(3772801024),	// BUFFER_ATOMIC_UMAX_IDXEN_RTN_gfx6_gfx7
 4783     UINT64_C(3776733184),	// BUFFER_ATOMIC_UMAX_IDXEN_RTN_vi
 4784     UINT64_C(3772784640),	// BUFFER_ATOMIC_UMAX_IDXEN_gfx10
 4785     UINT64_C(3772784640),	// BUFFER_ATOMIC_UMAX_IDXEN_gfx6_gfx7
 4786     UINT64_C(3776716800),	// BUFFER_ATOMIC_UMAX_IDXEN_vi
 4787     UINT64_C(3772796928),	// BUFFER_ATOMIC_UMAX_OFFEN_RTN_gfx10
 4788     UINT64_C(3772796928),	// BUFFER_ATOMIC_UMAX_OFFEN_RTN_gfx6_gfx7
 4789     UINT64_C(3776729088),	// BUFFER_ATOMIC_UMAX_OFFEN_RTN_vi
 4790     UINT64_C(3772780544),	// BUFFER_ATOMIC_UMAX_OFFEN_gfx10
 4791     UINT64_C(3772780544),	// BUFFER_ATOMIC_UMAX_OFFEN_gfx6_gfx7
 4792     UINT64_C(3776712704),	// BUFFER_ATOMIC_UMAX_OFFEN_vi
 4793     UINT64_C(3772792832),	// BUFFER_ATOMIC_UMAX_OFFSET_RTN_gfx10
 4794     UINT64_C(3772792832),	// BUFFER_ATOMIC_UMAX_OFFSET_RTN_gfx6_gfx7
 4795     UINT64_C(3776724992),	// BUFFER_ATOMIC_UMAX_OFFSET_RTN_vi
 4796     UINT64_C(3772776448),	// BUFFER_ATOMIC_UMAX_OFFSET_gfx10
 4797     UINT64_C(3772776448),	// BUFFER_ATOMIC_UMAX_OFFSET_gfx6_gfx7
 4798     UINT64_C(3776708608),	// BUFFER_ATOMIC_UMAX_OFFSET_vi
 4799     UINT64_C(3781214208),	// BUFFER_ATOMIC_UMAX_X2_ADDR64_RTN_gfx6_gfx7
 4800     UINT64_C(3781197824),	// BUFFER_ATOMIC_UMAX_X2_ADDR64_gfx6_gfx7
 4801     UINT64_C(3781193728),	// BUFFER_ATOMIC_UMAX_X2_BOTHEN_RTN_gfx10
 4802     UINT64_C(3781193728),	// BUFFER_ATOMIC_UMAX_X2_BOTHEN_RTN_gfx6_gfx7
 4803     UINT64_C(3785125888),	// BUFFER_ATOMIC_UMAX_X2_BOTHEN_RTN_vi
 4804     UINT64_C(3781177344),	// BUFFER_ATOMIC_UMAX_X2_BOTHEN_gfx10
 4805     UINT64_C(3781177344),	// BUFFER_ATOMIC_UMAX_X2_BOTHEN_gfx6_gfx7
 4806     UINT64_C(3785109504),	// BUFFER_ATOMIC_UMAX_X2_BOTHEN_vi
 4807     UINT64_C(3781189632),	// BUFFER_ATOMIC_UMAX_X2_IDXEN_RTN_gfx10
 4808     UINT64_C(3781189632),	// BUFFER_ATOMIC_UMAX_X2_IDXEN_RTN_gfx6_gfx7
 4809     UINT64_C(3785121792),	// BUFFER_ATOMIC_UMAX_X2_IDXEN_RTN_vi
 4810     UINT64_C(3781173248),	// BUFFER_ATOMIC_UMAX_X2_IDXEN_gfx10
 4811     UINT64_C(3781173248),	// BUFFER_ATOMIC_UMAX_X2_IDXEN_gfx6_gfx7
 4812     UINT64_C(3785105408),	// BUFFER_ATOMIC_UMAX_X2_IDXEN_vi
 4813     UINT64_C(3781185536),	// BUFFER_ATOMIC_UMAX_X2_OFFEN_RTN_gfx10
 4814     UINT64_C(3781185536),	// BUFFER_ATOMIC_UMAX_X2_OFFEN_RTN_gfx6_gfx7
 4815     UINT64_C(3785117696),	// BUFFER_ATOMIC_UMAX_X2_OFFEN_RTN_vi
 4816     UINT64_C(3781169152),	// BUFFER_ATOMIC_UMAX_X2_OFFEN_gfx10
 4817     UINT64_C(3781169152),	// BUFFER_ATOMIC_UMAX_X2_OFFEN_gfx6_gfx7
 4818     UINT64_C(3785101312),	// BUFFER_ATOMIC_UMAX_X2_OFFEN_vi
 4819     UINT64_C(3781181440),	// BUFFER_ATOMIC_UMAX_X2_OFFSET_RTN_gfx10
 4820     UINT64_C(3781181440),	// BUFFER_ATOMIC_UMAX_X2_OFFSET_RTN_gfx6_gfx7
 4821     UINT64_C(3785113600),	// BUFFER_ATOMIC_UMAX_X2_OFFSET_RTN_vi
 4822     UINT64_C(3781165056),	// BUFFER_ATOMIC_UMAX_X2_OFFSET_gfx10
 4823     UINT64_C(3781165056),	// BUFFER_ATOMIC_UMAX_X2_OFFSET_gfx6_gfx7
 4824     UINT64_C(3785097216),	// BUFFER_ATOMIC_UMAX_X2_OFFSET_vi
 4825     UINT64_C(3772301312),	// BUFFER_ATOMIC_UMIN_ADDR64_RTN_gfx6_gfx7
 4826     UINT64_C(3772284928),	// BUFFER_ATOMIC_UMIN_ADDR64_gfx6_gfx7
 4827     UINT64_C(3772280832),	// BUFFER_ATOMIC_UMIN_BOTHEN_RTN_gfx10
 4828     UINT64_C(3772280832),	// BUFFER_ATOMIC_UMIN_BOTHEN_RTN_gfx6_gfx7
 4829     UINT64_C(3776212992),	// BUFFER_ATOMIC_UMIN_BOTHEN_RTN_vi
 4830     UINT64_C(3772264448),	// BUFFER_ATOMIC_UMIN_BOTHEN_gfx10
 4831     UINT64_C(3772264448),	// BUFFER_ATOMIC_UMIN_BOTHEN_gfx6_gfx7
 4832     UINT64_C(3776196608),	// BUFFER_ATOMIC_UMIN_BOTHEN_vi
 4833     UINT64_C(3772276736),	// BUFFER_ATOMIC_UMIN_IDXEN_RTN_gfx10
 4834     UINT64_C(3772276736),	// BUFFER_ATOMIC_UMIN_IDXEN_RTN_gfx6_gfx7
 4835     UINT64_C(3776208896),	// BUFFER_ATOMIC_UMIN_IDXEN_RTN_vi
 4836     UINT64_C(3772260352),	// BUFFER_ATOMIC_UMIN_IDXEN_gfx10
 4837     UINT64_C(3772260352),	// BUFFER_ATOMIC_UMIN_IDXEN_gfx6_gfx7
 4838     UINT64_C(3776192512),	// BUFFER_ATOMIC_UMIN_IDXEN_vi
 4839     UINT64_C(3772272640),	// BUFFER_ATOMIC_UMIN_OFFEN_RTN_gfx10
 4840     UINT64_C(3772272640),	// BUFFER_ATOMIC_UMIN_OFFEN_RTN_gfx6_gfx7
 4841     UINT64_C(3776204800),	// BUFFER_ATOMIC_UMIN_OFFEN_RTN_vi
 4842     UINT64_C(3772256256),	// BUFFER_ATOMIC_UMIN_OFFEN_gfx10
 4843     UINT64_C(3772256256),	// BUFFER_ATOMIC_UMIN_OFFEN_gfx6_gfx7
 4844     UINT64_C(3776188416),	// BUFFER_ATOMIC_UMIN_OFFEN_vi
 4845     UINT64_C(3772268544),	// BUFFER_ATOMIC_UMIN_OFFSET_RTN_gfx10
 4846     UINT64_C(3772268544),	// BUFFER_ATOMIC_UMIN_OFFSET_RTN_gfx6_gfx7
 4847     UINT64_C(3776200704),	// BUFFER_ATOMIC_UMIN_OFFSET_RTN_vi
 4848     UINT64_C(3772252160),	// BUFFER_ATOMIC_UMIN_OFFSET_gfx10
 4849     UINT64_C(3772252160),	// BUFFER_ATOMIC_UMIN_OFFSET_gfx6_gfx7
 4850     UINT64_C(3776184320),	// BUFFER_ATOMIC_UMIN_OFFSET_vi
 4851     UINT64_C(3780689920),	// BUFFER_ATOMIC_UMIN_X2_ADDR64_RTN_gfx6_gfx7
 4852     UINT64_C(3780673536),	// BUFFER_ATOMIC_UMIN_X2_ADDR64_gfx6_gfx7
 4853     UINT64_C(3780669440),	// BUFFER_ATOMIC_UMIN_X2_BOTHEN_RTN_gfx10
 4854     UINT64_C(3780669440),	// BUFFER_ATOMIC_UMIN_X2_BOTHEN_RTN_gfx6_gfx7
 4855     UINT64_C(3784601600),	// BUFFER_ATOMIC_UMIN_X2_BOTHEN_RTN_vi
 4856     UINT64_C(3780653056),	// BUFFER_ATOMIC_UMIN_X2_BOTHEN_gfx10
 4857     UINT64_C(3780653056),	// BUFFER_ATOMIC_UMIN_X2_BOTHEN_gfx6_gfx7
 4858     UINT64_C(3784585216),	// BUFFER_ATOMIC_UMIN_X2_BOTHEN_vi
 4859     UINT64_C(3780665344),	// BUFFER_ATOMIC_UMIN_X2_IDXEN_RTN_gfx10
 4860     UINT64_C(3780665344),	// BUFFER_ATOMIC_UMIN_X2_IDXEN_RTN_gfx6_gfx7
 4861     UINT64_C(3784597504),	// BUFFER_ATOMIC_UMIN_X2_IDXEN_RTN_vi
 4862     UINT64_C(3780648960),	// BUFFER_ATOMIC_UMIN_X2_IDXEN_gfx10
 4863     UINT64_C(3780648960),	// BUFFER_ATOMIC_UMIN_X2_IDXEN_gfx6_gfx7
 4864     UINT64_C(3784581120),	// BUFFER_ATOMIC_UMIN_X2_IDXEN_vi
 4865     UINT64_C(3780661248),	// BUFFER_ATOMIC_UMIN_X2_OFFEN_RTN_gfx10
 4866     UINT64_C(3780661248),	// BUFFER_ATOMIC_UMIN_X2_OFFEN_RTN_gfx6_gfx7
 4867     UINT64_C(3784593408),	// BUFFER_ATOMIC_UMIN_X2_OFFEN_RTN_vi
 4868     UINT64_C(3780644864),	// BUFFER_ATOMIC_UMIN_X2_OFFEN_gfx10
 4869     UINT64_C(3780644864),	// BUFFER_ATOMIC_UMIN_X2_OFFEN_gfx6_gfx7
 4870     UINT64_C(3784577024),	// BUFFER_ATOMIC_UMIN_X2_OFFEN_vi
 4871     UINT64_C(3780657152),	// BUFFER_ATOMIC_UMIN_X2_OFFSET_RTN_gfx10
 4872     UINT64_C(3780657152),	// BUFFER_ATOMIC_UMIN_X2_OFFSET_RTN_gfx6_gfx7
 4873     UINT64_C(3784589312),	// BUFFER_ATOMIC_UMIN_X2_OFFSET_RTN_vi
 4874     UINT64_C(3780640768),	// BUFFER_ATOMIC_UMIN_X2_OFFSET_gfx10
 4875     UINT64_C(3780640768),	// BUFFER_ATOMIC_UMIN_X2_OFFSET_gfx6_gfx7
 4876     UINT64_C(3784572928),	// BUFFER_ATOMIC_UMIN_X2_OFFSET_vi
 4877     UINT64_C(3773612032),	// BUFFER_ATOMIC_XOR_ADDR64_RTN_gfx6_gfx7
 4878     UINT64_C(3773595648),	// BUFFER_ATOMIC_XOR_ADDR64_gfx6_gfx7
 4879     UINT64_C(3773591552),	// BUFFER_ATOMIC_XOR_BOTHEN_RTN_gfx10
 4880     UINT64_C(3773591552),	// BUFFER_ATOMIC_XOR_BOTHEN_RTN_gfx6_gfx7
 4881     UINT64_C(3777523712),	// BUFFER_ATOMIC_XOR_BOTHEN_RTN_vi
 4882     UINT64_C(3773575168),	// BUFFER_ATOMIC_XOR_BOTHEN_gfx10
 4883     UINT64_C(3773575168),	// BUFFER_ATOMIC_XOR_BOTHEN_gfx6_gfx7
 4884     UINT64_C(3777507328),	// BUFFER_ATOMIC_XOR_BOTHEN_vi
 4885     UINT64_C(3773587456),	// BUFFER_ATOMIC_XOR_IDXEN_RTN_gfx10
 4886     UINT64_C(3773587456),	// BUFFER_ATOMIC_XOR_IDXEN_RTN_gfx6_gfx7
 4887     UINT64_C(3777519616),	// BUFFER_ATOMIC_XOR_IDXEN_RTN_vi
 4888     UINT64_C(3773571072),	// BUFFER_ATOMIC_XOR_IDXEN_gfx10
 4889     UINT64_C(3773571072),	// BUFFER_ATOMIC_XOR_IDXEN_gfx6_gfx7
 4890     UINT64_C(3777503232),	// BUFFER_ATOMIC_XOR_IDXEN_vi
 4891     UINT64_C(3773583360),	// BUFFER_ATOMIC_XOR_OFFEN_RTN_gfx10
 4892     UINT64_C(3773583360),	// BUFFER_ATOMIC_XOR_OFFEN_RTN_gfx6_gfx7
 4893     UINT64_C(3777515520),	// BUFFER_ATOMIC_XOR_OFFEN_RTN_vi
 4894     UINT64_C(3773566976),	// BUFFER_ATOMIC_XOR_OFFEN_gfx10
 4895     UINT64_C(3773566976),	// BUFFER_ATOMIC_XOR_OFFEN_gfx6_gfx7
 4896     UINT64_C(3777499136),	// BUFFER_ATOMIC_XOR_OFFEN_vi
 4897     UINT64_C(3773579264),	// BUFFER_ATOMIC_XOR_OFFSET_RTN_gfx10
 4898     UINT64_C(3773579264),	// BUFFER_ATOMIC_XOR_OFFSET_RTN_gfx6_gfx7
 4899     UINT64_C(3777511424),	// BUFFER_ATOMIC_XOR_OFFSET_RTN_vi
 4900     UINT64_C(3773562880),	// BUFFER_ATOMIC_XOR_OFFSET_gfx10
 4901     UINT64_C(3773562880),	// BUFFER_ATOMIC_XOR_OFFSET_gfx6_gfx7
 4902     UINT64_C(3777495040),	// BUFFER_ATOMIC_XOR_OFFSET_vi
 4903     UINT64_C(3782000640),	// BUFFER_ATOMIC_XOR_X2_ADDR64_RTN_gfx6_gfx7
 4904     UINT64_C(3781984256),	// BUFFER_ATOMIC_XOR_X2_ADDR64_gfx6_gfx7
 4905     UINT64_C(3781980160),	// BUFFER_ATOMIC_XOR_X2_BOTHEN_RTN_gfx10
 4906     UINT64_C(3781980160),	// BUFFER_ATOMIC_XOR_X2_BOTHEN_RTN_gfx6_gfx7
 4907     UINT64_C(3785912320),	// BUFFER_ATOMIC_XOR_X2_BOTHEN_RTN_vi
 4908     UINT64_C(3781963776),	// BUFFER_ATOMIC_XOR_X2_BOTHEN_gfx10
 4909     UINT64_C(3781963776),	// BUFFER_ATOMIC_XOR_X2_BOTHEN_gfx6_gfx7
 4910     UINT64_C(3785895936),	// BUFFER_ATOMIC_XOR_X2_BOTHEN_vi
 4911     UINT64_C(3781976064),	// BUFFER_ATOMIC_XOR_X2_IDXEN_RTN_gfx10
 4912     UINT64_C(3781976064),	// BUFFER_ATOMIC_XOR_X2_IDXEN_RTN_gfx6_gfx7
 4913     UINT64_C(3785908224),	// BUFFER_ATOMIC_XOR_X2_IDXEN_RTN_vi
 4914     UINT64_C(3781959680),	// BUFFER_ATOMIC_XOR_X2_IDXEN_gfx10
 4915     UINT64_C(3781959680),	// BUFFER_ATOMIC_XOR_X2_IDXEN_gfx6_gfx7
 4916     UINT64_C(3785891840),	// BUFFER_ATOMIC_XOR_X2_IDXEN_vi
 4917     UINT64_C(3781971968),	// BUFFER_ATOMIC_XOR_X2_OFFEN_RTN_gfx10
 4918     UINT64_C(3781971968),	// BUFFER_ATOMIC_XOR_X2_OFFEN_RTN_gfx6_gfx7
 4919     UINT64_C(3785904128),	// BUFFER_ATOMIC_XOR_X2_OFFEN_RTN_vi
 4920     UINT64_C(3781955584),	// BUFFER_ATOMIC_XOR_X2_OFFEN_gfx10
 4921     UINT64_C(3781955584),	// BUFFER_ATOMIC_XOR_X2_OFFEN_gfx6_gfx7
 4922     UINT64_C(3785887744),	// BUFFER_ATOMIC_XOR_X2_OFFEN_vi
 4923     UINT64_C(3781967872),	// BUFFER_ATOMIC_XOR_X2_OFFSET_RTN_gfx10
 4924     UINT64_C(3781967872),	// BUFFER_ATOMIC_XOR_X2_OFFSET_RTN_gfx6_gfx7
 4925     UINT64_C(3785900032),	// BUFFER_ATOMIC_XOR_X2_OFFSET_RTN_vi
 4926     UINT64_C(3781951488),	// BUFFER_ATOMIC_XOR_X2_OFFSET_gfx10
 4927     UINT64_C(3781951488),	// BUFFER_ATOMIC_XOR_X2_OFFSET_gfx6_gfx7
 4928     UINT64_C(3785883648),	// BUFFER_ATOMIC_XOR_X2_OFFSET_vi
 4929     UINT64_C(3787718656),	// BUFFER_GL0_INV_gfx10
 4930     UINT64_C(3787980800),	// BUFFER_GL1_INV_gfx10
 4931     UINT64_C(3761537024),	// BUFFER_LOAD_DWORDX2_ADDR64_gfx6_gfx7
 4932     UINT64_C(3761516544),	// BUFFER_LOAD_DWORDX2_BOTHEN_gfx10
 4933     UINT64_C(3761516544),	// BUFFER_LOAD_DWORDX2_BOTHEN_gfx6_gfx7
 4934     UINT64_C(3763613696),	// BUFFER_LOAD_DWORDX2_BOTHEN_vi
 4935     UINT64_C(3761512448),	// BUFFER_LOAD_DWORDX2_IDXEN_gfx10
 4936     UINT64_C(3761512448),	// BUFFER_LOAD_DWORDX2_IDXEN_gfx6_gfx7
 4937     UINT64_C(3763609600),	// BUFFER_LOAD_DWORDX2_IDXEN_vi
 4938     UINT64_C(3763679232),	// BUFFER_LOAD_DWORDX2_LDS_BOTHEN_vi
 4939     UINT64_C(3763675136),	// BUFFER_LOAD_DWORDX2_LDS_IDXEN_vi
 4940     UINT64_C(3763671040),	// BUFFER_LOAD_DWORDX2_LDS_OFFEN_vi
 4941     UINT64_C(3763666944),	// BUFFER_LOAD_DWORDX2_LDS_OFFSET_vi
 4942     UINT64_C(3761508352),	// BUFFER_LOAD_DWORDX2_OFFEN_gfx10
 4943     UINT64_C(3761508352),	// BUFFER_LOAD_DWORDX2_OFFEN_gfx6_gfx7
 4944     UINT64_C(3763605504),	// BUFFER_LOAD_DWORDX2_OFFEN_vi
 4945     UINT64_C(3761504256),	// BUFFER_LOAD_DWORDX2_OFFSET_gfx10
 4946     UINT64_C(3761504256),	// BUFFER_LOAD_DWORDX2_OFFSET_gfx6_gfx7
 4947     UINT64_C(3763601408),	// BUFFER_LOAD_DWORDX2_OFFSET_vi
 4948     UINT64_C(3762061312),	// BUFFER_LOAD_DWORDX3_ADDR64_gfx6_gfx7
 4949     UINT64_C(3762040832),	// BUFFER_LOAD_DWORDX3_BOTHEN_gfx10
 4950     UINT64_C(3762040832),	// BUFFER_LOAD_DWORDX3_BOTHEN_gfx6_gfx7
 4951     UINT64_C(3763875840),	// BUFFER_LOAD_DWORDX3_BOTHEN_vi
 4952     UINT64_C(3762036736),	// BUFFER_LOAD_DWORDX3_IDXEN_gfx10
 4953     UINT64_C(3762036736),	// BUFFER_LOAD_DWORDX3_IDXEN_gfx6_gfx7
 4954     UINT64_C(3763871744),	// BUFFER_LOAD_DWORDX3_IDXEN_vi
 4955     UINT64_C(3763941376),	// BUFFER_LOAD_DWORDX3_LDS_BOTHEN_vi
 4956     UINT64_C(3763937280),	// BUFFER_LOAD_DWORDX3_LDS_IDXEN_vi
 4957     UINT64_C(3763933184),	// BUFFER_LOAD_DWORDX3_LDS_OFFEN_vi
 4958     UINT64_C(3763929088),	// BUFFER_LOAD_DWORDX3_LDS_OFFSET_vi
 4959     UINT64_C(3762032640),	// BUFFER_LOAD_DWORDX3_OFFEN_gfx10
 4960     UINT64_C(3762032640),	// BUFFER_LOAD_DWORDX3_OFFEN_gfx6_gfx7
 4961     UINT64_C(3763867648),	// BUFFER_LOAD_DWORDX3_OFFEN_vi
 4962     UINT64_C(3762028544),	// BUFFER_LOAD_DWORDX3_OFFSET_gfx10
 4963     UINT64_C(3762028544),	// BUFFER_LOAD_DWORDX3_OFFSET_gfx6_gfx7
 4964     UINT64_C(3763863552),	// BUFFER_LOAD_DWORDX3_OFFSET_vi
 4965     UINT64_C(3761799168),	// BUFFER_LOAD_DWORDX4_ADDR64_gfx6_gfx7
 4966     UINT64_C(3761778688),	// BUFFER_LOAD_DWORDX4_BOTHEN_gfx10
 4967     UINT64_C(3761778688),	// BUFFER_LOAD_DWORDX4_BOTHEN_gfx6_gfx7
 4968     UINT64_C(3764137984),	// BUFFER_LOAD_DWORDX4_BOTHEN_vi
 4969     UINT64_C(3761774592),	// BUFFER_LOAD_DWORDX4_IDXEN_gfx10
 4970     UINT64_C(3761774592),	// BUFFER_LOAD_DWORDX4_IDXEN_gfx6_gfx7
 4971     UINT64_C(3764133888),	// BUFFER_LOAD_DWORDX4_IDXEN_vi
 4972     UINT64_C(3764203520),	// BUFFER_LOAD_DWORDX4_LDS_BOTHEN_vi
 4973     UINT64_C(3764199424),	// BUFFER_LOAD_DWORDX4_LDS_IDXEN_vi
 4974     UINT64_C(3764195328),	// BUFFER_LOAD_DWORDX4_LDS_OFFEN_vi
 4975     UINT64_C(3764191232),	// BUFFER_LOAD_DWORDX4_LDS_OFFSET_vi
 4976     UINT64_C(3761770496),	// BUFFER_LOAD_DWORDX4_OFFEN_gfx10
 4977     UINT64_C(3761770496),	// BUFFER_LOAD_DWORDX4_OFFEN_gfx6_gfx7
 4978     UINT64_C(3764129792),	// BUFFER_LOAD_DWORDX4_OFFEN_vi
 4979     UINT64_C(3761766400),	// BUFFER_LOAD_DWORDX4_OFFSET_gfx10
 4980     UINT64_C(3761766400),	// BUFFER_LOAD_DWORDX4_OFFSET_gfx6_gfx7
 4981     UINT64_C(3764125696),	// BUFFER_LOAD_DWORDX4_OFFSET_vi
 4982     UINT64_C(3761274880),	// BUFFER_LOAD_DWORD_ADDR64_gfx6_gfx7
 4983     UINT64_C(3761254400),	// BUFFER_LOAD_DWORD_BOTHEN_gfx10
 4984     UINT64_C(3761254400),	// BUFFER_LOAD_DWORD_BOTHEN_gfx6_gfx7
 4985     UINT64_C(3763351552),	// BUFFER_LOAD_DWORD_BOTHEN_vi
 4986     UINT64_C(3761250304),	// BUFFER_LOAD_DWORD_IDXEN_gfx10
 4987     UINT64_C(3761250304),	// BUFFER_LOAD_DWORD_IDXEN_gfx6_gfx7
 4988     UINT64_C(3763347456),	// BUFFER_LOAD_DWORD_IDXEN_vi
 4989     UINT64_C(3761340416),	// BUFFER_LOAD_DWORD_LDS_ADDR64_gfx6_gfx7
 4990     UINT64_C(3761319936),	// BUFFER_LOAD_DWORD_LDS_BOTHEN_gfx10
 4991     UINT64_C(3761319936),	// BUFFER_LOAD_DWORD_LDS_BOTHEN_gfx6_gfx7
 4992     UINT64_C(3763417088),	// BUFFER_LOAD_DWORD_LDS_BOTHEN_vi
 4993     UINT64_C(3761315840),	// BUFFER_LOAD_DWORD_LDS_IDXEN_gfx10
 4994     UINT64_C(3761315840),	// BUFFER_LOAD_DWORD_LDS_IDXEN_gfx6_gfx7
 4995     UINT64_C(3763412992),	// BUFFER_LOAD_DWORD_LDS_IDXEN_vi
 4996     UINT64_C(3761311744),	// BUFFER_LOAD_DWORD_LDS_OFFEN_gfx10
 4997     UINT64_C(3761311744),	// BUFFER_LOAD_DWORD_LDS_OFFEN_gfx6_gfx7
 4998     UINT64_C(3763408896),	// BUFFER_LOAD_DWORD_LDS_OFFEN_vi
 4999     UINT64_C(3761307648),	// BUFFER_LOAD_DWORD_LDS_OFFSET_gfx10
 5000     UINT64_C(3761307648),	// BUFFER_LOAD_DWORD_LDS_OFFSET_gfx6_gfx7
 5001     UINT64_C(3763404800),	// BUFFER_LOAD_DWORD_LDS_OFFSET_vi
 5002     UINT64_C(3761246208),	// BUFFER_LOAD_DWORD_OFFEN_gfx10
 5003     UINT64_C(3761246208),	// BUFFER_LOAD_DWORD_OFFEN_gfx6_gfx7
 5004     UINT64_C(3763343360),	// BUFFER_LOAD_DWORD_OFFEN_vi
 5005     UINT64_C(3761242112),	// BUFFER_LOAD_DWORD_OFFSET_gfx10
 5006     UINT64_C(3761242112),	// BUFFER_LOAD_DWORD_OFFSET_gfx6_gfx7
 5007     UINT64_C(3763339264),	// BUFFER_LOAD_DWORD_OFFSET_vi
 5008     UINT64_C(3768070144),	// BUFFER_LOAD_FORMAT_D16_HI_X_BOTHEN_vi
 5009     UINT64_C(3768066048),	// BUFFER_LOAD_FORMAT_D16_HI_X_IDXEN_vi
 5010     UINT64_C(3768061952),	// BUFFER_LOAD_FORMAT_D16_HI_X_OFFEN_vi
 5011     UINT64_C(3768057856),	// BUFFER_LOAD_FORMAT_D16_HI_X_OFFSET_vi
 5012     UINT64_C(3792449536),	// BUFFER_LOAD_FORMAT_D16_XYZW_BOTHEN_gfx10
 5013     UINT64_C(3760992256),	// BUFFER_LOAD_FORMAT_D16_XYZW_BOTHEN_vi
 5014     UINT64_C(3792445440),	// BUFFER_LOAD_FORMAT_D16_XYZW_IDXEN_gfx10
 5015     UINT64_C(3760988160),	// BUFFER_LOAD_FORMAT_D16_XYZW_IDXEN_vi
 5016     UINT64_C(3792441344),	// BUFFER_LOAD_FORMAT_D16_XYZW_OFFEN_gfx10
 5017     UINT64_C(3760984064),	// BUFFER_LOAD_FORMAT_D16_XYZW_OFFEN_vi
 5018     UINT64_C(3792437248),	// BUFFER_LOAD_FORMAT_D16_XYZW_OFFSET_gfx10
 5019     UINT64_C(3760979968),	// BUFFER_LOAD_FORMAT_D16_XYZW_OFFSET_vi
 5020     UINT64_C(3760992256),	// BUFFER_LOAD_FORMAT_D16_XYZW_gfx80_BOTHEN_gfx80
 5021     UINT64_C(3760988160),	// BUFFER_LOAD_FORMAT_D16_XYZW_gfx80_IDXEN_gfx80
 5022     UINT64_C(3760984064),	// BUFFER_LOAD_FORMAT_D16_XYZW_gfx80_OFFEN_gfx80
 5023     UINT64_C(3760979968),	// BUFFER_LOAD_FORMAT_D16_XYZW_gfx80_OFFSET_gfx80
 5024     UINT64_C(3792187392),	// BUFFER_LOAD_FORMAT_D16_XYZ_BOTHEN_gfx10
 5025     UINT64_C(3760730112),	// BUFFER_LOAD_FORMAT_D16_XYZ_BOTHEN_vi
 5026     UINT64_C(3792183296),	// BUFFER_LOAD_FORMAT_D16_XYZ_IDXEN_gfx10
 5027     UINT64_C(3760726016),	// BUFFER_LOAD_FORMAT_D16_XYZ_IDXEN_vi
 5028     UINT64_C(3792179200),	// BUFFER_LOAD_FORMAT_D16_XYZ_OFFEN_gfx10
 5029     UINT64_C(3760721920),	// BUFFER_LOAD_FORMAT_D16_XYZ_OFFEN_vi
 5030     UINT64_C(3792175104),	// BUFFER_LOAD_FORMAT_D16_XYZ_OFFSET_gfx10
 5031     UINT64_C(3760717824),	// BUFFER_LOAD_FORMAT_D16_XYZ_OFFSET_vi
 5032     UINT64_C(3760730112),	// BUFFER_LOAD_FORMAT_D16_XYZ_gfx80_BOTHEN_gfx80
 5033     UINT64_C(3760726016),	// BUFFER_LOAD_FORMAT_D16_XYZ_gfx80_IDXEN_gfx80
 5034     UINT64_C(3760721920),	// BUFFER_LOAD_FORMAT_D16_XYZ_gfx80_OFFEN_gfx80
 5035     UINT64_C(3760717824),	// BUFFER_LOAD_FORMAT_D16_XYZ_gfx80_OFFSET_gfx80
 5036     UINT64_C(3791925248),	// BUFFER_LOAD_FORMAT_D16_XY_BOTHEN_gfx10
 5037     UINT64_C(3760467968),	// BUFFER_LOAD_FORMAT_D16_XY_BOTHEN_vi
 5038     UINT64_C(3791921152),	// BUFFER_LOAD_FORMAT_D16_XY_IDXEN_gfx10
 5039     UINT64_C(3760463872),	// BUFFER_LOAD_FORMAT_D16_XY_IDXEN_vi
 5040     UINT64_C(3791917056),	// BUFFER_LOAD_FORMAT_D16_XY_OFFEN_gfx10
 5041     UINT64_C(3760459776),	// BUFFER_LOAD_FORMAT_D16_XY_OFFEN_vi
 5042     UINT64_C(3791912960),	// BUFFER_LOAD_FORMAT_D16_XY_OFFSET_gfx10
 5043     UINT64_C(3760455680),	// BUFFER_LOAD_FORMAT_D16_XY_OFFSET_vi
 5044     UINT64_C(3760467968),	// BUFFER_LOAD_FORMAT_D16_XY_gfx80_BOTHEN_gfx80
 5045     UINT64_C(3760463872),	// BUFFER_LOAD_FORMAT_D16_XY_gfx80_IDXEN_gfx80
 5046     UINT64_C(3760459776),	// BUFFER_LOAD_FORMAT_D16_XY_gfx80_OFFEN_gfx80
 5047     UINT64_C(3760455680),	// BUFFER_LOAD_FORMAT_D16_XY_gfx80_OFFSET_gfx80
 5048     UINT64_C(3791663104),	// BUFFER_LOAD_FORMAT_D16_X_BOTHEN_gfx10
 5049     UINT64_C(3760205824),	// BUFFER_LOAD_FORMAT_D16_X_BOTHEN_vi
 5050     UINT64_C(3791659008),	// BUFFER_LOAD_FORMAT_D16_X_IDXEN_gfx10
 5051     UINT64_C(3760201728),	// BUFFER_LOAD_FORMAT_D16_X_IDXEN_vi
 5052     UINT64_C(3791654912),	// BUFFER_LOAD_FORMAT_D16_X_OFFEN_gfx10
 5053     UINT64_C(3760197632),	// BUFFER_LOAD_FORMAT_D16_X_OFFEN_vi
 5054     UINT64_C(3791650816),	// BUFFER_LOAD_FORMAT_D16_X_OFFSET_gfx10
 5055     UINT64_C(3760193536),	// BUFFER_LOAD_FORMAT_D16_X_OFFSET_vi
 5056     UINT64_C(3760205824),	// BUFFER_LOAD_FORMAT_D16_X_gfx80_BOTHEN_gfx80
 5057     UINT64_C(3760201728),	// BUFFER_LOAD_FORMAT_D16_X_gfx80_IDXEN_gfx80
 5058     UINT64_C(3760197632),	// BUFFER_LOAD_FORMAT_D16_X_gfx80_OFFEN_gfx80
 5059     UINT64_C(3760193536),	// BUFFER_LOAD_FORMAT_D16_X_gfx80_OFFSET_gfx80
 5060     UINT64_C(3758915584),	// BUFFER_LOAD_FORMAT_XYZW_ADDR64_gfx6_gfx7
 5061     UINT64_C(3758895104),	// BUFFER_LOAD_FORMAT_XYZW_BOTHEN_gfx10
 5062     UINT64_C(3758895104),	// BUFFER_LOAD_FORMAT_XYZW_BOTHEN_gfx6_gfx7
 5063     UINT64_C(3758895104),	// BUFFER_LOAD_FORMAT_XYZW_BOTHEN_vi
 5064     UINT64_C(3758891008),	// BUFFER_LOAD_FORMAT_XYZW_IDXEN_gfx10
 5065     UINT64_C(3758891008),	// BUFFER_LOAD_FORMAT_XYZW_IDXEN_gfx6_gfx7
 5066     UINT64_C(3758891008),	// BUFFER_LOAD_FORMAT_XYZW_IDXEN_vi
 5067     UINT64_C(3758886912),	// BUFFER_LOAD_FORMAT_XYZW_OFFEN_gfx10
 5068     UINT64_C(3758886912),	// BUFFER_LOAD_FORMAT_XYZW_OFFEN_gfx6_gfx7
 5069     UINT64_C(3758886912),	// BUFFER_LOAD_FORMAT_XYZW_OFFEN_vi
 5070     UINT64_C(3758882816),	// BUFFER_LOAD_FORMAT_XYZW_OFFSET_gfx10
 5071     UINT64_C(3758882816),	// BUFFER_LOAD_FORMAT_XYZW_OFFSET_gfx6_gfx7
 5072     UINT64_C(3758882816),	// BUFFER_LOAD_FORMAT_XYZW_OFFSET_vi
 5073     UINT64_C(3758653440),	// BUFFER_LOAD_FORMAT_XYZ_ADDR64_gfx6_gfx7
 5074     UINT64_C(3758632960),	// BUFFER_LOAD_FORMAT_XYZ_BOTHEN_gfx10
 5075     UINT64_C(3758632960),	// BUFFER_LOAD_FORMAT_XYZ_BOTHEN_gfx6_gfx7
 5076     UINT64_C(3758632960),	// BUFFER_LOAD_FORMAT_XYZ_BOTHEN_vi
 5077     UINT64_C(3758628864),	// BUFFER_LOAD_FORMAT_XYZ_IDXEN_gfx10
 5078     UINT64_C(3758628864),	// BUFFER_LOAD_FORMAT_XYZ_IDXEN_gfx6_gfx7
 5079     UINT64_C(3758628864),	// BUFFER_LOAD_FORMAT_XYZ_IDXEN_vi
 5080     UINT64_C(3758624768),	// BUFFER_LOAD_FORMAT_XYZ_OFFEN_gfx10
 5081     UINT64_C(3758624768),	// BUFFER_LOAD_FORMAT_XYZ_OFFEN_gfx6_gfx7
 5082     UINT64_C(3758624768),	// BUFFER_LOAD_FORMAT_XYZ_OFFEN_vi
 5083     UINT64_C(3758620672),	// BUFFER_LOAD_FORMAT_XYZ_OFFSET_gfx10
 5084     UINT64_C(3758620672),	// BUFFER_LOAD_FORMAT_XYZ_OFFSET_gfx6_gfx7
 5085     UINT64_C(3758620672),	// BUFFER_LOAD_FORMAT_XYZ_OFFSET_vi
 5086     UINT64_C(3758391296),	// BUFFER_LOAD_FORMAT_XY_ADDR64_gfx6_gfx7
 5087     UINT64_C(3758370816),	// BUFFER_LOAD_FORMAT_XY_BOTHEN_gfx10
 5088     UINT64_C(3758370816),	// BUFFER_LOAD_FORMAT_XY_BOTHEN_gfx6_gfx7
 5089     UINT64_C(3758370816),	// BUFFER_LOAD_FORMAT_XY_BOTHEN_vi
 5090     UINT64_C(3758366720),	// BUFFER_LOAD_FORMAT_XY_IDXEN_gfx10
 5091     UINT64_C(3758366720),	// BUFFER_LOAD_FORMAT_XY_IDXEN_gfx6_gfx7
 5092     UINT64_C(3758366720),	// BUFFER_LOAD_FORMAT_XY_IDXEN_vi
 5093     UINT64_C(3758362624),	// BUFFER_LOAD_FORMAT_XY_OFFEN_gfx10
 5094     UINT64_C(3758362624),	// BUFFER_LOAD_FORMAT_XY_OFFEN_gfx6_gfx7
 5095     UINT64_C(3758362624),	// BUFFER_LOAD_FORMAT_XY_OFFEN_vi
 5096     UINT64_C(3758358528),	// BUFFER_LOAD_FORMAT_XY_OFFSET_gfx10
 5097     UINT64_C(3758358528),	// BUFFER_LOAD_FORMAT_XY_OFFSET_gfx6_gfx7
 5098     UINT64_C(3758358528),	// BUFFER_LOAD_FORMAT_XY_OFFSET_vi
 5099     UINT64_C(3758129152),	// BUFFER_LOAD_FORMAT_X_ADDR64_gfx6_gfx7
 5100     UINT64_C(3758108672),	// BUFFER_LOAD_FORMAT_X_BOTHEN_gfx10
 5101     UINT64_C(3758108672),	// BUFFER_LOAD_FORMAT_X_BOTHEN_gfx6_gfx7
 5102     UINT64_C(3758108672),	// BUFFER_LOAD_FORMAT_X_BOTHEN_vi
 5103     UINT64_C(3758104576),	// BUFFER_LOAD_FORMAT_X_IDXEN_gfx10
 5104     UINT64_C(3758104576),	// BUFFER_LOAD_FORMAT_X_IDXEN_gfx6_gfx7
 5105     UINT64_C(3758104576),	// BUFFER_LOAD_FORMAT_X_IDXEN_vi
 5106     UINT64_C(3758194688),	// BUFFER_LOAD_FORMAT_X_LDS_ADDR64_gfx6_gfx7
 5107     UINT64_C(3758174208),	// BUFFER_LOAD_FORMAT_X_LDS_BOTHEN_gfx10
 5108     UINT64_C(3758174208),	// BUFFER_LOAD_FORMAT_X_LDS_BOTHEN_gfx6_gfx7
 5109     UINT64_C(3758174208),	// BUFFER_LOAD_FORMAT_X_LDS_BOTHEN_vi
 5110     UINT64_C(3758170112),	// BUFFER_LOAD_FORMAT_X_LDS_IDXEN_gfx10
 5111     UINT64_C(3758170112),	// BUFFER_LOAD_FORMAT_X_LDS_IDXEN_gfx6_gfx7
 5112     UINT64_C(3758170112),	// BUFFER_LOAD_FORMAT_X_LDS_IDXEN_vi
 5113     UINT64_C(3758166016),	// BUFFER_LOAD_FORMAT_X_LDS_OFFEN_gfx10
 5114     UINT64_C(3758166016),	// BUFFER_LOAD_FORMAT_X_LDS_OFFEN_gfx6_gfx7
 5115     UINT64_C(3758166016),	// BUFFER_LOAD_FORMAT_X_LDS_OFFEN_vi
 5116     UINT64_C(3758161920),	// BUFFER_LOAD_FORMAT_X_LDS_OFFSET_gfx10
 5117     UINT64_C(3758161920),	// BUFFER_LOAD_FORMAT_X_LDS_OFFSET_gfx6_gfx7
 5118     UINT64_C(3758161920),	// BUFFER_LOAD_FORMAT_X_LDS_OFFSET_vi
 5119     UINT64_C(3758100480),	// BUFFER_LOAD_FORMAT_X_OFFEN_gfx10
 5120     UINT64_C(3758100480),	// BUFFER_LOAD_FORMAT_X_OFFEN_gfx6_gfx7
 5121     UINT64_C(3758100480),	// BUFFER_LOAD_FORMAT_X_OFFEN_vi
 5122     UINT64_C(3758096384),	// BUFFER_LOAD_FORMAT_X_OFFSET_gfx10
 5123     UINT64_C(3758096384),	// BUFFER_LOAD_FORMAT_X_OFFSET_gfx6_gfx7
 5124     UINT64_C(3758096384),	// BUFFER_LOAD_FORMAT_X_OFFSET_vi
 5125     UINT64_C(3760488448),	// BUFFER_LOAD_SBYTE_ADDR64_gfx6_gfx7
 5126     UINT64_C(3760467968),	// BUFFER_LOAD_SBYTE_BOTHEN_gfx10
 5127     UINT64_C(3760467968),	// BUFFER_LOAD_SBYTE_BOTHEN_gfx6_gfx7
 5128     UINT64_C(3762565120),	// BUFFER_LOAD_SBYTE_BOTHEN_vi
 5129     UINT64_C(3767021568),	// BUFFER_LOAD_SBYTE_D16_BOTHEN_gfx10
 5130     UINT64_C(3767021568),	// BUFFER_LOAD_SBYTE_D16_BOTHEN_vi
 5131     UINT64_C(3767283712),	// BUFFER_LOAD_SBYTE_D16_HI_BOTHEN_gfx10
 5132     UINT64_C(3767283712),	// BUFFER_LOAD_SBYTE_D16_HI_BOTHEN_vi
 5133     UINT64_C(3767279616),	// BUFFER_LOAD_SBYTE_D16_HI_IDXEN_gfx10
 5134     UINT64_C(3767279616),	// BUFFER_LOAD_SBYTE_D16_HI_IDXEN_vi
 5135     UINT64_C(3767275520),	// BUFFER_LOAD_SBYTE_D16_HI_OFFEN_gfx10
 5136     UINT64_C(3767275520),	// BUFFER_LOAD_SBYTE_D16_HI_OFFEN_vi
 5137     UINT64_C(3767271424),	// BUFFER_LOAD_SBYTE_D16_HI_OFFSET_gfx10
 5138     UINT64_C(3767271424),	// BUFFER_LOAD_SBYTE_D16_HI_OFFSET_vi
 5139     UINT64_C(3767017472),	// BUFFER_LOAD_SBYTE_D16_IDXEN_gfx10
 5140     UINT64_C(3767017472),	// BUFFER_LOAD_SBYTE_D16_IDXEN_vi
 5141     UINT64_C(3767013376),	// BUFFER_LOAD_SBYTE_D16_OFFEN_gfx10
 5142     UINT64_C(3767013376),	// BUFFER_LOAD_SBYTE_D16_OFFEN_vi
 5143     UINT64_C(3767009280),	// BUFFER_LOAD_SBYTE_D16_OFFSET_gfx10
 5144     UINT64_C(3767009280),	// BUFFER_LOAD_SBYTE_D16_OFFSET_vi
 5145     UINT64_C(3760463872),	// BUFFER_LOAD_SBYTE_IDXEN_gfx10
 5146     UINT64_C(3760463872),	// BUFFER_LOAD_SBYTE_IDXEN_gfx6_gfx7
 5147     UINT64_C(3762561024),	// BUFFER_LOAD_SBYTE_IDXEN_vi
 5148     UINT64_C(3760553984),	// BUFFER_LOAD_SBYTE_LDS_ADDR64_gfx6_gfx7
 5149     UINT64_C(3760533504),	// BUFFER_LOAD_SBYTE_LDS_BOTHEN_gfx10
 5150     UINT64_C(3760533504),	// BUFFER_LOAD_SBYTE_LDS_BOTHEN_gfx6_gfx7
 5151     UINT64_C(3762630656),	// BUFFER_LOAD_SBYTE_LDS_BOTHEN_vi
 5152     UINT64_C(3760529408),	// BUFFER_LOAD_SBYTE_LDS_IDXEN_gfx10
 5153     UINT64_C(3760529408),	// BUFFER_LOAD_SBYTE_LDS_IDXEN_gfx6_gfx7
 5154     UINT64_C(3762626560),	// BUFFER_LOAD_SBYTE_LDS_IDXEN_vi
 5155     UINT64_C(3760525312),	// BUFFER_LOAD_SBYTE_LDS_OFFEN_gfx10
 5156     UINT64_C(3760525312),	// BUFFER_LOAD_SBYTE_LDS_OFFEN_gfx6_gfx7
 5157     UINT64_C(3762622464),	// BUFFER_LOAD_SBYTE_LDS_OFFEN_vi
 5158     UINT64_C(3760521216),	// BUFFER_LOAD_SBYTE_LDS_OFFSET_gfx10
 5159     UINT64_C(3760521216),	// BUFFER_LOAD_SBYTE_LDS_OFFSET_gfx6_gfx7
 5160     UINT64_C(3762618368),	// BUFFER_LOAD_SBYTE_LDS_OFFSET_vi
 5161     UINT64_C(3760459776),	// BUFFER_LOAD_SBYTE_OFFEN_gfx10
 5162     UINT64_C(3760459776),	// BUFFER_LOAD_SBYTE_OFFEN_gfx6_gfx7
 5163     UINT64_C(3762556928),	// BUFFER_LOAD_SBYTE_OFFEN_vi
 5164     UINT64_C(3760455680),	// BUFFER_LOAD_SBYTE_OFFSET_gfx10
 5165     UINT64_C(3760455680),	// BUFFER_LOAD_SBYTE_OFFSET_gfx6_gfx7
 5166     UINT64_C(3762552832),	// BUFFER_LOAD_SBYTE_OFFSET_vi
 5167     UINT64_C(3767545856),	// BUFFER_LOAD_SHORT_D16_BOTHEN_gfx10
 5168     UINT64_C(3767545856),	// BUFFER_LOAD_SHORT_D16_BOTHEN_vi
 5169     UINT64_C(3767808000),	// BUFFER_LOAD_SHORT_D16_HI_BOTHEN_gfx10
 5170     UINT64_C(3767808000),	// BUFFER_LOAD_SHORT_D16_HI_BOTHEN_vi
 5171     UINT64_C(3767803904),	// BUFFER_LOAD_SHORT_D16_HI_IDXEN_gfx10
 5172     UINT64_C(3767803904),	// BUFFER_LOAD_SHORT_D16_HI_IDXEN_vi
 5173     UINT64_C(3767799808),	// BUFFER_LOAD_SHORT_D16_HI_OFFEN_gfx10
 5174     UINT64_C(3767799808),	// BUFFER_LOAD_SHORT_D16_HI_OFFEN_vi
 5175     UINT64_C(3767795712),	// BUFFER_LOAD_SHORT_D16_HI_OFFSET_gfx10
 5176     UINT64_C(3767795712),	// BUFFER_LOAD_SHORT_D16_HI_OFFSET_vi
 5177     UINT64_C(3767541760),	// BUFFER_LOAD_SHORT_D16_IDXEN_gfx10
 5178     UINT64_C(3767541760),	// BUFFER_LOAD_SHORT_D16_IDXEN_vi
 5179     UINT64_C(3767537664),	// BUFFER_LOAD_SHORT_D16_OFFEN_gfx10
 5180     UINT64_C(3767537664),	// BUFFER_LOAD_SHORT_D16_OFFEN_vi
 5181     UINT64_C(3767533568),	// BUFFER_LOAD_SHORT_D16_OFFSET_gfx10
 5182     UINT64_C(3767533568),	// BUFFER_LOAD_SHORT_D16_OFFSET_vi
 5183     UINT64_C(3761012736),	// BUFFER_LOAD_SSHORT_ADDR64_gfx6_gfx7
 5184     UINT64_C(3760992256),	// BUFFER_LOAD_SSHORT_BOTHEN_gfx10
 5185     UINT64_C(3760992256),	// BUFFER_LOAD_SSHORT_BOTHEN_gfx6_gfx7
 5186     UINT64_C(3763089408),	// BUFFER_LOAD_SSHORT_BOTHEN_vi
 5187     UINT64_C(3760988160),	// BUFFER_LOAD_SSHORT_IDXEN_gfx10
 5188     UINT64_C(3760988160),	// BUFFER_LOAD_SSHORT_IDXEN_gfx6_gfx7
 5189     UINT64_C(3763085312),	// BUFFER_LOAD_SSHORT_IDXEN_vi
 5190     UINT64_C(3761078272),	// BUFFER_LOAD_SSHORT_LDS_ADDR64_gfx6_gfx7
 5191     UINT64_C(3761057792),	// BUFFER_LOAD_SSHORT_LDS_BOTHEN_gfx10
 5192     UINT64_C(3761057792),	// BUFFER_LOAD_SSHORT_LDS_BOTHEN_gfx6_gfx7
 5193     UINT64_C(3763154944),	// BUFFER_LOAD_SSHORT_LDS_BOTHEN_vi
 5194     UINT64_C(3761053696),	// BUFFER_LOAD_SSHORT_LDS_IDXEN_gfx10
 5195     UINT64_C(3761053696),	// BUFFER_LOAD_SSHORT_LDS_IDXEN_gfx6_gfx7
 5196     UINT64_C(3763150848),	// BUFFER_LOAD_SSHORT_LDS_IDXEN_vi
 5197     UINT64_C(3761049600),	// BUFFER_LOAD_SSHORT_LDS_OFFEN_gfx10
 5198     UINT64_C(3761049600),	// BUFFER_LOAD_SSHORT_LDS_OFFEN_gfx6_gfx7
 5199     UINT64_C(3763146752),	// BUFFER_LOAD_SSHORT_LDS_OFFEN_vi
 5200     UINT64_C(3761045504),	// BUFFER_LOAD_SSHORT_LDS_OFFSET_gfx10
 5201     UINT64_C(3761045504),	// BUFFER_LOAD_SSHORT_LDS_OFFSET_gfx6_gfx7
 5202     UINT64_C(3763142656),	// BUFFER_LOAD_SSHORT_LDS_OFFSET_vi
 5203     UINT64_C(3760984064),	// BUFFER_LOAD_SSHORT_OFFEN_gfx10
 5204     UINT64_C(3760984064),	// BUFFER_LOAD_SSHORT_OFFEN_gfx6_gfx7
 5205     UINT64_C(3763081216),	// BUFFER_LOAD_SSHORT_OFFEN_vi
 5206     UINT64_C(3760979968),	// BUFFER_LOAD_SSHORT_OFFSET_gfx10
 5207     UINT64_C(3760979968),	// BUFFER_LOAD_SSHORT_OFFSET_gfx6_gfx7
 5208     UINT64_C(3763077120),	// BUFFER_LOAD_SSHORT_OFFSET_vi
 5209     UINT64_C(3760226304),	// BUFFER_LOAD_UBYTE_ADDR64_gfx6_gfx7
 5210     UINT64_C(3760205824),	// BUFFER_LOAD_UBYTE_BOTHEN_gfx10
 5211     UINT64_C(3760205824),	// BUFFER_LOAD_UBYTE_BOTHEN_gfx6_gfx7
 5212     UINT64_C(3762302976),	// BUFFER_LOAD_UBYTE_BOTHEN_vi
 5213     UINT64_C(3766497280),	// BUFFER_LOAD_UBYTE_D16_BOTHEN_gfx10
 5214     UINT64_C(3766497280),	// BUFFER_LOAD_UBYTE_D16_BOTHEN_vi
 5215     UINT64_C(3766759424),	// BUFFER_LOAD_UBYTE_D16_HI_BOTHEN_gfx10
 5216     UINT64_C(3766759424),	// BUFFER_LOAD_UBYTE_D16_HI_BOTHEN_vi
 5217     UINT64_C(3766755328),	// BUFFER_LOAD_UBYTE_D16_HI_IDXEN_gfx10
 5218     UINT64_C(3766755328),	// BUFFER_LOAD_UBYTE_D16_HI_IDXEN_vi
 5219     UINT64_C(3766751232),	// BUFFER_LOAD_UBYTE_D16_HI_OFFEN_gfx10
 5220     UINT64_C(3766751232),	// BUFFER_LOAD_UBYTE_D16_HI_OFFEN_vi
 5221     UINT64_C(3766747136),	// BUFFER_LOAD_UBYTE_D16_HI_OFFSET_gfx10
 5222     UINT64_C(3766747136),	// BUFFER_LOAD_UBYTE_D16_HI_OFFSET_vi
 5223     UINT64_C(3766493184),	// BUFFER_LOAD_UBYTE_D16_IDXEN_gfx10
 5224     UINT64_C(3766493184),	// BUFFER_LOAD_UBYTE_D16_IDXEN_vi
 5225     UINT64_C(3766489088),	// BUFFER_LOAD_UBYTE_D16_OFFEN_gfx10
 5226     UINT64_C(3766489088),	// BUFFER_LOAD_UBYTE_D16_OFFEN_vi
 5227     UINT64_C(3766484992),	// BUFFER_LOAD_UBYTE_D16_OFFSET_gfx10
 5228     UINT64_C(3766484992),	// BUFFER_LOAD_UBYTE_D16_OFFSET_vi
 5229     UINT64_C(3760201728),	// BUFFER_LOAD_UBYTE_IDXEN_gfx10
 5230     UINT64_C(3760201728),	// BUFFER_LOAD_UBYTE_IDXEN_gfx6_gfx7
 5231     UINT64_C(3762298880),	// BUFFER_LOAD_UBYTE_IDXEN_vi
 5232     UINT64_C(3760291840),	// BUFFER_LOAD_UBYTE_LDS_ADDR64_gfx6_gfx7
 5233     UINT64_C(3760271360),	// BUFFER_LOAD_UBYTE_LDS_BOTHEN_gfx10
 5234     UINT64_C(3760271360),	// BUFFER_LOAD_UBYTE_LDS_BOTHEN_gfx6_gfx7
 5235     UINT64_C(3762368512),	// BUFFER_LOAD_UBYTE_LDS_BOTHEN_vi
 5236     UINT64_C(3760267264),	// BUFFER_LOAD_UBYTE_LDS_IDXEN_gfx10
 5237     UINT64_C(3760267264),	// BUFFER_LOAD_UBYTE_LDS_IDXEN_gfx6_gfx7
 5238     UINT64_C(3762364416),	// BUFFER_LOAD_UBYTE_LDS_IDXEN_vi
 5239     UINT64_C(3760263168),	// BUFFER_LOAD_UBYTE_LDS_OFFEN_gfx10
 5240     UINT64_C(3760263168),	// BUFFER_LOAD_UBYTE_LDS_OFFEN_gfx6_gfx7
 5241     UINT64_C(3762360320),	// BUFFER_LOAD_UBYTE_LDS_OFFEN_vi
 5242     UINT64_C(3760259072),	// BUFFER_LOAD_UBYTE_LDS_OFFSET_gfx10
 5243     UINT64_C(3760259072),	// BUFFER_LOAD_UBYTE_LDS_OFFSET_gfx6_gfx7
 5244     UINT64_C(3762356224),	// BUFFER_LOAD_UBYTE_LDS_OFFSET_vi
 5245     UINT64_C(3760197632),	// BUFFER_LOAD_UBYTE_OFFEN_gfx10
 5246     UINT64_C(3760197632),	// BUFFER_LOAD_UBYTE_OFFEN_gfx6_gfx7
 5247     UINT64_C(3762294784),	// BUFFER_LOAD_UBYTE_OFFEN_vi
 5248     UINT64_C(3760193536),	// BUFFER_LOAD_UBYTE_OFFSET_gfx10
 5249     UINT64_C(3760193536),	// BUFFER_LOAD_UBYTE_OFFSET_gfx6_gfx7
 5250     UINT64_C(3762290688),	// BUFFER_LOAD_UBYTE_OFFSET_vi
 5251     UINT64_C(3760750592),	// BUFFER_LOAD_USHORT_ADDR64_gfx6_gfx7
 5252     UINT64_C(3760730112),	// BUFFER_LOAD_USHORT_BOTHEN_gfx10
 5253     UINT64_C(3760730112),	// BUFFER_LOAD_USHORT_BOTHEN_gfx6_gfx7
 5254     UINT64_C(3762827264),	// BUFFER_LOAD_USHORT_BOTHEN_vi
 5255     UINT64_C(3760726016),	// BUFFER_LOAD_USHORT_IDXEN_gfx10
 5256     UINT64_C(3760726016),	// BUFFER_LOAD_USHORT_IDXEN_gfx6_gfx7
 5257     UINT64_C(3762823168),	// BUFFER_LOAD_USHORT_IDXEN_vi
 5258     UINT64_C(3760816128),	// BUFFER_LOAD_USHORT_LDS_ADDR64_gfx6_gfx7
 5259     UINT64_C(3760795648),	// BUFFER_LOAD_USHORT_LDS_BOTHEN_gfx10
 5260     UINT64_C(3760795648),	// BUFFER_LOAD_USHORT_LDS_BOTHEN_gfx6_gfx7
 5261     UINT64_C(3762892800),	// BUFFER_LOAD_USHORT_LDS_BOTHEN_vi
 5262     UINT64_C(3760791552),	// BUFFER_LOAD_USHORT_LDS_IDXEN_gfx10
 5263     UINT64_C(3760791552),	// BUFFER_LOAD_USHORT_LDS_IDXEN_gfx6_gfx7
 5264     UINT64_C(3762888704),	// BUFFER_LOAD_USHORT_LDS_IDXEN_vi
 5265     UINT64_C(3760787456),	// BUFFER_LOAD_USHORT_LDS_OFFEN_gfx10
 5266     UINT64_C(3760787456),	// BUFFER_LOAD_USHORT_LDS_OFFEN_gfx6_gfx7
 5267     UINT64_C(3762884608),	// BUFFER_LOAD_USHORT_LDS_OFFEN_vi
 5268     UINT64_C(3760783360),	// BUFFER_LOAD_USHORT_LDS_OFFSET_gfx10
 5269     UINT64_C(3760783360),	// BUFFER_LOAD_USHORT_LDS_OFFSET_gfx6_gfx7
 5270     UINT64_C(3762880512),	// BUFFER_LOAD_USHORT_LDS_OFFSET_vi
 5271     UINT64_C(3760721920),	// BUFFER_LOAD_USHORT_OFFEN_gfx10
 5272     UINT64_C(3760721920),	// BUFFER_LOAD_USHORT_OFFEN_gfx6_gfx7
 5273     UINT64_C(3762819072),	// BUFFER_LOAD_USHORT_OFFEN_vi
 5274     UINT64_C(3760717824),	// BUFFER_LOAD_USHORT_OFFSET_gfx10
 5275     UINT64_C(3760717824),	// BUFFER_LOAD_USHORT_OFFSET_gfx6_gfx7
 5276     UINT64_C(3762814976),	// BUFFER_LOAD_USHORT_OFFSET_vi
 5277     UINT64_C(3764420608),	// BUFFER_STORE_BYTE_ADDR64_gfx6_gfx7
 5278     UINT64_C(3764400128),	// BUFFER_STORE_BYTE_BOTHEN_gfx10
 5279     UINT64_C(3764400128),	// BUFFER_STORE_BYTE_BOTHEN_gfx6_gfx7
 5280     UINT64_C(3764400128),	// BUFFER_STORE_BYTE_BOTHEN_vi
 5281     UINT64_C(3764662272),	// BUFFER_STORE_BYTE_D16_HI_BOTHEN_gfx10
 5282     UINT64_C(3764662272),	// BUFFER_STORE_BYTE_D16_HI_BOTHEN_vi
 5283     UINT64_C(3764658176),	// BUFFER_STORE_BYTE_D16_HI_IDXEN_gfx10
 5284     UINT64_C(3764658176),	// BUFFER_STORE_BYTE_D16_HI_IDXEN_vi
 5285     UINT64_C(3764654080),	// BUFFER_STORE_BYTE_D16_HI_OFFEN_gfx10
 5286     UINT64_C(3764654080),	// BUFFER_STORE_BYTE_D16_HI_OFFEN_vi
 5287     UINT64_C(3764649984),	// BUFFER_STORE_BYTE_D16_HI_OFFSET_gfx10
 5288     UINT64_C(3764649984),	// BUFFER_STORE_BYTE_D16_HI_OFFSET_vi
 5289     UINT64_C(3764396032),	// BUFFER_STORE_BYTE_IDXEN_gfx10
 5290     UINT64_C(3764396032),	// BUFFER_STORE_BYTE_IDXEN_gfx6_gfx7
 5291     UINT64_C(3764396032),	// BUFFER_STORE_BYTE_IDXEN_vi
 5292     UINT64_C(3764391936),	// BUFFER_STORE_BYTE_OFFEN_gfx10
 5293     UINT64_C(3764391936),	// BUFFER_STORE_BYTE_OFFEN_gfx6_gfx7
 5294     UINT64_C(3764391936),	// BUFFER_STORE_BYTE_OFFEN_vi
 5295     UINT64_C(3764387840),	// BUFFER_STORE_BYTE_OFFSET_gfx10
 5296     UINT64_C(3764387840),	// BUFFER_STORE_BYTE_OFFSET_gfx6_gfx7
 5297     UINT64_C(3764387840),	// BUFFER_STORE_BYTE_OFFSET_vi
 5298     UINT64_C(3765731328),	// BUFFER_STORE_DWORDX2_ADDR64_gfx6_gfx7
 5299     UINT64_C(3765710848),	// BUFFER_STORE_DWORDX2_BOTHEN_gfx10
 5300     UINT64_C(3765710848),	// BUFFER_STORE_DWORDX2_BOTHEN_gfx6_gfx7
 5301     UINT64_C(3765710848),	// BUFFER_STORE_DWORDX2_BOTHEN_vi
 5302     UINT64_C(3765706752),	// BUFFER_STORE_DWORDX2_IDXEN_gfx10
 5303     UINT64_C(3765706752),	// BUFFER_STORE_DWORDX2_IDXEN_gfx6_gfx7
 5304     UINT64_C(3765706752),	// BUFFER_STORE_DWORDX2_IDXEN_vi
 5305     UINT64_C(3765702656),	// BUFFER_STORE_DWORDX2_OFFEN_gfx10
 5306     UINT64_C(3765702656),	// BUFFER_STORE_DWORDX2_OFFEN_gfx6_gfx7
 5307     UINT64_C(3765702656),	// BUFFER_STORE_DWORDX2_OFFEN_vi
 5308     UINT64_C(3765698560),	// BUFFER_STORE_DWORDX2_OFFSET_gfx10
 5309     UINT64_C(3765698560),	// BUFFER_STORE_DWORDX2_OFFSET_gfx6_gfx7
 5310     UINT64_C(3765698560),	// BUFFER_STORE_DWORDX2_OFFSET_vi
 5311     UINT64_C(3766255616),	// BUFFER_STORE_DWORDX3_ADDR64_gfx6_gfx7
 5312     UINT64_C(3766235136),	// BUFFER_STORE_DWORDX3_BOTHEN_gfx10
 5313     UINT64_C(3766235136),	// BUFFER_STORE_DWORDX3_BOTHEN_gfx6_gfx7
 5314     UINT64_C(3765972992),	// BUFFER_STORE_DWORDX3_BOTHEN_vi
 5315     UINT64_C(3766231040),	// BUFFER_STORE_DWORDX3_IDXEN_gfx10
 5316     UINT64_C(3766231040),	// BUFFER_STORE_DWORDX3_IDXEN_gfx6_gfx7
 5317     UINT64_C(3765968896),	// BUFFER_STORE_DWORDX3_IDXEN_vi
 5318     UINT64_C(3766226944),	// BUFFER_STORE_DWORDX3_OFFEN_gfx10
 5319     UINT64_C(3766226944),	// BUFFER_STORE_DWORDX3_OFFEN_gfx6_gfx7
 5320     UINT64_C(3765964800),	// BUFFER_STORE_DWORDX3_OFFEN_vi
 5321     UINT64_C(3766222848),	// BUFFER_STORE_DWORDX3_OFFSET_gfx10
 5322     UINT64_C(3766222848),	// BUFFER_STORE_DWORDX3_OFFSET_gfx6_gfx7
 5323     UINT64_C(3765960704),	// BUFFER_STORE_DWORDX3_OFFSET_vi
 5324     UINT64_C(3765993472),	// BUFFER_STORE_DWORDX4_ADDR64_gfx6_gfx7
 5325     UINT64_C(3765972992),	// BUFFER_STORE_DWORDX4_BOTHEN_gfx10
 5326     UINT64_C(3765972992),	// BUFFER_STORE_DWORDX4_BOTHEN_gfx6_gfx7
 5327     UINT64_C(3766235136),	// BUFFER_STORE_DWORDX4_BOTHEN_vi
 5328     UINT64_C(3765968896),	// BUFFER_STORE_DWORDX4_IDXEN_gfx10
 5329     UINT64_C(3765968896),	// BUFFER_STORE_DWORDX4_IDXEN_gfx6_gfx7
 5330     UINT64_C(3766231040),	// BUFFER_STORE_DWORDX4_IDXEN_vi
 5331     UINT64_C(3765964800),	// BUFFER_STORE_DWORDX4_OFFEN_gfx10
 5332     UINT64_C(3765964800),	// BUFFER_STORE_DWORDX4_OFFEN_gfx6_gfx7
 5333     UINT64_C(3766226944),	// BUFFER_STORE_DWORDX4_OFFEN_vi
 5334     UINT64_C(3765960704),	// BUFFER_STORE_DWORDX4_OFFSET_gfx10
 5335     UINT64_C(3765960704),	// BUFFER_STORE_DWORDX4_OFFSET_gfx6_gfx7
 5336     UINT64_C(3766222848),	// BUFFER_STORE_DWORDX4_OFFSET_vi
 5337     UINT64_C(3765469184),	// BUFFER_STORE_DWORD_ADDR64_gfx6_gfx7
 5338     UINT64_C(3765448704),	// BUFFER_STORE_DWORD_BOTHEN_gfx10
 5339     UINT64_C(3765448704),	// BUFFER_STORE_DWORD_BOTHEN_gfx6_gfx7
 5340     UINT64_C(3765448704),	// BUFFER_STORE_DWORD_BOTHEN_vi
 5341     UINT64_C(3765444608),	// BUFFER_STORE_DWORD_IDXEN_gfx10
 5342     UINT64_C(3765444608),	// BUFFER_STORE_DWORD_IDXEN_gfx6_gfx7
 5343     UINT64_C(3765444608),	// BUFFER_STORE_DWORD_IDXEN_vi
 5344     UINT64_C(3765440512),	// BUFFER_STORE_DWORD_OFFEN_gfx10
 5345     UINT64_C(3765440512),	// BUFFER_STORE_DWORD_OFFEN_gfx6_gfx7
 5346     UINT64_C(3765440512),	// BUFFER_STORE_DWORD_OFFEN_vi
 5347     UINT64_C(3765436416),	// BUFFER_STORE_DWORD_OFFSET_gfx10
 5348     UINT64_C(3765436416),	// BUFFER_STORE_DWORD_OFFSET_gfx6_gfx7
 5349     UINT64_C(3765436416),	// BUFFER_STORE_DWORD_OFFSET_vi
 5350     UINT64_C(3768332288),	// BUFFER_STORE_FORMAT_D16_HI_X_BOTHEN_vi
 5351     UINT64_C(3768328192),	// BUFFER_STORE_FORMAT_D16_HI_X_IDXEN_vi
 5352     UINT64_C(3768324096),	// BUFFER_STORE_FORMAT_D16_HI_X_OFFEN_vi
 5353     UINT64_C(3768320000),	// BUFFER_STORE_FORMAT_D16_HI_X_OFFSET_vi
 5354     UINT64_C(3793498112),	// BUFFER_STORE_FORMAT_D16_XYZW_BOTHEN_gfx10
 5355     UINT64_C(3762040832),	// BUFFER_STORE_FORMAT_D16_XYZW_BOTHEN_vi
 5356     UINT64_C(3793494016),	// BUFFER_STORE_FORMAT_D16_XYZW_IDXEN_gfx10
 5357     UINT64_C(3762036736),	// BUFFER_STORE_FORMAT_D16_XYZW_IDXEN_vi
 5358     UINT64_C(3793489920),	// BUFFER_STORE_FORMAT_D16_XYZW_OFFEN_gfx10
 5359     UINT64_C(3762032640),	// BUFFER_STORE_FORMAT_D16_XYZW_OFFEN_vi
 5360     UINT64_C(3793485824),	// BUFFER_STORE_FORMAT_D16_XYZW_OFFSET_gfx10
 5361     UINT64_C(3762028544),	// BUFFER_STORE_FORMAT_D16_XYZW_OFFSET_vi
 5362     UINT64_C(3762040832),	// BUFFER_STORE_FORMAT_D16_XYZW_gfx80_BOTHEN_gfx80
 5363     UINT64_C(3762036736),	// BUFFER_STORE_FORMAT_D16_XYZW_gfx80_IDXEN_gfx80
 5364     UINT64_C(3762032640),	// BUFFER_STORE_FORMAT_D16_XYZW_gfx80_OFFEN_gfx80
 5365     UINT64_C(3762028544),	// BUFFER_STORE_FORMAT_D16_XYZW_gfx80_OFFSET_gfx80
 5366     UINT64_C(3793235968),	// BUFFER_STORE_FORMAT_D16_XYZ_BOTHEN_gfx10
 5367     UINT64_C(3761778688),	// BUFFER_STORE_FORMAT_D16_XYZ_BOTHEN_vi
 5368     UINT64_C(3793231872),	// BUFFER_STORE_FORMAT_D16_XYZ_IDXEN_gfx10
 5369     UINT64_C(3761774592),	// BUFFER_STORE_FORMAT_D16_XYZ_IDXEN_vi
 5370     UINT64_C(3793227776),	// BUFFER_STORE_FORMAT_D16_XYZ_OFFEN_gfx10
 5371     UINT64_C(3761770496),	// BUFFER_STORE_FORMAT_D16_XYZ_OFFEN_vi
 5372     UINT64_C(3793223680),	// BUFFER_STORE_FORMAT_D16_XYZ_OFFSET_gfx10
 5373     UINT64_C(3761766400),	// BUFFER_STORE_FORMAT_D16_XYZ_OFFSET_vi
 5374     UINT64_C(3761778688),	// BUFFER_STORE_FORMAT_D16_XYZ_gfx80_BOTHEN_gfx80
 5375     UINT64_C(3761774592),	// BUFFER_STORE_FORMAT_D16_XYZ_gfx80_IDXEN_gfx80
 5376     UINT64_C(3761770496),	// BUFFER_STORE_FORMAT_D16_XYZ_gfx80_OFFEN_gfx80
 5377     UINT64_C(3761766400),	// BUFFER_STORE_FORMAT_D16_XYZ_gfx80_OFFSET_gfx80
 5378     UINT64_C(3792973824),	// BUFFER_STORE_FORMAT_D16_XY_BOTHEN_gfx10
 5379     UINT64_C(3761516544),	// BUFFER_STORE_FORMAT_D16_XY_BOTHEN_vi
 5380     UINT64_C(3792969728),	// BUFFER_STORE_FORMAT_D16_XY_IDXEN_gfx10
 5381     UINT64_C(3761512448),	// BUFFER_STORE_FORMAT_D16_XY_IDXEN_vi
 5382     UINT64_C(3792965632),	// BUFFER_STORE_FORMAT_D16_XY_OFFEN_gfx10
 5383     UINT64_C(3761508352),	// BUFFER_STORE_FORMAT_D16_XY_OFFEN_vi
 5384     UINT64_C(3792961536),	// BUFFER_STORE_FORMAT_D16_XY_OFFSET_gfx10
 5385     UINT64_C(3761504256),	// BUFFER_STORE_FORMAT_D16_XY_OFFSET_vi
 5386     UINT64_C(3761516544),	// BUFFER_STORE_FORMAT_D16_XY_gfx80_BOTHEN_gfx80
 5387     UINT64_C(3761512448),	// BUFFER_STORE_FORMAT_D16_XY_gfx80_IDXEN_gfx80
 5388     UINT64_C(3761508352),	// BUFFER_STORE_FORMAT_D16_XY_gfx80_OFFEN_gfx80
 5389     UINT64_C(3761504256),	// BUFFER_STORE_FORMAT_D16_XY_gfx80_OFFSET_gfx80
 5390     UINT64_C(3792711680),	// BUFFER_STORE_FORMAT_D16_X_BOTHEN_gfx10
 5391     UINT64_C(3761254400),	// BUFFER_STORE_FORMAT_D16_X_BOTHEN_vi
 5392     UINT64_C(3792707584),	// BUFFER_STORE_FORMAT_D16_X_IDXEN_gfx10
 5393     UINT64_C(3761250304),	// BUFFER_STORE_FORMAT_D16_X_IDXEN_vi
 5394     UINT64_C(3792703488),	// BUFFER_STORE_FORMAT_D16_X_OFFEN_gfx10
 5395     UINT64_C(3761246208),	// BUFFER_STORE_FORMAT_D16_X_OFFEN_vi
 5396     UINT64_C(3792699392),	// BUFFER_STORE_FORMAT_D16_X_OFFSET_gfx10
 5397     UINT64_C(3761242112),	// BUFFER_STORE_FORMAT_D16_X_OFFSET_vi
 5398     UINT64_C(3761254400),	// BUFFER_STORE_FORMAT_D16_X_gfx80_BOTHEN_gfx80
 5399     UINT64_C(3761250304),	// BUFFER_STORE_FORMAT_D16_X_gfx80_IDXEN_gfx80
 5400     UINT64_C(3761246208),	// BUFFER_STORE_FORMAT_D16_X_gfx80_OFFEN_gfx80
 5401     UINT64_C(3761242112),	// BUFFER_STORE_FORMAT_D16_X_gfx80_OFFSET_gfx80
 5402     UINT64_C(3759964160),	// BUFFER_STORE_FORMAT_XYZW_ADDR64_gfx6_gfx7
 5403     UINT64_C(3759943680),	// BUFFER_STORE_FORMAT_XYZW_BOTHEN_gfx10
 5404     UINT64_C(3759943680),	// BUFFER_STORE_FORMAT_XYZW_BOTHEN_gfx6_gfx7
 5405     UINT64_C(3759943680),	// BUFFER_STORE_FORMAT_XYZW_BOTHEN_vi
 5406     UINT64_C(3759939584),	// BUFFER_STORE_FORMAT_XYZW_IDXEN_gfx10
 5407     UINT64_C(3759939584),	// BUFFER_STORE_FORMAT_XYZW_IDXEN_gfx6_gfx7
 5408     UINT64_C(3759939584),	// BUFFER_STORE_FORMAT_XYZW_IDXEN_vi
 5409     UINT64_C(3759935488),	// BUFFER_STORE_FORMAT_XYZW_OFFEN_gfx10
 5410     UINT64_C(3759935488),	// BUFFER_STORE_FORMAT_XYZW_OFFEN_gfx6_gfx7
 5411     UINT64_C(3759935488),	// BUFFER_STORE_FORMAT_XYZW_OFFEN_vi
 5412     UINT64_C(3759931392),	// BUFFER_STORE_FORMAT_XYZW_OFFSET_gfx10
 5413     UINT64_C(3759931392),	// BUFFER_STORE_FORMAT_XYZW_OFFSET_gfx6_gfx7
 5414     UINT64_C(3759931392),	// BUFFER_STORE_FORMAT_XYZW_OFFSET_vi
 5415     UINT64_C(3759702016),	// BUFFER_STORE_FORMAT_XYZ_ADDR64_gfx6_gfx7
 5416     UINT64_C(3759681536),	// BUFFER_STORE_FORMAT_XYZ_BOTHEN_gfx10
 5417     UINT64_C(3759681536),	// BUFFER_STORE_FORMAT_XYZ_BOTHEN_gfx6_gfx7
 5418     UINT64_C(3759681536),	// BUFFER_STORE_FORMAT_XYZ_BOTHEN_vi
 5419     UINT64_C(3759677440),	// BUFFER_STORE_FORMAT_XYZ_IDXEN_gfx10
 5420     UINT64_C(3759677440),	// BUFFER_STORE_FORMAT_XYZ_IDXEN_gfx6_gfx7
 5421     UINT64_C(3759677440),	// BUFFER_STORE_FORMAT_XYZ_IDXEN_vi
 5422     UINT64_C(3759673344),	// BUFFER_STORE_FORMAT_XYZ_OFFEN_gfx10
 5423     UINT64_C(3759673344),	// BUFFER_STORE_FORMAT_XYZ_OFFEN_gfx6_gfx7
 5424     UINT64_C(3759673344),	// BUFFER_STORE_FORMAT_XYZ_OFFEN_vi
 5425     UINT64_C(3759669248),	// BUFFER_STORE_FORMAT_XYZ_OFFSET_gfx10
 5426     UINT64_C(3759669248),	// BUFFER_STORE_FORMAT_XYZ_OFFSET_gfx6_gfx7
 5427     UINT64_C(3759669248),	// BUFFER_STORE_FORMAT_XYZ_OFFSET_vi
 5428     UINT64_C(3759439872),	// BUFFER_STORE_FORMAT_XY_ADDR64_gfx6_gfx7
 5429     UINT64_C(3759419392),	// BUFFER_STORE_FORMAT_XY_BOTHEN_gfx10
 5430     UINT64_C(3759419392),	// BUFFER_STORE_FORMAT_XY_BOTHEN_gfx6_gfx7
 5431     UINT64_C(3759419392),	// BUFFER_STORE_FORMAT_XY_BOTHEN_vi
 5432     UINT64_C(3759415296),	// BUFFER_STORE_FORMAT_XY_IDXEN_gfx10
 5433     UINT64_C(3759415296),	// BUFFER_STORE_FORMAT_XY_IDXEN_gfx6_gfx7
 5434     UINT64_C(3759415296),	// BUFFER_STORE_FORMAT_XY_IDXEN_vi
 5435     UINT64_C(3759411200),	// BUFFER_STORE_FORMAT_XY_OFFEN_gfx10
 5436     UINT64_C(3759411200),	// BUFFER_STORE_FORMAT_XY_OFFEN_gfx6_gfx7
 5437     UINT64_C(3759411200),	// BUFFER_STORE_FORMAT_XY_OFFEN_vi
 5438     UINT64_C(3759407104),	// BUFFER_STORE_FORMAT_XY_OFFSET_gfx10
 5439     UINT64_C(3759407104),	// BUFFER_STORE_FORMAT_XY_OFFSET_gfx6_gfx7
 5440     UINT64_C(3759407104),	// BUFFER_STORE_FORMAT_XY_OFFSET_vi
 5441     UINT64_C(3759177728),	// BUFFER_STORE_FORMAT_X_ADDR64_gfx6_gfx7
 5442     UINT64_C(3759157248),	// BUFFER_STORE_FORMAT_X_BOTHEN_gfx10
 5443     UINT64_C(3759157248),	// BUFFER_STORE_FORMAT_X_BOTHEN_gfx6_gfx7
 5444     UINT64_C(3759157248),	// BUFFER_STORE_FORMAT_X_BOTHEN_vi
 5445     UINT64_C(3759153152),	// BUFFER_STORE_FORMAT_X_IDXEN_gfx10
 5446     UINT64_C(3759153152),	// BUFFER_STORE_FORMAT_X_IDXEN_gfx6_gfx7
 5447     UINT64_C(3759153152),	// BUFFER_STORE_FORMAT_X_IDXEN_vi
 5448     UINT64_C(3759149056),	// BUFFER_STORE_FORMAT_X_OFFEN_gfx10
 5449     UINT64_C(3759149056),	// BUFFER_STORE_FORMAT_X_OFFEN_gfx6_gfx7
 5450     UINT64_C(3759149056),	// BUFFER_STORE_FORMAT_X_OFFEN_vi
 5451     UINT64_C(3759144960),	// BUFFER_STORE_FORMAT_X_OFFSET_gfx10
 5452     UINT64_C(3759144960),	// BUFFER_STORE_FORMAT_X_OFFSET_gfx6_gfx7
 5453     UINT64_C(3759144960),	// BUFFER_STORE_FORMAT_X_OFFSET_vi
 5454     UINT64_C(3774152704),	// BUFFER_STORE_LDS_DWORD_vi
 5455     UINT64_C(3764944896),	// BUFFER_STORE_SHORT_ADDR64_gfx6_gfx7
 5456     UINT64_C(3764924416),	// BUFFER_STORE_SHORT_BOTHEN_gfx10
 5457     UINT64_C(3764924416),	// BUFFER_STORE_SHORT_BOTHEN_gfx6_gfx7
 5458     UINT64_C(3764924416),	// BUFFER_STORE_SHORT_BOTHEN_vi
 5459     UINT64_C(3765186560),	// BUFFER_STORE_SHORT_D16_HI_BOTHEN_gfx10
 5460     UINT64_C(3765186560),	// BUFFER_STORE_SHORT_D16_HI_BOTHEN_vi
 5461     UINT64_C(3765182464),	// BUFFER_STORE_SHORT_D16_HI_IDXEN_gfx10
 5462     UINT64_C(3765182464),	// BUFFER_STORE_SHORT_D16_HI_IDXEN_vi
 5463     UINT64_C(3765178368),	// BUFFER_STORE_SHORT_D16_HI_OFFEN_gfx10
 5464     UINT64_C(3765178368),	// BUFFER_STORE_SHORT_D16_HI_OFFEN_vi
 5465     UINT64_C(3765174272),	// BUFFER_STORE_SHORT_D16_HI_OFFSET_gfx10
 5466     UINT64_C(3765174272),	// BUFFER_STORE_SHORT_D16_HI_OFFSET_vi
 5467     UINT64_C(3764920320),	// BUFFER_STORE_SHORT_IDXEN_gfx10
 5468     UINT64_C(3764920320),	// BUFFER_STORE_SHORT_IDXEN_gfx6_gfx7
 5469     UINT64_C(3764920320),	// BUFFER_STORE_SHORT_IDXEN_vi
 5470     UINT64_C(3764916224),	// BUFFER_STORE_SHORT_OFFEN_gfx10
 5471     UINT64_C(3764916224),	// BUFFER_STORE_SHORT_OFFEN_gfx6_gfx7
 5472     UINT64_C(3764916224),	// BUFFER_STORE_SHORT_OFFEN_vi
 5473     UINT64_C(3764912128),	// BUFFER_STORE_SHORT_OFFSET_gfx10
 5474     UINT64_C(3764912128),	// BUFFER_STORE_SHORT_OFFSET_gfx6_gfx7
 5475     UINT64_C(3764912128),	// BUFFER_STORE_SHORT_OFFSET_vi
 5476     UINT64_C(3787456512),	// BUFFER_WBINVL1_SC_gfx6
 5477     UINT64_C(3787456512),	// BUFFER_WBINVL1_VOL_gfx7
 5478     UINT64_C(3774611456),	// BUFFER_WBINVL1_VOL_vi
 5479     UINT64_C(3787718656),	// BUFFER_WBINVL1_gfx6_gfx7
 5480     UINT64_C(3774349312),	// BUFFER_WBINVL1_vi
 5481     UINT64_C(3629383680),	// DS_ADD_F32_gfx10
 5482     UINT64_C(3626631168),	// DS_ADD_F32_vi
 5483     UINT64_C(3646160896),	// DS_ADD_RTN_F32_gfx10
 5484     UINT64_C(3630825472),	// DS_ADD_RTN_F32_vi
 5485     UINT64_C(3632267264),	// DS_ADD_RTN_U32_gfx10
 5486     UINT64_C(3632267264),	// DS_ADD_RTN_U32_gfx6_gfx7
 5487     UINT64_C(3628072960),	// DS_ADD_RTN_U32_vi
 5488     UINT64_C(3649044480),	// DS_ADD_RTN_U64_gfx10
 5489     UINT64_C(3649044480),	// DS_ADD_RTN_U64_gfx6_gfx7
 5490     UINT64_C(3636461568),	// DS_ADD_RTN_U64_vi
 5491     UINT64_C(3662938112),	// DS_ADD_SRC2_F32_gfx10
 5492     UINT64_C(3643408384),	// DS_ADD_SRC2_F32_vi
 5493     UINT64_C(3657433088),	// DS_ADD_SRC2_U32_gfx10
 5494     UINT64_C(3657433088),	// DS_ADD_SRC2_U32_gfx6_gfx7
 5495     UINT64_C(3640655872),	// DS_ADD_SRC2_U32_vi
 5496     UINT64_C(3674210304),	// DS_ADD_SRC2_U64_gfx10
 5497     UINT64_C(3674210304),	// DS_ADD_SRC2_U64_gfx6_gfx7
 5498     UINT64_C(3649044480),	// DS_ADD_SRC2_U64_vi
 5499     UINT64_C(3623878656),	// DS_ADD_U32_gfx10
 5500     UINT64_C(3623878656),	// DS_ADD_U32_gfx6_gfx7
 5501     UINT64_C(3623878656),	// DS_ADD_U32_vi
 5502     UINT64_C(3640655872),	// DS_ADD_U64_gfx10
 5503     UINT64_C(3640655872),	// DS_ADD_U64_gfx6_gfx7
 5504     UINT64_C(3632267264),	// DS_ADD_U64_vi
 5505     UINT64_C(3626237952),	// DS_AND_B32_gfx10
 5506     UINT64_C(3626237952),	// DS_AND_B32_gfx6_gfx7
 5507     UINT64_C(3625058304),	// DS_AND_B32_vi
 5508     UINT64_C(3643015168),	// DS_AND_B64_gfx10
 5509     UINT64_C(3643015168),	// DS_AND_B64_gfx6_gfx7
 5510     UINT64_C(3633446912),	// DS_AND_B64_vi
 5511     UINT64_C(3634626560),	// DS_AND_RTN_B32_gfx10
 5512     UINT64_C(3634626560),	// DS_AND_RTN_B32_gfx6_gfx7
 5513     UINT64_C(3629252608),	// DS_AND_RTN_B32_vi
 5514     UINT64_C(3651403776),	// DS_AND_RTN_B64_gfx10
 5515     UINT64_C(3651403776),	// DS_AND_RTN_B64_gfx6_gfx7
 5516     UINT64_C(3637641216),	// DS_AND_RTN_B64_vi
 5517     UINT64_C(3659792384),	// DS_AND_SRC2_B32_gfx10
 5518     UINT64_C(3659792384),	// DS_AND_SRC2_B32_gfx6_gfx7
 5519     UINT64_C(3641835520),	// DS_AND_SRC2_B32_vi
 5520     UINT64_C(3676569600),	// DS_AND_SRC2_B64_gfx10
 5521     UINT64_C(3676569600),	// DS_AND_SRC2_B64_gfx6_gfx7
 5522     UINT64_C(3650224128),	// DS_AND_SRC2_B64_vi
 5523     UINT64_C(3640131584),	// DS_APPEND_gfx10
 5524     UINT64_C(3640131584),	// DS_APPEND_gfx6_gfx7
 5525     UINT64_C(3648782336),	// DS_APPEND_vi
 5526     UINT64_C(3670802432),	// DS_BPERMUTE_B32_gfx10
 5527     UINT64_C(3632136192),	// DS_BPERMUTE_B32_vi
 5528     UINT64_C(3628072960),	// DS_CMPST_B32_gfx10
 5529     UINT64_C(3628072960),	// DS_CMPST_B32_gfx6_gfx7
 5530     UINT64_C(3625975808),	// DS_CMPST_B32_vi
 5531     UINT64_C(3644850176),	// DS_CMPST_B64_gfx10
 5532     UINT64_C(3644850176),	// DS_CMPST_B64_gfx6_gfx7
 5533     UINT64_C(3634364416),	// DS_CMPST_B64_vi
 5534     UINT64_C(3628335104),	// DS_CMPST_F32_gfx10
 5535     UINT64_C(3628335104),	// DS_CMPST_F32_gfx6_gfx7
 5536     UINT64_C(3626106880),	// DS_CMPST_F32_vi
 5537     UINT64_C(3645112320),	// DS_CMPST_F64_gfx10
 5538     UINT64_C(3645112320),	// DS_CMPST_F64_gfx6_gfx7
 5539     UINT64_C(3634495488),	// DS_CMPST_F64_vi
 5540     UINT64_C(3636461568),	// DS_CMPST_RTN_B32_gfx10
 5541     UINT64_C(3636461568),	// DS_CMPST_RTN_B32_gfx6_gfx7
 5542     UINT64_C(3630170112),	// DS_CMPST_RTN_B32_vi
 5543     UINT64_C(3653238784),	// DS_CMPST_RTN_B64_gfx10
 5544     UINT64_C(3653238784),	// DS_CMPST_RTN_B64_gfx6_gfx7
 5545     UINT64_C(3638558720),	// DS_CMPST_RTN_B64_vi
 5546     UINT64_C(3636723712),	// DS_CMPST_RTN_F32_gfx10
 5547     UINT64_C(3636723712),	// DS_CMPST_RTN_F32_gfx6_gfx7
 5548     UINT64_C(3630301184),	// DS_CMPST_RTN_F32_vi
 5549     UINT64_C(3653500928),	// DS_CMPST_RTN_F64_gfx10
 5550     UINT64_C(3653500928),	// DS_CMPST_RTN_F64_gfx6_gfx7
 5551     UINT64_C(3638689792),	// DS_CMPST_RTN_F64_vi
 5552     UINT64_C(3656908800),	// DS_CONDXCHG32_RTN_B64_gfx10
 5553     UINT64_C(3656908800),	// DS_CONDXCHG32_RTN_B64_gfx7
 5554     UINT64_C(3640393728),	// DS_CONDXCHG32_RTN_B64_vi
 5555     UINT64_C(3639869440),	// DS_CONSUME_gfx10
 5556     UINT64_C(3639869440),	// DS_CONSUME_gfx6_gfx7
 5557     UINT64_C(3648651264),	// DS_CONSUME_vi
 5558     UINT64_C(3633315840),	// DS_DEC_RTN_U32_gfx10
 5559     UINT64_C(3633315840),	// DS_DEC_RTN_U32_gfx6_gfx7
 5560     UINT64_C(3628597248),	// DS_DEC_RTN_U32_vi
 5561     UINT64_C(3650093056),	// DS_DEC_RTN_U64_gfx10
 5562     UINT64_C(3650093056),	// DS_DEC_RTN_U64_gfx6_gfx7
 5563     UINT64_C(3636985856),	// DS_DEC_RTN_U64_vi
 5564     UINT64_C(3658481664),	// DS_DEC_SRC2_U32_gfx10
 5565     UINT64_C(3658481664),	// DS_DEC_SRC2_U32_gfx6_gfx7
 5566     UINT64_C(3641180160),	// DS_DEC_SRC2_U32_vi
 5567     UINT64_C(3675258880),	// DS_DEC_SRC2_U64_gfx10
 5568     UINT64_C(3675258880),	// DS_DEC_SRC2_U64_gfx6_gfx7
 5569     UINT64_C(3649568768),	// DS_DEC_SRC2_U64_vi
 5570     UINT64_C(3624927232),	// DS_DEC_U32_gfx10
 5571     UINT64_C(3624927232),	// DS_DEC_U32_gfx6_gfx7
 5572     UINT64_C(3624402944),	// DS_DEC_U32_vi
 5573     UINT64_C(3641704448),	// DS_DEC_U64_gfx10
 5574     UINT64_C(3641704448),	// DS_DEC_U64_gfx6_gfx7
 5575     UINT64_C(3632791552),	// DS_DEC_U64_vi
 5576     UINT64_C(3631611904),	// DS_GWS_BARRIER_gfx10
 5577     UINT64_C(3631611904),	// DS_GWS_BARRIER_gfx6_gfx7
 5578     UINT64_C(3644522496),	// DS_GWS_BARRIER_vi
 5579     UINT64_C(3630563328),	// DS_GWS_INIT_gfx10
 5580     UINT64_C(3630563328),	// DS_GWS_INIT_gfx6_gfx7
 5581     UINT64_C(3643998208),	// DS_GWS_INIT_vi
 5582     UINT64_C(3631087616),	// DS_GWS_SEMA_BR_gfx10
 5583     UINT64_C(3631087616),	// DS_GWS_SEMA_BR_gfx6_gfx7
 5584     UINT64_C(3644260352),	// DS_GWS_SEMA_BR_vi
 5585     UINT64_C(3631349760),	// DS_GWS_SEMA_P_gfx10
 5586     UINT64_C(3631349760),	// DS_GWS_SEMA_P_gfx6_gfx7
 5587     UINT64_C(3644391424),	// DS_GWS_SEMA_P_vi
 5588     UINT64_C(3630301184),	// DS_GWS_SEMA_RELEASE_ALL_gfx10
 5589     UINT64_C(3630301184),	// DS_GWS_SEMA_RELEASE_ALL_gfx7
 5590     UINT64_C(3643867136),	// DS_GWS_SEMA_RELEASE_ALL_vi
 5591     UINT64_C(3630825472),	// DS_GWS_SEMA_V_gfx10
 5592     UINT64_C(3630825472),	// DS_GWS_SEMA_V_gfx6_gfx7
 5593     UINT64_C(3644129280),	// DS_GWS_SEMA_V_vi
 5594     UINT64_C(3633053696),	// DS_INC_RTN_U32_gfx10
 5595     UINT64_C(3633053696),	// DS_INC_RTN_U32_gfx6_gfx7
 5596     UINT64_C(3628466176),	// DS_INC_RTN_U32_vi
 5597     UINT64_C(3649830912),	// DS_INC_RTN_U64_gfx10
 5598     UINT64_C(3649830912),	// DS_INC_RTN_U64_gfx6_gfx7
 5599     UINT64_C(3636854784),	// DS_INC_RTN_U64_vi
 5600     UINT64_C(3658219520),	// DS_INC_SRC2_U32_gfx10
 5601     UINT64_C(3658219520),	// DS_INC_SRC2_U32_gfx6_gfx7
 5602     UINT64_C(3641049088),	// DS_INC_SRC2_U32_vi
 5603     UINT64_C(3674996736),	// DS_INC_SRC2_U64_gfx10
 5604     UINT64_C(3674996736),	// DS_INC_SRC2_U64_gfx6_gfx7
 5605     UINT64_C(3649437696),	// DS_INC_SRC2_U64_vi
 5606     UINT64_C(3624665088),	// DS_INC_U32_gfx10
 5607     UINT64_C(3624665088),	// DS_INC_U32_gfx6_gfx7
 5608     UINT64_C(3624271872),	// DS_INC_U32_vi
 5609     UINT64_C(3641442304),	// DS_INC_U64_gfx10
 5610     UINT64_C(3641442304),	// DS_INC_U64_gfx6_gfx7
 5611     UINT64_C(3632660480),	// DS_INC_U64_vi
 5612     UINT64_C(3628859392),	// DS_MAX_F32_gfx10
 5613     UINT64_C(3628859392),	// DS_MAX_F32_gfx6_gfx7
 5614     UINT64_C(3626369024),	// DS_MAX_F32_vi
 5615     UINT64_C(3645636608),	// DS_MAX_F64_gfx10
 5616     UINT64_C(3645636608),	// DS_MAX_F64_gfx6_gfx7
 5617     UINT64_C(3634757632),	// DS_MAX_F64_vi
 5618     UINT64_C(3625451520),	// DS_MAX_I32_gfx10
 5619     UINT64_C(3625451520),	// DS_MAX_I32_gfx6_gfx7
 5620     UINT64_C(3624665088),	// DS_MAX_I32_vi
 5621     UINT64_C(3642228736),	// DS_MAX_I64_gfx10
 5622     UINT64_C(3642228736),	// DS_MAX_I64_gfx6_gfx7
 5623     UINT64_C(3633053696),	// DS_MAX_I64_vi
 5624     UINT64_C(3637248000),	// DS_MAX_RTN_F32_gfx10
 5625     UINT64_C(3637248000),	// DS_MAX_RTN_F32_gfx6_gfx7
 5626     UINT64_C(3630563328),	// DS_MAX_RTN_F32_vi
 5627     UINT64_C(3654025216),	// DS_MAX_RTN_F64_gfx10
 5628     UINT64_C(3654025216),	// DS_MAX_RTN_F64_gfx6_gfx7
 5629     UINT64_C(3638951936),	// DS_MAX_RTN_F64_vi
 5630     UINT64_C(3633840128),	// DS_MAX_RTN_I32_gfx10
 5631     UINT64_C(3633840128),	// DS_MAX_RTN_I32_gfx6_gfx7
 5632     UINT64_C(3628859392),	// DS_MAX_RTN_I32_vi
 5633     UINT64_C(3650617344),	// DS_MAX_RTN_I64_gfx10
 5634     UINT64_C(3650617344),	// DS_MAX_RTN_I64_gfx6_gfx7
 5635     UINT64_C(3637248000),	// DS_MAX_RTN_I64_vi
 5636     UINT64_C(3634364416),	// DS_MAX_RTN_U32_gfx10
 5637     UINT64_C(3634364416),	// DS_MAX_RTN_U32_gfx6_gfx7
 5638     UINT64_C(3629121536),	// DS_MAX_RTN_U32_vi
 5639     UINT64_C(3651141632),	// DS_MAX_RTN_U64_gfx10
 5640     UINT64_C(3651141632),	// DS_MAX_RTN_U64_gfx6_gfx7
 5641     UINT64_C(3637510144),	// DS_MAX_RTN_U64_vi
 5642     UINT64_C(3662413824),	// DS_MAX_SRC2_F32_gfx10
 5643     UINT64_C(3662413824),	// DS_MAX_SRC2_F32_gfx6_gfx7
 5644     UINT64_C(3643146240),	// DS_MAX_SRC2_F32_vi
 5645     UINT64_C(3679191040),	// DS_MAX_SRC2_F64_gfx10
 5646     UINT64_C(3679191040),	// DS_MAX_SRC2_F64_gfx6_gfx7
 5647     UINT64_C(3651534848),	// DS_MAX_SRC2_F64_vi
 5648     UINT64_C(3659005952),	// DS_MAX_SRC2_I32_gfx10
 5649     UINT64_C(3659005952),	// DS_MAX_SRC2_I32_gfx6_gfx7
 5650     UINT64_C(3641442304),	// DS_MAX_SRC2_I32_vi
 5651     UINT64_C(3675783168),	// DS_MAX_SRC2_I64_gfx10
 5652     UINT64_C(3675783168),	// DS_MAX_SRC2_I64_gfx6_gfx7
 5653     UINT64_C(3649830912),	// DS_MAX_SRC2_I64_vi
 5654     UINT64_C(3659530240),	// DS_MAX_SRC2_U32_gfx10
 5655     UINT64_C(3659530240),	// DS_MAX_SRC2_U32_gfx6_gfx7
 5656     UINT64_C(3641704448),	// DS_MAX_SRC2_U32_vi
 5657     UINT64_C(3676307456),	// DS_MAX_SRC2_U64_gfx10
 5658     UINT64_C(3676307456),	// DS_MAX_SRC2_U64_gfx6_gfx7
 5659     UINT64_C(3650093056),	// DS_MAX_SRC2_U64_vi
 5660     UINT64_C(3625975808),	// DS_MAX_U32_gfx10
 5661     UINT64_C(3625975808),	// DS_MAX_U32_gfx6_gfx7
 5662     UINT64_C(3624927232),	// DS_MAX_U32_vi
 5663     UINT64_C(3642753024),	// DS_MAX_U64_gfx10
 5664     UINT64_C(3642753024),	// DS_MAX_U64_gfx6_gfx7
 5665     UINT64_C(3633315840),	// DS_MAX_U64_vi
 5666     UINT64_C(3628597248),	// DS_MIN_F32_gfx10
 5667     UINT64_C(3628597248),	// DS_MIN_F32_gfx6_gfx7
 5668     UINT64_C(3626237952),	// DS_MIN_F32_vi
 5669     UINT64_C(3645374464),	// DS_MIN_F64_gfx10
 5670     UINT64_C(3645374464),	// DS_MIN_F64_gfx6_gfx7
 5671     UINT64_C(3634626560),	// DS_MIN_F64_vi
 5672     UINT64_C(3625189376),	// DS_MIN_I32_gfx10
 5673     UINT64_C(3625189376),	// DS_MIN_I32_gfx6_gfx7
 5674     UINT64_C(3624534016),	// DS_MIN_I32_vi
 5675     UINT64_C(3641966592),	// DS_MIN_I64_gfx10
 5676     UINT64_C(3641966592),	// DS_MIN_I64_gfx6_gfx7
 5677     UINT64_C(3632922624),	// DS_MIN_I64_vi
 5678     UINT64_C(3636985856),	// DS_MIN_RTN_F32_gfx10
 5679     UINT64_C(3636985856),	// DS_MIN_RTN_F32_gfx6_gfx7
 5680     UINT64_C(3630432256),	// DS_MIN_RTN_F32_vi
 5681     UINT64_C(3653763072),	// DS_MIN_RTN_F64_gfx10
 5682     UINT64_C(3653763072),	// DS_MIN_RTN_F64_gfx6_gfx7
 5683     UINT64_C(3638820864),	// DS_MIN_RTN_F64_vi
 5684     UINT64_C(3633577984),	// DS_MIN_RTN_I32_gfx10
 5685     UINT64_C(3633577984),	// DS_MIN_RTN_I32_gfx6_gfx7
 5686     UINT64_C(3628728320),	// DS_MIN_RTN_I32_vi
 5687     UINT64_C(3650355200),	// DS_MIN_RTN_I64_gfx10
 5688     UINT64_C(3650355200),	// DS_MIN_RTN_I64_gfx6_gfx7
 5689     UINT64_C(3637116928),	// DS_MIN_RTN_I64_vi
 5690     UINT64_C(3634102272),	// DS_MIN_RTN_U32_gfx10
 5691     UINT64_C(3634102272),	// DS_MIN_RTN_U32_gfx6_gfx7
 5692     UINT64_C(3628990464),	// DS_MIN_RTN_U32_vi
 5693     UINT64_C(3650879488),	// DS_MIN_RTN_U64_gfx10
 5694     UINT64_C(3650879488),	// DS_MIN_RTN_U64_gfx6_gfx7
 5695     UINT64_C(3637379072),	// DS_MIN_RTN_U64_vi
 5696     UINT64_C(3662151680),	// DS_MIN_SRC2_F32_gfx10
 5697     UINT64_C(3662151680),	// DS_MIN_SRC2_F32_gfx6_gfx7
 5698     UINT64_C(3643015168),	// DS_MIN_SRC2_F32_vi
 5699     UINT64_C(3678928896),	// DS_MIN_SRC2_F64_gfx10
 5700     UINT64_C(3678928896),	// DS_MIN_SRC2_F64_gfx6_gfx7
 5701     UINT64_C(3651403776),	// DS_MIN_SRC2_F64_vi
 5702     UINT64_C(3658743808),	// DS_MIN_SRC2_I32_gfx10
 5703     UINT64_C(3658743808),	// DS_MIN_SRC2_I32_gfx6_gfx7
 5704     UINT64_C(3641311232),	// DS_MIN_SRC2_I32_vi
 5705     UINT64_C(3675521024),	// DS_MIN_SRC2_I64_gfx10
 5706     UINT64_C(3675521024),	// DS_MIN_SRC2_I64_gfx6_gfx7
 5707     UINT64_C(3649699840),	// DS_MIN_SRC2_I64_vi
 5708     UINT64_C(3659268096),	// DS_MIN_SRC2_U32_gfx10
 5709     UINT64_C(3659268096),	// DS_MIN_SRC2_U32_gfx6_gfx7
 5710     UINT64_C(3641573376),	// DS_MIN_SRC2_U32_vi
 5711     UINT64_C(3676045312),	// DS_MIN_SRC2_U64_gfx10
 5712     UINT64_C(3676045312),	// DS_MIN_SRC2_U64_gfx6_gfx7
 5713     UINT64_C(3649961984),	// DS_MIN_SRC2_U64_vi
 5714     UINT64_C(3625713664),	// DS_MIN_U32_gfx10
 5715     UINT64_C(3625713664),	// DS_MIN_U32_gfx6_gfx7
 5716     UINT64_C(3624796160),	// DS_MIN_U32_vi
 5717     UINT64_C(3642490880),	// DS_MIN_U64_gfx10
 5718     UINT64_C(3642490880),	// DS_MIN_U64_gfx6_gfx7
 5719     UINT64_C(3633184768),	// DS_MIN_U64_vi
 5720     UINT64_C(3627024384),	// DS_MSKOR_B32_gfx10
 5721     UINT64_C(3627024384),	// DS_MSKOR_B32_gfx6_gfx7
 5722     UINT64_C(3625451520),	// DS_MSKOR_B32_vi
 5723     UINT64_C(3643801600),	// DS_MSKOR_B64_gfx10
 5724     UINT64_C(3643801600),	// DS_MSKOR_B64_gfx6_gfx7
 5725     UINT64_C(3633840128),	// DS_MSKOR_B64_vi
 5726     UINT64_C(3635412992),	// DS_MSKOR_RTN_B32_gfx10
 5727     UINT64_C(3635412992),	// DS_MSKOR_RTN_B32_gfx6_gfx7
 5728     UINT64_C(3629645824),	// DS_MSKOR_RTN_B32_vi
 5729     UINT64_C(3652190208),	// DS_MSKOR_RTN_B64_gfx10
 5730     UINT64_C(3652190208),	// DS_MSKOR_RTN_B64_gfx6_gfx7
 5731     UINT64_C(3638034432),	// DS_MSKOR_RTN_B64_vi
 5732     UINT64_C(3629121536),	// DS_NOP_gfx10
 5733     UINT64_C(3629121536),	// DS_NOP_gfx6_gfx7
 5734     UINT64_C(3626500096),	// DS_NOP_vi
 5735     UINT64_C(3640524800),	// DS_ORDERED_COUNT_gfx10
 5736     UINT64_C(3640524800),	// DS_ORDERED_COUNT_gfx6_gfx7
 5737     UINT64_C(3648978944),	// DS_ORDERED_COUNT_vi
 5738     UINT64_C(3626500096),	// DS_OR_B32_gfx10
 5739     UINT64_C(3626500096),	// DS_OR_B32_gfx6_gfx7
 5740     UINT64_C(3625189376),	// DS_OR_B32_vi
 5741     UINT64_C(3643277312),	// DS_OR_B64_gfx10
 5742     UINT64_C(3643277312),	// DS_OR_B64_gfx6_gfx7
 5743     UINT64_C(3633577984),	// DS_OR_B64_vi
 5744     UINT64_C(3634888704),	// DS_OR_RTN_B32_gfx10
 5745     UINT64_C(3634888704),	// DS_OR_RTN_B32_gfx6_gfx7
 5746     UINT64_C(3629383680),	// DS_OR_RTN_B32_vi
 5747     UINT64_C(3651665920),	// DS_OR_RTN_B64_gfx10
 5748     UINT64_C(3651665920),	// DS_OR_RTN_B64_gfx6_gfx7
 5749     UINT64_C(3637772288),	// DS_OR_RTN_B64_vi
 5750     UINT64_C(3660054528),	// DS_OR_SRC2_B32_gfx10
 5751     UINT64_C(3660054528),	// DS_OR_SRC2_B32_gfx6_gfx7
 5752     UINT64_C(3641966592),	// DS_OR_SRC2_B32_vi
 5753     UINT64_C(3676831744),	// DS_OR_SRC2_B64_gfx10
 5754     UINT64_C(3676831744),	// DS_OR_SRC2_B64_gfx6_gfx7
 5755     UINT64_C(3650355200),	// DS_OR_SRC2_B64_vi
 5756     UINT64_C(3670540288),	// DS_PERMUTE_B32_gfx10
 5757     UINT64_C(3632005120),	// DS_PERMUTE_B32_vi
 5758     UINT64_C(3638558720),	// DS_READ2ST64_B32_gfx10
 5759     UINT64_C(3638558720),	// DS_READ2ST64_B32_gfx6_gfx7
 5760     UINT64_C(3631218688),	// DS_READ2ST64_B32_vi
 5761     UINT64_C(3655335936),	// DS_READ2ST64_B64_gfx10
 5762     UINT64_C(3655335936),	// DS_READ2ST64_B64_gfx6_gfx7
 5763     UINT64_C(3639607296),	// DS_READ2ST64_B64_vi
 5764     UINT64_C(3638296576),	// DS_READ2_B32_gfx10
 5765     UINT64_C(3638296576),	// DS_READ2_B32_gfx6_gfx7
 5766     UINT64_C(3631087616),	// DS_READ2_B32_vi
 5767     UINT64_C(3655073792),	// DS_READ2_B64_gfx10
 5768     UINT64_C(3655073792),	// DS_READ2_B64_gfx6_gfx7
 5769     UINT64_C(3639476224),	// DS_READ2_B64_vi
 5770     UINT64_C(3670278144),	// DS_READ_ADDTID_B32_gfx10
 5771     UINT64_C(3647733760),	// DS_READ_ADDTID_B32_vi
 5772     UINT64_C(3690725376),	// DS_READ_B128_gfx10
 5773     UINT64_C(3690725376),	// DS_READ_B128_gfx7
 5774     UINT64_C(3657302016),	// DS_READ_B128_vi
 5775     UINT64_C(3638034432),	// DS_READ_B32_gfx10
 5776     UINT64_C(3638034432),	// DS_READ_B32_gfx6_gfx7
 5777     UINT64_C(3630956544),	// DS_READ_B32_vi
 5778     UINT64_C(3654811648),	// DS_READ_B64_gfx10
 5779     UINT64_C(3654811648),	// DS_READ_B64_gfx6_gfx7
 5780     UINT64_C(3639345152),	// DS_READ_B64_vi
 5781     UINT64_C(3690463232),	// DS_READ_B96_gfx10
 5782     UINT64_C(3690463232),	// DS_READ_B96_gfx7
 5783     UINT64_C(3657170944),	// DS_READ_B96_vi
 5784     UINT64_C(3639345152),	// DS_READ_I16_gfx10
 5785     UINT64_C(3639345152),	// DS_READ_I16_gfx6_gfx7
 5786     UINT64_C(3631611904),	// DS_READ_I16_vi
 5787     UINT64_C(3667132416),	// DS_READ_I8_D16_HI_gfx10
 5788     UINT64_C(3635544064),	// DS_READ_I8_D16_HI_vi
 5789     UINT64_C(3666870272),	// DS_READ_I8_D16_gfx10
 5790     UINT64_C(3635412992),	// DS_READ_I8_D16_vi
 5791     UINT64_C(3638820864),	// DS_READ_I8_gfx10
 5792     UINT64_C(3638820864),	// DS_READ_I8_gfx6_gfx7
 5793     UINT64_C(3631349760),	// DS_READ_I8_vi
 5794     UINT64_C(3667656704),	// DS_READ_U16_D16_HI_gfx10
 5795     UINT64_C(3635806208),	// DS_READ_U16_D16_HI_vi
 5796     UINT64_C(3667394560),	// DS_READ_U16_D16_gfx10
 5797     UINT64_C(3635675136),	// DS_READ_U16_D16_vi
 5798     UINT64_C(3639607296),	// DS_READ_U16_gfx10
 5799     UINT64_C(3639607296),	// DS_READ_U16_gfx6_gfx7
 5800     UINT64_C(3631742976),	// DS_READ_U16_vi
 5801     UINT64_C(3666608128),	// DS_READ_U8_D16_HI_gfx10
 5802     UINT64_C(3635281920),	// DS_READ_U8_D16_HI_vi
 5803     UINT64_C(3666345984),	// DS_READ_U8_D16_gfx10
 5804     UINT64_C(3635150848),	// DS_READ_U8_D16_vi
 5805     UINT64_C(3639083008),	// DS_READ_U8_gfx10
 5806     UINT64_C(3639083008),	// DS_READ_U8_gfx6_gfx7
 5807     UINT64_C(3631480832),	// DS_READ_U8_vi
 5808     UINT64_C(3632791552),	// DS_RSUB_RTN_U32_gfx10
 5809     UINT64_C(3632791552),	// DS_RSUB_RTN_U32_gfx6_gfx7
 5810     UINT64_C(3628335104),	// DS_RSUB_RTN_U32_vi
 5811     UINT64_C(3649568768),	// DS_RSUB_RTN_U64_gfx10
 5812     UINT64_C(3649568768),	// DS_RSUB_RTN_U64_gfx6_gfx7
 5813     UINT64_C(3636723712),	// DS_RSUB_RTN_U64_vi
 5814     UINT64_C(3657957376),	// DS_RSUB_SRC2_U32_gfx10
 5815     UINT64_C(3657957376),	// DS_RSUB_SRC2_U32_gfx6_gfx7
 5816     UINT64_C(3640918016),	// DS_RSUB_SRC2_U32_vi
 5817     UINT64_C(3674734592),	// DS_RSUB_SRC2_U64_gfx10
 5818     UINT64_C(3674734592),	// DS_RSUB_SRC2_U64_gfx6_gfx7
 5819     UINT64_C(3649306624),	// DS_RSUB_SRC2_U64_vi
 5820     UINT64_C(3624402944),	// DS_RSUB_U32_gfx10
 5821     UINT64_C(3624402944),	// DS_RSUB_U32_gfx6_gfx7
 5822     UINT64_C(3624140800),	// DS_RSUB_U32_vi
 5823     UINT64_C(3641180160),	// DS_RSUB_U64_gfx10
 5824     UINT64_C(3641180160),	// DS_RSUB_U64_gfx6_gfx7
 5825     UINT64_C(3632529408),	// DS_RSUB_U64_vi
 5826     UINT64_C(3632529408),	// DS_SUB_RTN_U32_gfx10
 5827     UINT64_C(3632529408),	// DS_SUB_RTN_U32_gfx6_gfx7
 5828     UINT64_C(3628204032),	// DS_SUB_RTN_U32_vi
 5829     UINT64_C(3649306624),	// DS_SUB_RTN_U64_gfx10
 5830     UINT64_C(3649306624),	// DS_SUB_RTN_U64_gfx6_gfx7
 5831     UINT64_C(3636592640),	// DS_SUB_RTN_U64_vi
 5832     UINT64_C(3657695232),	// DS_SUB_SRC2_U32_gfx10
 5833     UINT64_C(3657695232),	// DS_SUB_SRC2_U32_gfx6_gfx7
 5834     UINT64_C(3640786944),	// DS_SUB_SRC2_U32_vi
 5835     UINT64_C(3674472448),	// DS_SUB_SRC2_U64_gfx10
 5836     UINT64_C(3674472448),	// DS_SUB_SRC2_U64_gfx6_gfx7
 5837     UINT64_C(3649175552),	// DS_SUB_SRC2_U64_vi
 5838     UINT64_C(3624140800),	// DS_SUB_U32_gfx10
 5839     UINT64_C(3624140800),	// DS_SUB_U32_gfx6_gfx7
 5840     UINT64_C(3624009728),	// DS_SUB_U32_vi
 5841     UINT64_C(3640918016),	// DS_SUB_U64_gfx10
 5842     UINT64_C(3640918016),	// DS_SUB_U64_gfx6_gfx7
 5843     UINT64_C(3632398336),	// DS_SUB_U64_vi
 5844     UINT64_C(3637772288),	// DS_SWIZZLE_B32_gfx10
 5845     UINT64_C(3637772288),	// DS_SWIZZLE_B32_gfx6_gfx7
 5846     UINT64_C(3631874048),	// DS_SWIZZLE_B32_vi
 5847     UINT64_C(3637510144),	// DS_WRAP_RTN_B32_gfx10
 5848     UINT64_C(3637510144),	// DS_WRAP_RTN_B32_gfx7
 5849     UINT64_C(3630694400),	// DS_WRAP_RTN_B32_vi
 5850     UINT64_C(3627810816),	// DS_WRITE2ST64_B32_gfx10
 5851     UINT64_C(3627810816),	// DS_WRITE2ST64_B32_gfx6_gfx7
 5852     UINT64_C(3625844736),	// DS_WRITE2ST64_B32_vi
 5853     UINT64_C(3644588032),	// DS_WRITE2ST64_B64_gfx10
 5854     UINT64_C(3644588032),	// DS_WRITE2ST64_B64_gfx6_gfx7
 5855     UINT64_C(3634233344),	// DS_WRITE2ST64_B64_vi
 5856     UINT64_C(3627548672),	// DS_WRITE2_B32_gfx10
 5857     UINT64_C(3627548672),	// DS_WRITE2_B32_gfx6_gfx7
 5858     UINT64_C(3625713664),	// DS_WRITE2_B32_vi
 5859     UINT64_C(3644325888),	// DS_WRITE2_B64_gfx10
 5860     UINT64_C(3644325888),	// DS_WRITE2_B64_gfx6_gfx7
 5861     UINT64_C(3634102272),	// DS_WRITE2_B64_vi
 5862     UINT64_C(3670016000),	// DS_WRITE_ADDTID_B32_gfx10
 5863     UINT64_C(3627679744),	// DS_WRITE_ADDTID_B32_vi
 5864     UINT64_C(3682336768),	// DS_WRITE_B128_gfx10
 5865     UINT64_C(3682336768),	// DS_WRITE_B128_gfx7
 5866     UINT64_C(3653107712),	// DS_WRITE_B128_vi
 5867     UINT64_C(3666083840),	// DS_WRITE_B16_D16_HI_gfx10
 5868     UINT64_C(3635019776),	// DS_WRITE_B16_D16_HI_vi
 5869     UINT64_C(3632005120),	// DS_WRITE_B16_gfx10
 5870     UINT64_C(3632005120),	// DS_WRITE_B16_gfx6_gfx7
 5871     UINT64_C(3627941888),	// DS_WRITE_B16_vi
 5872     UINT64_C(3627286528),	// DS_WRITE_B32_gfx10
 5873     UINT64_C(3627286528),	// DS_WRITE_B32_gfx6_gfx7
 5874     UINT64_C(3625582592),	// DS_WRITE_B32_vi
 5875     UINT64_C(3644063744),	// DS_WRITE_B64_gfx10
 5876     UINT64_C(3644063744),	// DS_WRITE_B64_gfx6_gfx7
 5877     UINT64_C(3633971200),	// DS_WRITE_B64_vi
 5878     UINT64_C(3665821696),	// DS_WRITE_B8_D16_HI_gfx10
 5879     UINT64_C(3634888704),	// DS_WRITE_B8_D16_HI_vi
 5880     UINT64_C(3631742976),	// DS_WRITE_B8_gfx10
 5881     UINT64_C(3631742976),	// DS_WRITE_B8_gfx6_gfx7
 5882     UINT64_C(3627810816),	// DS_WRITE_B8_vi
 5883     UINT64_C(3682074624),	// DS_WRITE_B96_gfx10
 5884     UINT64_C(3682074624),	// DS_WRITE_B96_gfx7
 5885     UINT64_C(3652976640),	// DS_WRITE_B96_vi
 5886     UINT64_C(3660840960),	// DS_WRITE_SRC2_B32_gfx10
 5887     UINT64_C(3660840960),	// DS_WRITE_SRC2_B32_gfx6_gfx7
 5888     UINT64_C(3642359808),	// DS_WRITE_SRC2_B32_vi
 5889     UINT64_C(3677618176),	// DS_WRITE_SRC2_B64_gfx10
 5890     UINT64_C(3677618176),	// DS_WRITE_SRC2_B64_gfx6_gfx7
 5891     UINT64_C(3650748416),	// DS_WRITE_SRC2_B64_vi
 5892     UINT64_C(3636199424),	// DS_WRXCHG2ST64_RTN_B32_gfx10
 5893     UINT64_C(3636199424),	// DS_WRXCHG2ST64_RTN_B32_gfx6_gfx7
 5894     UINT64_C(3630039040),	// DS_WRXCHG2ST64_RTN_B32_vi
 5895     UINT64_C(3652976640),	// DS_WRXCHG2ST64_RTN_B64_gfx10
 5896     UINT64_C(3652976640),	// DS_WRXCHG2ST64_RTN_B64_gfx6_gfx7
 5897     UINT64_C(3638427648),	// DS_WRXCHG2ST64_RTN_B64_vi
 5898     UINT64_C(3635937280),	// DS_WRXCHG2_RTN_B32_gfx10
 5899     UINT64_C(3635937280),	// DS_WRXCHG2_RTN_B32_gfx6_gfx7
 5900     UINT64_C(3629907968),	// DS_WRXCHG2_RTN_B32_vi
 5901     UINT64_C(3652714496),	// DS_WRXCHG2_RTN_B64_gfx10
 5902     UINT64_C(3652714496),	// DS_WRXCHG2_RTN_B64_gfx6_gfx7
 5903     UINT64_C(3638296576),	// DS_WRXCHG2_RTN_B64_vi
 5904     UINT64_C(3635675136),	// DS_WRXCHG_RTN_B32_gfx10
 5905     UINT64_C(3635675136),	// DS_WRXCHG_RTN_B32_gfx6_gfx7
 5906     UINT64_C(3629776896),	// DS_WRXCHG_RTN_B32_vi
 5907     UINT64_C(3652452352),	// DS_WRXCHG_RTN_B64_gfx10
 5908     UINT64_C(3652452352),	// DS_WRXCHG_RTN_B64_gfx6_gfx7
 5909     UINT64_C(3638165504),	// DS_WRXCHG_RTN_B64_vi
 5910     UINT64_C(3626762240),	// DS_XOR_B32_gfx10
 5911     UINT64_C(3626762240),	// DS_XOR_B32_gfx6_gfx7
 5912     UINT64_C(3625320448),	// DS_XOR_B32_vi
 5913     UINT64_C(3643539456),	// DS_XOR_B64_gfx10
 5914     UINT64_C(3643539456),	// DS_XOR_B64_gfx6_gfx7
 5915     UINT64_C(3633709056),	// DS_XOR_B64_vi
 5916     UINT64_C(3635150848),	// DS_XOR_RTN_B32_gfx10
 5917     UINT64_C(3635150848),	// DS_XOR_RTN_B32_gfx6_gfx7
 5918     UINT64_C(3629514752),	// DS_XOR_RTN_B32_vi
 5919     UINT64_C(3651928064),	// DS_XOR_RTN_B64_gfx10
 5920     UINT64_C(3651928064),	// DS_XOR_RTN_B64_gfx6_gfx7
 5921     UINT64_C(3637903360),	// DS_XOR_RTN_B64_vi
 5922     UINT64_C(3660316672),	// DS_XOR_SRC2_B32_gfx10
 5923     UINT64_C(3660316672),	// DS_XOR_SRC2_B32_gfx6_gfx7
 5924     UINT64_C(3642097664),	// DS_XOR_SRC2_B32_vi
 5925     UINT64_C(3677093888),	// DS_XOR_SRC2_B64_gfx10
 5926     UINT64_C(3677093888),	// DS_XOR_SRC2_B64_gfx6_gfx7
 5927     UINT64_C(3650486272),	// DS_XOR_SRC2_B64_vi
 5928     UINT64_C(4160751616),	// EXP_DONE_gfx10
 5929     UINT64_C(4160751616),	// EXP_DONE_si
 5930     UINT64_C(3288336384),	// EXP_DONE_vi
 5931     UINT64_C(4160749568),	// EXP_gfx10
 5932     UINT64_C(4160749568),	// EXP_si
 5933     UINT64_C(3288334336),	// EXP_vi
 5934     UINT64_C(3704160256),	// FLAT_ATOMIC_ADD_RTN_ci
 5935     UINT64_C(35184375792992256),	// FLAT_ATOMIC_ADD_RTN_gfx10
 5936     UINT64_C(3708354560),	// FLAT_ATOMIC_ADD_RTN_vi
 5937     UINT64_C(3712548864),	// FLAT_ATOMIC_ADD_X2_RTN_ci
 5938     UINT64_C(35184375801380864),	// FLAT_ATOMIC_ADD_X2_RTN_gfx10
 5939     UINT64_C(3716743168),	// FLAT_ATOMIC_ADD_X2_RTN_vi
 5940     UINT64_C(3712483328),	// FLAT_ATOMIC_ADD_X2_ci
 5941     UINT64_C(35184375801315328),	// FLAT_ATOMIC_ADD_X2_gfx10
 5942     UINT64_C(3716677632),	// FLAT_ATOMIC_ADD_X2_vi
 5943     UINT64_C(3704094720),	// FLAT_ATOMIC_ADD_ci
 5944     UINT64_C(35184375792926720),	// FLAT_ATOMIC_ADD_gfx10
 5945     UINT64_C(3708289024),	// FLAT_ATOMIC_ADD_vi
 5946     UINT64_C(3705995264),	// FLAT_ATOMIC_AND_RTN_ci
 5947     UINT64_C(35184375794827264),	// FLAT_ATOMIC_AND_RTN_gfx10
 5948     UINT64_C(3709927424),	// FLAT_ATOMIC_AND_RTN_vi
 5949     UINT64_C(3714383872),	// FLAT_ATOMIC_AND_X2_RTN_ci
 5950     UINT64_C(35184375803215872),	// FLAT_ATOMIC_AND_X2_RTN_gfx10
 5951     UINT64_C(3718316032),	// FLAT_ATOMIC_AND_X2_RTN_vi
 5952     UINT64_C(3714318336),	// FLAT_ATOMIC_AND_X2_ci
 5953     UINT64_C(35184375803150336),	// FLAT_ATOMIC_AND_X2_gfx10
 5954     UINT64_C(3718250496),	// FLAT_ATOMIC_AND_X2_vi
 5955     UINT64_C(3705929728),	// FLAT_ATOMIC_AND_ci
 5956     UINT64_C(35184375794761728),	// FLAT_ATOMIC_AND_gfx10
 5957     UINT64_C(3709861888),	// FLAT_ATOMIC_AND_vi
 5958     UINT64_C(3703898112),	// FLAT_ATOMIC_CMPSWAP_RTN_ci
 5959     UINT64_C(35184375792730112),	// FLAT_ATOMIC_CMPSWAP_RTN_gfx10
 5960     UINT64_C(3708092416),	// FLAT_ATOMIC_CMPSWAP_RTN_vi
 5961     UINT64_C(3712286720),	// FLAT_ATOMIC_CMPSWAP_X2_RTN_ci
 5962     UINT64_C(35184375801118720),	// FLAT_ATOMIC_CMPSWAP_X2_RTN_gfx10
 5963     UINT64_C(3716481024),	// FLAT_ATOMIC_CMPSWAP_X2_RTN_vi
 5964     UINT64_C(3712221184),	// FLAT_ATOMIC_CMPSWAP_X2_ci
 5965     UINT64_C(35184375801053184),	// FLAT_ATOMIC_CMPSWAP_X2_gfx10
 5966     UINT64_C(3716415488),	// FLAT_ATOMIC_CMPSWAP_X2_vi
 5967     UINT64_C(3703832576),	// FLAT_ATOMIC_CMPSWAP_ci
 5968     UINT64_C(35184375792664576),	// FLAT_ATOMIC_CMPSWAP_gfx10
 5969     UINT64_C(3708026880),	// FLAT_ATOMIC_CMPSWAP_vi
 5970     UINT64_C(3707043840),	// FLAT_ATOMIC_DEC_RTN_ci
 5971     UINT64_C(35184375795875840),	// FLAT_ATOMIC_DEC_RTN_gfx10
 5972     UINT64_C(3710976000),	// FLAT_ATOMIC_DEC_RTN_vi
 5973     UINT64_C(3715432448),	// FLAT_ATOMIC_DEC_X2_RTN_ci
 5974     UINT64_C(35184375804264448),	// FLAT_ATOMIC_DEC_X2_RTN_gfx10
 5975     UINT64_C(3719364608),	// FLAT_ATOMIC_DEC_X2_RTN_vi
 5976     UINT64_C(3715366912),	// FLAT_ATOMIC_DEC_X2_ci
 5977     UINT64_C(35184375804198912),	// FLAT_ATOMIC_DEC_X2_gfx10
 5978     UINT64_C(3719299072),	// FLAT_ATOMIC_DEC_X2_vi
 5979     UINT64_C(3706978304),	// FLAT_ATOMIC_DEC_ci
 5980     UINT64_C(35184375795810304),	// FLAT_ATOMIC_DEC_gfx10
 5981     UINT64_C(3710910464),	// FLAT_ATOMIC_DEC_vi
 5982     UINT64_C(3707305984),	// FLAT_ATOMIC_FCMPSWAP_RTN_ci
 5983     UINT64_C(35184375796137984),	// FLAT_ATOMIC_FCMPSWAP_RTN_gfx10
 5984     UINT64_C(3715694592),	// FLAT_ATOMIC_FCMPSWAP_X2_RTN_ci
 5985     UINT64_C(35184375804526592),	// FLAT_ATOMIC_FCMPSWAP_X2_RTN_gfx10
 5986     UINT64_C(3715629056),	// FLAT_ATOMIC_FCMPSWAP_X2_ci
 5987     UINT64_C(35184375804461056),	// FLAT_ATOMIC_FCMPSWAP_X2_gfx10
 5988     UINT64_C(3707240448),	// FLAT_ATOMIC_FCMPSWAP_ci
 5989     UINT64_C(35184375796072448),	// FLAT_ATOMIC_FCMPSWAP_gfx10
 5990     UINT64_C(3707830272),	// FLAT_ATOMIC_FMAX_RTN_ci
 5991     UINT64_C(35184375796662272),	// FLAT_ATOMIC_FMAX_RTN_gfx10
 5992     UINT64_C(3716218880),	// FLAT_ATOMIC_FMAX_X2_RTN_ci
 5993     UINT64_C(35184375805050880),	// FLAT_ATOMIC_FMAX_X2_RTN_gfx10
 5994     UINT64_C(3716153344),	// FLAT_ATOMIC_FMAX_X2_ci
 5995     UINT64_C(35184375804985344),	// FLAT_ATOMIC_FMAX_X2_gfx10
 5996     UINT64_C(3707764736),	// FLAT_ATOMIC_FMAX_ci
 5997     UINT64_C(35184375796596736),	// FLAT_ATOMIC_FMAX_gfx10
 5998     UINT64_C(3707568128),	// FLAT_ATOMIC_FMIN_RTN_ci
 5999     UINT64_C(35184375796400128),	// FLAT_ATOMIC_FMIN_RTN_gfx10
 6000     UINT64_C(3715956736),	// FLAT_ATOMIC_FMIN_X2_RTN_ci
 6001     UINT64_C(35184375804788736),	// FLAT_ATOMIC_FMIN_X2_RTN_gfx10
 6002     UINT64_C(3715891200),	// FLAT_ATOMIC_FMIN_X2_ci
 6003     UINT64_C(35184375804723200),	// FLAT_ATOMIC_FMIN_X2_gfx10
 6004     UINT64_C(3707502592),	// FLAT_ATOMIC_FMIN_ci
 6005     UINT64_C(35184375796334592),	// FLAT_ATOMIC_FMIN_gfx10
 6006     UINT64_C(3706781696),	// FLAT_ATOMIC_INC_RTN_ci
 6007     UINT64_C(35184375795613696),	// FLAT_ATOMIC_INC_RTN_gfx10
 6008     UINT64_C(3710713856),	// FLAT_ATOMIC_INC_RTN_vi
 6009     UINT64_C(3715170304),	// FLAT_ATOMIC_INC_X2_RTN_ci
 6010     UINT64_C(35184375804002304),	// FLAT_ATOMIC_INC_X2_RTN_gfx10
 6011     UINT64_C(3719102464),	// FLAT_ATOMIC_INC_X2_RTN_vi
 6012     UINT64_C(3715104768),	// FLAT_ATOMIC_INC_X2_ci
 6013     UINT64_C(35184375803936768),	// FLAT_ATOMIC_INC_X2_gfx10
 6014     UINT64_C(3719036928),	// FLAT_ATOMIC_INC_X2_vi
 6015     UINT64_C(3706716160),	// FLAT_ATOMIC_INC_ci
 6016     UINT64_C(35184375795548160),	// FLAT_ATOMIC_INC_gfx10
 6017     UINT64_C(3710648320),	// FLAT_ATOMIC_INC_vi
 6018     UINT64_C(3706257408),	// FLAT_ATOMIC_OR_RTN_ci
 6019     UINT64_C(35184375795089408),	// FLAT_ATOMIC_OR_RTN_gfx10
 6020     UINT64_C(3710189568),	// FLAT_ATOMIC_OR_RTN_vi
 6021     UINT64_C(3714646016),	// FLAT_ATOMIC_OR_X2_RTN_ci
 6022     UINT64_C(35184375803478016),	// FLAT_ATOMIC_OR_X2_RTN_gfx10
 6023     UINT64_C(3718578176),	// FLAT_ATOMIC_OR_X2_RTN_vi
 6024     UINT64_C(3714580480),	// FLAT_ATOMIC_OR_X2_ci
 6025     UINT64_C(35184375803412480),	// FLAT_ATOMIC_OR_X2_gfx10
 6026     UINT64_C(3718512640),	// FLAT_ATOMIC_OR_X2_vi
 6027     UINT64_C(3706191872),	// FLAT_ATOMIC_OR_ci
 6028     UINT64_C(35184375795023872),	// FLAT_ATOMIC_OR_gfx10
 6029     UINT64_C(3710124032),	// FLAT_ATOMIC_OR_vi
 6030     UINT64_C(3705470976),	// FLAT_ATOMIC_SMAX_RTN_ci
 6031     UINT64_C(35184375794302976),	// FLAT_ATOMIC_SMAX_RTN_gfx10
 6032     UINT64_C(3709403136),	// FLAT_ATOMIC_SMAX_RTN_vi
 6033     UINT64_C(3713859584),	// FLAT_ATOMIC_SMAX_X2_RTN_ci
 6034     UINT64_C(35184375802691584),	// FLAT_ATOMIC_SMAX_X2_RTN_gfx10
 6035     UINT64_C(3717791744),	// FLAT_ATOMIC_SMAX_X2_RTN_vi
 6036     UINT64_C(3713794048),	// FLAT_ATOMIC_SMAX_X2_ci
 6037     UINT64_C(35184375802626048),	// FLAT_ATOMIC_SMAX_X2_gfx10
 6038     UINT64_C(3717726208),	// FLAT_ATOMIC_SMAX_X2_vi
 6039     UINT64_C(3705405440),	// FLAT_ATOMIC_SMAX_ci
 6040     UINT64_C(35184375794237440),	// FLAT_ATOMIC_SMAX_gfx10
 6041     UINT64_C(3709337600),	// FLAT_ATOMIC_SMAX_vi
 6042     UINT64_C(3704946688),	// FLAT_ATOMIC_SMIN_RTN_ci
 6043     UINT64_C(35184375793778688),	// FLAT_ATOMIC_SMIN_RTN_gfx10
 6044     UINT64_C(3708878848),	// FLAT_ATOMIC_SMIN_RTN_vi
 6045     UINT64_C(3713335296),	// FLAT_ATOMIC_SMIN_X2_RTN_ci
 6046     UINT64_C(35184375802167296),	// FLAT_ATOMIC_SMIN_X2_RTN_gfx10
 6047     UINT64_C(3717267456),	// FLAT_ATOMIC_SMIN_X2_RTN_vi
 6048     UINT64_C(3713269760),	// FLAT_ATOMIC_SMIN_X2_ci
 6049     UINT64_C(35184375802101760),	// FLAT_ATOMIC_SMIN_X2_gfx10
 6050     UINT64_C(3717201920),	// FLAT_ATOMIC_SMIN_X2_vi
 6051     UINT64_C(3704881152),	// FLAT_ATOMIC_SMIN_ci
 6052     UINT64_C(35184375793713152),	// FLAT_ATOMIC_SMIN_gfx10
 6053     UINT64_C(3708813312),	// FLAT_ATOMIC_SMIN_vi
 6054     UINT64_C(3704422400),	// FLAT_ATOMIC_SUB_RTN_ci
 6055     UINT64_C(35184375793254400),	// FLAT_ATOMIC_SUB_RTN_gfx10
 6056     UINT64_C(3708616704),	// FLAT_ATOMIC_SUB_RTN_vi
 6057     UINT64_C(3712811008),	// FLAT_ATOMIC_SUB_X2_RTN_ci
 6058     UINT64_C(35184375801643008),	// FLAT_ATOMIC_SUB_X2_RTN_gfx10
 6059     UINT64_C(3717005312),	// FLAT_ATOMIC_SUB_X2_RTN_vi
 6060     UINT64_C(3712745472),	// FLAT_ATOMIC_SUB_X2_ci
 6061     UINT64_C(35184375801577472),	// FLAT_ATOMIC_SUB_X2_gfx10
 6062     UINT64_C(3716939776),	// FLAT_ATOMIC_SUB_X2_vi
 6063     UINT64_C(3704356864),	// FLAT_ATOMIC_SUB_ci
 6064     UINT64_C(35184375793188864),	// FLAT_ATOMIC_SUB_gfx10
 6065     UINT64_C(3708551168),	// FLAT_ATOMIC_SUB_vi
 6066     UINT64_C(3703635968),	// FLAT_ATOMIC_SWAP_RTN_ci
 6067     UINT64_C(35184375792467968),	// FLAT_ATOMIC_SWAP_RTN_gfx10
 6068     UINT64_C(3707830272),	// FLAT_ATOMIC_SWAP_RTN_vi
 6069     UINT64_C(3712024576),	// FLAT_ATOMIC_SWAP_X2_RTN_ci
 6070     UINT64_C(35184375800856576),	// FLAT_ATOMIC_SWAP_X2_RTN_gfx10
 6071     UINT64_C(3716218880),	// FLAT_ATOMIC_SWAP_X2_RTN_vi
 6072     UINT64_C(3711959040),	// FLAT_ATOMIC_SWAP_X2_ci
 6073     UINT64_C(35184375800791040),	// FLAT_ATOMIC_SWAP_X2_gfx10
 6074     UINT64_C(3716153344),	// FLAT_ATOMIC_SWAP_X2_vi
 6075     UINT64_C(3703570432),	// FLAT_ATOMIC_SWAP_ci
 6076     UINT64_C(35184375792402432),	// FLAT_ATOMIC_SWAP_gfx10
 6077     UINT64_C(3707764736),	// FLAT_ATOMIC_SWAP_vi
 6078     UINT64_C(3705733120),	// FLAT_ATOMIC_UMAX_RTN_ci
 6079     UINT64_C(35184375794565120),	// FLAT_ATOMIC_UMAX_RTN_gfx10
 6080     UINT64_C(3709665280),	// FLAT_ATOMIC_UMAX_RTN_vi
 6081     UINT64_C(3714121728),	// FLAT_ATOMIC_UMAX_X2_RTN_ci
 6082     UINT64_C(35184375802953728),	// FLAT_ATOMIC_UMAX_X2_RTN_gfx10
 6083     UINT64_C(3718053888),	// FLAT_ATOMIC_UMAX_X2_RTN_vi
 6084     UINT64_C(3714056192),	// FLAT_ATOMIC_UMAX_X2_ci
 6085     UINT64_C(35184375802888192),	// FLAT_ATOMIC_UMAX_X2_gfx10
 6086     UINT64_C(3717988352),	// FLAT_ATOMIC_UMAX_X2_vi
 6087     UINT64_C(3705667584),	// FLAT_ATOMIC_UMAX_ci
 6088     UINT64_C(35184375794499584),	// FLAT_ATOMIC_UMAX_gfx10
 6089     UINT64_C(3709599744),	// FLAT_ATOMIC_UMAX_vi
 6090     UINT64_C(3705208832),	// FLAT_ATOMIC_UMIN_RTN_ci
 6091     UINT64_C(35184375794040832),	// FLAT_ATOMIC_UMIN_RTN_gfx10
 6092     UINT64_C(3709140992),	// FLAT_ATOMIC_UMIN_RTN_vi
 6093     UINT64_C(3713597440),	// FLAT_ATOMIC_UMIN_X2_RTN_ci
 6094     UINT64_C(35184375802429440),	// FLAT_ATOMIC_UMIN_X2_RTN_gfx10
 6095     UINT64_C(3717529600),	// FLAT_ATOMIC_UMIN_X2_RTN_vi
 6096     UINT64_C(3713531904),	// FLAT_ATOMIC_UMIN_X2_ci
 6097     UINT64_C(35184375802363904),	// FLAT_ATOMIC_UMIN_X2_gfx10
 6098     UINT64_C(3717464064),	// FLAT_ATOMIC_UMIN_X2_vi
 6099     UINT64_C(3705143296),	// FLAT_ATOMIC_UMIN_ci
 6100     UINT64_C(35184375793975296),	// FLAT_ATOMIC_UMIN_gfx10
 6101     UINT64_C(3709075456),	// FLAT_ATOMIC_UMIN_vi
 6102     UINT64_C(3706519552),	// FLAT_ATOMIC_XOR_RTN_ci
 6103     UINT64_C(35184375795351552),	// FLAT_ATOMIC_XOR_RTN_gfx10
 6104     UINT64_C(3710451712),	// FLAT_ATOMIC_XOR_RTN_vi
 6105     UINT64_C(3714908160),	// FLAT_ATOMIC_XOR_X2_RTN_ci
 6106     UINT64_C(35184375803740160),	// FLAT_ATOMIC_XOR_X2_RTN_gfx10
 6107     UINT64_C(3718840320),	// FLAT_ATOMIC_XOR_X2_RTN_vi
 6108     UINT64_C(3714842624),	// FLAT_ATOMIC_XOR_X2_ci
 6109     UINT64_C(35184375803674624),	// FLAT_ATOMIC_XOR_X2_gfx10
 6110     UINT64_C(3718774784),	// FLAT_ATOMIC_XOR_X2_vi
 6111     UINT64_C(3706454016),	// FLAT_ATOMIC_XOR_ci
 6112     UINT64_C(35184375795286016),	// FLAT_ATOMIC_XOR_gfx10
 6113     UINT64_C(3710386176),	// FLAT_ATOMIC_XOR_vi
 6114     UINT64_C(3694395392),	// FLAT_LOAD_DWORDX2_ci
 6115     UINT64_C(35184375783227392),	// FLAT_LOAD_DWORDX2_gfx10
 6116     UINT64_C(3696492544),	// FLAT_LOAD_DWORDX2_vi
 6117     UINT64_C(3694919680),	// FLAT_LOAD_DWORDX3_ci
 6118     UINT64_C(35184375783751680),	// FLAT_LOAD_DWORDX3_gfx10
 6119     UINT64_C(3696754688),	// FLAT_LOAD_DWORDX3_vi
 6120     UINT64_C(3694657536),	// FLAT_LOAD_DWORDX4_ci
 6121     UINT64_C(35184375783489536),	// FLAT_LOAD_DWORDX4_gfx10
 6122     UINT64_C(3697016832),	// FLAT_LOAD_DWORDX4_vi
 6123     UINT64_C(3694133248),	// FLAT_LOAD_DWORD_ci
 6124     UINT64_C(35184375782965248),	// FLAT_LOAD_DWORD_gfx10
 6125     UINT64_C(3696230400),	// FLAT_LOAD_DWORD_vi
 6126     UINT64_C(35184375788994560),	// FLAT_LOAD_SBYTE_D16_HI_gfx10
 6127     UINT64_C(3700162560),	// FLAT_LOAD_SBYTE_D16_HI_vi
 6128     UINT64_C(35184375788732416),	// FLAT_LOAD_SBYTE_D16_gfx10
 6129     UINT64_C(3699900416),	// FLAT_LOAD_SBYTE_D16_vi
 6130     UINT64_C(3693346816),	// FLAT_LOAD_SBYTE_ci
 6131     UINT64_C(35184375782178816),	// FLAT_LOAD_SBYTE_gfx10
 6132     UINT64_C(3695443968),	// FLAT_LOAD_SBYTE_vi
 6133     UINT64_C(35184375789518848),	// FLAT_LOAD_SHORT_D16_HI_gfx10
 6134     UINT64_C(3700686848),	// FLAT_LOAD_SHORT_D16_HI_vi
 6135     UINT64_C(35184375789256704),	// FLAT_LOAD_SHORT_D16_gfx10
 6136     UINT64_C(3700424704),	// FLAT_LOAD_SHORT_D16_vi
 6137     UINT64_C(3693871104),	// FLAT_LOAD_SSHORT_ci
 6138     UINT64_C(35184375782703104),	// FLAT_LOAD_SSHORT_gfx10
 6139     UINT64_C(3695968256),	// FLAT_LOAD_SSHORT_vi
 6140     UINT64_C(35184375788470272),	// FLAT_LOAD_UBYTE_D16_HI_gfx10
 6141     UINT64_C(3699638272),	// FLAT_LOAD_UBYTE_D16_HI_vi
 6142     UINT64_C(35184375788208128),	// FLAT_LOAD_UBYTE_D16_gfx10
 6143     UINT64_C(3699376128),	// FLAT_LOAD_UBYTE_D16_vi
 6144     UINT64_C(3693084672),	// FLAT_LOAD_UBYTE_ci
 6145     UINT64_C(35184375781916672),	// FLAT_LOAD_UBYTE_gfx10
 6146     UINT64_C(3695181824),	// FLAT_LOAD_UBYTE_vi
 6147     UINT64_C(3693608960),	// FLAT_LOAD_USHORT_ci
 6148     UINT64_C(35184375782440960),	// FLAT_LOAD_USHORT_gfx10
 6149     UINT64_C(3695706112),	// FLAT_LOAD_USHORT_vi
 6150     UINT64_C(35184375786373120),	// FLAT_STORE_BYTE_D16_HI_gfx10
 6151     UINT64_C(3697541120),	// FLAT_STORE_BYTE_D16_HI_vi
 6152     UINT64_C(3697278976),	// FLAT_STORE_BYTE_ci
 6153     UINT64_C(35184375786110976),	// FLAT_STORE_BYTE_gfx10
 6154     UINT64_C(3697278976),	// FLAT_STORE_BYTE_vi
 6155     UINT64_C(3698589696),	// FLAT_STORE_DWORDX2_ci
 6156     UINT64_C(35184375787421696),	// FLAT_STORE_DWORDX2_gfx10
 6157     UINT64_C(3698589696),	// FLAT_STORE_DWORDX2_vi
 6158     UINT64_C(3699113984),	// FLAT_STORE_DWORDX3_ci
 6159     UINT64_C(35184375787945984),	// FLAT_STORE_DWORDX3_gfx10
 6160     UINT64_C(3698851840),	// FLAT_STORE_DWORDX3_vi
 6161     UINT64_C(3698851840),	// FLAT_STORE_DWORDX4_ci
 6162     UINT64_C(35184375787683840),	// FLAT_STORE_DWORDX4_gfx10
 6163     UINT64_C(3699113984),	// FLAT_STORE_DWORDX4_vi
 6164     UINT64_C(3698327552),	// FLAT_STORE_DWORD_ci
 6165     UINT64_C(35184375787159552),	// FLAT_STORE_DWORD_gfx10
 6166     UINT64_C(3698327552),	// FLAT_STORE_DWORD_vi
 6167     UINT64_C(35184375786897408),	// FLAT_STORE_SHORT_D16_HI_gfx10
 6168     UINT64_C(3698065408),	// FLAT_STORE_SHORT_D16_HI_vi
 6169     UINT64_C(3697803264),	// FLAT_STORE_SHORT_ci
 6170     UINT64_C(35184375786635264),	// FLAT_STORE_SHORT_gfx10
 6171     UINT64_C(3697803264),	// FLAT_STORE_SHORT_vi
 6172     UINT64_C(3711205376),	// GLOBAL_ATOMIC_ADD_F32_SADDR_vi
 6173     UINT64_C(35747325753458688),	// GLOBAL_ATOMIC_ADD_F32_vi
 6174     UINT64_C(35184375793025024),	// GLOBAL_ATOMIC_ADD_RTN_gfx10
 6175     UINT64_C(35747325750640640),	// GLOBAL_ATOMIC_ADD_RTN_vi
 6176     UINT64_C(3704193024),	// GLOBAL_ATOMIC_ADD_SADDR_RTN_gfx10
 6177     UINT64_C(3708387328),	// GLOBAL_ATOMIC_ADD_SADDR_RTN_vi
 6178     UINT64_C(3704127488),	// GLOBAL_ATOMIC_ADD_SADDR_gfx10
 6179     UINT64_C(3708321792),	// GLOBAL_ATOMIC_ADD_SADDR_vi
 6180     UINT64_C(35184375801413632),	// GLOBAL_ATOMIC_ADD_X2_RTN_gfx10
 6181     UINT64_C(35747325759029248),	// GLOBAL_ATOMIC_ADD_X2_RTN_vi
 6182     UINT64_C(3712581632),	// GLOBAL_ATOMIC_ADD_X2_SADDR_RTN_gfx10
 6183     UINT64_C(3716775936),	// GLOBAL_ATOMIC_ADD_X2_SADDR_RTN_vi
 6184     UINT64_C(3712516096),	// GLOBAL_ATOMIC_ADD_X2_SADDR_gfx10
 6185     UINT64_C(3716710400),	// GLOBAL_ATOMIC_ADD_X2_SADDR_vi
 6186     UINT64_C(35184375801348096),	// GLOBAL_ATOMIC_ADD_X2_gfx10
 6187     UINT64_C(35747325758963712),	// GLOBAL_ATOMIC_ADD_X2_vi
 6188     UINT64_C(35184375792959488),	// GLOBAL_ATOMIC_ADD_gfx10
 6189     UINT64_C(35747325750575104),	// GLOBAL_ATOMIC_ADD_vi
 6190     UINT64_C(35184375794860032),	// GLOBAL_ATOMIC_AND_RTN_gfx10
 6191     UINT64_C(35747325752213504),	// GLOBAL_ATOMIC_AND_RTN_vi
 6192     UINT64_C(3706028032),	// GLOBAL_ATOMIC_AND_SADDR_RTN_gfx10
 6193     UINT64_C(3709960192),	// GLOBAL_ATOMIC_AND_SADDR_RTN_vi
 6194     UINT64_C(3705962496),	// GLOBAL_ATOMIC_AND_SADDR_gfx10
 6195     UINT64_C(3709894656),	// GLOBAL_ATOMIC_AND_SADDR_vi
 6196     UINT64_C(35184375803248640),	// GLOBAL_ATOMIC_AND_X2_RTN_gfx10
 6197     UINT64_C(35747325760602112),	// GLOBAL_ATOMIC_AND_X2_RTN_vi
 6198     UINT64_C(3714416640),	// GLOBAL_ATOMIC_AND_X2_SADDR_RTN_gfx10
 6199     UINT64_C(3718348800),	// GLOBAL_ATOMIC_AND_X2_SADDR_RTN_vi
 6200     UINT64_C(3714351104),	// GLOBAL_ATOMIC_AND_X2_SADDR_gfx10
 6201     UINT64_C(3718283264),	// GLOBAL_ATOMIC_AND_X2_SADDR_vi
 6202     UINT64_C(35184375803183104),	// GLOBAL_ATOMIC_AND_X2_gfx10
 6203     UINT64_C(35747325760536576),	// GLOBAL_ATOMIC_AND_X2_vi
 6204     UINT64_C(35184375794794496),	// GLOBAL_ATOMIC_AND_gfx10
 6205     UINT64_C(35747325752147968),	// GLOBAL_ATOMIC_AND_vi
 6206     UINT64_C(35184375792762880),	// GLOBAL_ATOMIC_CMPSWAP_RTN_gfx10
 6207     UINT64_C(35747325750378496),	// GLOBAL_ATOMIC_CMPSWAP_RTN_vi
 6208     UINT64_C(3703930880),	// GLOBAL_ATOMIC_CMPSWAP_SADDR_RTN_gfx10
 6209     UINT64_C(3708125184),	// GLOBAL_ATOMIC_CMPSWAP_SADDR_RTN_vi
 6210     UINT64_C(3703865344),	// GLOBAL_ATOMIC_CMPSWAP_SADDR_gfx10
 6211     UINT64_C(3708059648),	// GLOBAL_ATOMIC_CMPSWAP_SADDR_vi
 6212     UINT64_C(35184375801151488),	// GLOBAL_ATOMIC_CMPSWAP_X2_RTN_gfx10
 6213     UINT64_C(35747325758767104),	// GLOBAL_ATOMIC_CMPSWAP_X2_RTN_vi
 6214     UINT64_C(3712319488),	// GLOBAL_ATOMIC_CMPSWAP_X2_SADDR_RTN_gfx10
 6215     UINT64_C(3716513792),	// GLOBAL_ATOMIC_CMPSWAP_X2_SADDR_RTN_vi
 6216     UINT64_C(3712253952),	// GLOBAL_ATOMIC_CMPSWAP_X2_SADDR_gfx10
 6217     UINT64_C(3716448256),	// GLOBAL_ATOMIC_CMPSWAP_X2_SADDR_vi
 6218     UINT64_C(35184375801085952),	// GLOBAL_ATOMIC_CMPSWAP_X2_gfx10
 6219     UINT64_C(35747325758701568),	// GLOBAL_ATOMIC_CMPSWAP_X2_vi
 6220     UINT64_C(35184375792697344),	// GLOBAL_ATOMIC_CMPSWAP_gfx10
 6221     UINT64_C(35747325750312960),	// GLOBAL_ATOMIC_CMPSWAP_vi
 6222     UINT64_C(35184375795908608),	// GLOBAL_ATOMIC_DEC_RTN_gfx10
 6223     UINT64_C(35747325753262080),	// GLOBAL_ATOMIC_DEC_RTN_vi
 6224     UINT64_C(3707076608),	// GLOBAL_ATOMIC_DEC_SADDR_RTN_gfx10
 6225     UINT64_C(3711008768),	// GLOBAL_ATOMIC_DEC_SADDR_RTN_vi
 6226     UINT64_C(3707011072),	// GLOBAL_ATOMIC_DEC_SADDR_gfx10
 6227     UINT64_C(3710943232),	// GLOBAL_ATOMIC_DEC_SADDR_vi
 6228     UINT64_C(35184375804297216),	// GLOBAL_ATOMIC_DEC_X2_RTN_gfx10
 6229     UINT64_C(35747325761650688),	// GLOBAL_ATOMIC_DEC_X2_RTN_vi
 6230     UINT64_C(3715465216),	// GLOBAL_ATOMIC_DEC_X2_SADDR_RTN_gfx10
 6231     UINT64_C(3719397376),	// GLOBAL_ATOMIC_DEC_X2_SADDR_RTN_vi
 6232     UINT64_C(3715399680),	// GLOBAL_ATOMIC_DEC_X2_SADDR_gfx10
 6233     UINT64_C(3719331840),	// GLOBAL_ATOMIC_DEC_X2_SADDR_vi
 6234     UINT64_C(35184375804231680),	// GLOBAL_ATOMIC_DEC_X2_gfx10
 6235     UINT64_C(35747325761585152),	// GLOBAL_ATOMIC_DEC_X2_vi
 6236     UINT64_C(35184375795843072),	// GLOBAL_ATOMIC_DEC_gfx10
 6237     UINT64_C(35747325753196544),	// GLOBAL_ATOMIC_DEC_vi
 6238     UINT64_C(35184375796170752),	// GLOBAL_ATOMIC_FCMPSWAP_RTN_gfx10
 6239     UINT64_C(3707338752),	// GLOBAL_ATOMIC_FCMPSWAP_SADDR_RTN_gfx10
 6240     UINT64_C(3707273216),	// GLOBAL_ATOMIC_FCMPSWAP_SADDR_gfx10
 6241     UINT64_C(35184375804559360),	// GLOBAL_ATOMIC_FCMPSWAP_X2_RTN_gfx10
 6242     UINT64_C(3715727360),	// GLOBAL_ATOMIC_FCMPSWAP_X2_SADDR_RTN_gfx10
 6243     UINT64_C(3715661824),	// GLOBAL_ATOMIC_FCMPSWAP_X2_SADDR_gfx10
 6244     UINT64_C(35184375804493824),	// GLOBAL_ATOMIC_FCMPSWAP_X2_gfx10
 6245     UINT64_C(35184375796105216),	// GLOBAL_ATOMIC_FCMPSWAP_gfx10
 6246     UINT64_C(35184375796695040),	// GLOBAL_ATOMIC_FMAX_RTN_gfx10
 6247     UINT64_C(3707863040),	// GLOBAL_ATOMIC_FMAX_SADDR_RTN_gfx10
 6248     UINT64_C(3707797504),	// GLOBAL_ATOMIC_FMAX_SADDR_gfx10
 6249     UINT64_C(35184375805083648),	// GLOBAL_ATOMIC_FMAX_X2_RTN_gfx10
 6250     UINT64_C(3716251648),	// GLOBAL_ATOMIC_FMAX_X2_SADDR_RTN_gfx10
 6251     UINT64_C(3716186112),	// GLOBAL_ATOMIC_FMAX_X2_SADDR_gfx10
 6252     UINT64_C(35184375805018112),	// GLOBAL_ATOMIC_FMAX_X2_gfx10
 6253     UINT64_C(35184375796629504),	// GLOBAL_ATOMIC_FMAX_gfx10
 6254     UINT64_C(35184375796432896),	// GLOBAL_ATOMIC_FMIN_RTN_gfx10
 6255     UINT64_C(3707600896),	// GLOBAL_ATOMIC_FMIN_SADDR_RTN_gfx10
 6256     UINT64_C(3707535360),	// GLOBAL_ATOMIC_FMIN_SADDR_gfx10
 6257     UINT64_C(35184375804821504),	// GLOBAL_ATOMIC_FMIN_X2_RTN_gfx10
 6258     UINT64_C(3715989504),	// GLOBAL_ATOMIC_FMIN_X2_SADDR_RTN_gfx10
 6259     UINT64_C(3715923968),	// GLOBAL_ATOMIC_FMIN_X2_SADDR_gfx10
 6260     UINT64_C(35184375804755968),	// GLOBAL_ATOMIC_FMIN_X2_gfx10
 6261     UINT64_C(35184375796367360),	// GLOBAL_ATOMIC_FMIN_gfx10
 6262     UINT64_C(35184375795646464),	// GLOBAL_ATOMIC_INC_RTN_gfx10
 6263     UINT64_C(35747325752999936),	// GLOBAL_ATOMIC_INC_RTN_vi
 6264     UINT64_C(3706814464),	// GLOBAL_ATOMIC_INC_SADDR_RTN_gfx10
 6265     UINT64_C(3710746624),	// GLOBAL_ATOMIC_INC_SADDR_RTN_vi
 6266     UINT64_C(3706748928),	// GLOBAL_ATOMIC_INC_SADDR_gfx10
 6267     UINT64_C(3710681088),	// GLOBAL_ATOMIC_INC_SADDR_vi
 6268     UINT64_C(35184375804035072),	// GLOBAL_ATOMIC_INC_X2_RTN_gfx10
 6269     UINT64_C(35747325761388544),	// GLOBAL_ATOMIC_INC_X2_RTN_vi
 6270     UINT64_C(3715203072),	// GLOBAL_ATOMIC_INC_X2_SADDR_RTN_gfx10
 6271     UINT64_C(3719135232),	// GLOBAL_ATOMIC_INC_X2_SADDR_RTN_vi
 6272     UINT64_C(3715137536),	// GLOBAL_ATOMIC_INC_X2_SADDR_gfx10
 6273     UINT64_C(3719069696),	// GLOBAL_ATOMIC_INC_X2_SADDR_vi
 6274     UINT64_C(35184375803969536),	// GLOBAL_ATOMIC_INC_X2_gfx10
 6275     UINT64_C(35747325761323008),	// GLOBAL_ATOMIC_INC_X2_vi
 6276     UINT64_C(35184375795580928),	// GLOBAL_ATOMIC_INC_gfx10
 6277     UINT64_C(35747325752934400),	// GLOBAL_ATOMIC_INC_vi
 6278     UINT64_C(35184375795122176),	// GLOBAL_ATOMIC_OR_RTN_gfx10
 6279     UINT64_C(35747325752475648),	// GLOBAL_ATOMIC_OR_RTN_vi
 6280     UINT64_C(3706290176),	// GLOBAL_ATOMIC_OR_SADDR_RTN_gfx10
 6281     UINT64_C(3710222336),	// GLOBAL_ATOMIC_OR_SADDR_RTN_vi
 6282     UINT64_C(3706224640),	// GLOBAL_ATOMIC_OR_SADDR_gfx10
 6283     UINT64_C(3710156800),	// GLOBAL_ATOMIC_OR_SADDR_vi
 6284     UINT64_C(35184375803510784),	// GLOBAL_ATOMIC_OR_X2_RTN_gfx10
 6285     UINT64_C(35747325760864256),	// GLOBAL_ATOMIC_OR_X2_RTN_vi
 6286     UINT64_C(3714678784),	// GLOBAL_ATOMIC_OR_X2_SADDR_RTN_gfx10
 6287     UINT64_C(3718610944),	// GLOBAL_ATOMIC_OR_X2_SADDR_RTN_vi
 6288     UINT64_C(3714613248),	// GLOBAL_ATOMIC_OR_X2_SADDR_gfx10
 6289     UINT64_C(3718545408),	// GLOBAL_ATOMIC_OR_X2_SADDR_vi
 6290     UINT64_C(35184375803445248),	// GLOBAL_ATOMIC_OR_X2_gfx10
 6291     UINT64_C(35747325760798720),	// GLOBAL_ATOMIC_OR_X2_vi
 6292     UINT64_C(35184375795056640),	// GLOBAL_ATOMIC_OR_gfx10
 6293     UINT64_C(35747325752410112),	// GLOBAL_ATOMIC_OR_vi
 6294     UINT64_C(3711467520),	// GLOBAL_ATOMIC_PK_ADD_F16_SADDR_vi
 6295     UINT64_C(35747325753720832),	// GLOBAL_ATOMIC_PK_ADD_F16_vi
 6296     UINT64_C(35184375794335744),	// GLOBAL_ATOMIC_SMAX_RTN_gfx10
 6297     UINT64_C(35747325751689216),	// GLOBAL_ATOMIC_SMAX_RTN_vi
 6298     UINT64_C(3705503744),	// GLOBAL_ATOMIC_SMAX_SADDR_RTN_gfx10
 6299     UINT64_C(3709435904),	// GLOBAL_ATOMIC_SMAX_SADDR_RTN_vi
 6300     UINT64_C(3705438208),	// GLOBAL_ATOMIC_SMAX_SADDR_gfx10
 6301     UINT64_C(3709370368),	// GLOBAL_ATOMIC_SMAX_SADDR_vi
 6302     UINT64_C(35184375802724352),	// GLOBAL_ATOMIC_SMAX_X2_RTN_gfx10
 6303     UINT64_C(35747325760077824),	// GLOBAL_ATOMIC_SMAX_X2_RTN_vi
 6304     UINT64_C(3713892352),	// GLOBAL_ATOMIC_SMAX_X2_SADDR_RTN_gfx10
 6305     UINT64_C(3717824512),	// GLOBAL_ATOMIC_SMAX_X2_SADDR_RTN_vi
 6306     UINT64_C(3713826816),	// GLOBAL_ATOMIC_SMAX_X2_SADDR_gfx10
 6307     UINT64_C(3717758976),	// GLOBAL_ATOMIC_SMAX_X2_SADDR_vi
 6308     UINT64_C(35184375802658816),	// GLOBAL_ATOMIC_SMAX_X2_gfx10
 6309     UINT64_C(35747325760012288),	// GLOBAL_ATOMIC_SMAX_X2_vi
 6310     UINT64_C(35184375794270208),	// GLOBAL_ATOMIC_SMAX_gfx10
 6311     UINT64_C(35747325751623680),	// GLOBAL_ATOMIC_SMAX_vi
 6312     UINT64_C(35184375793811456),	// GLOBAL_ATOMIC_SMIN_RTN_gfx10
 6313     UINT64_C(35747325751164928),	// GLOBAL_ATOMIC_SMIN_RTN_vi
 6314     UINT64_C(3704979456),	// GLOBAL_ATOMIC_SMIN_SADDR_RTN_gfx10
 6315     UINT64_C(3708911616),	// GLOBAL_ATOMIC_SMIN_SADDR_RTN_vi
 6316     UINT64_C(3704913920),	// GLOBAL_ATOMIC_SMIN_SADDR_gfx10
 6317     UINT64_C(3708846080),	// GLOBAL_ATOMIC_SMIN_SADDR_vi
 6318     UINT64_C(35184375802200064),	// GLOBAL_ATOMIC_SMIN_X2_RTN_gfx10
 6319     UINT64_C(35747325759553536),	// GLOBAL_ATOMIC_SMIN_X2_RTN_vi
 6320     UINT64_C(3713368064),	// GLOBAL_ATOMIC_SMIN_X2_SADDR_RTN_gfx10
 6321     UINT64_C(3717300224),	// GLOBAL_ATOMIC_SMIN_X2_SADDR_RTN_vi
 6322     UINT64_C(3713302528),	// GLOBAL_ATOMIC_SMIN_X2_SADDR_gfx10
 6323     UINT64_C(3717234688),	// GLOBAL_ATOMIC_SMIN_X2_SADDR_vi
 6324     UINT64_C(35184375802134528),	// GLOBAL_ATOMIC_SMIN_X2_gfx10
 6325     UINT64_C(35747325759488000),	// GLOBAL_ATOMIC_SMIN_X2_vi
 6326     UINT64_C(35184375793745920),	// GLOBAL_ATOMIC_SMIN_gfx10
 6327     UINT64_C(35747325751099392),	// GLOBAL_ATOMIC_SMIN_vi
 6328     UINT64_C(35184375793287168),	// GLOBAL_ATOMIC_SUB_RTN_gfx10
 6329     UINT64_C(35747325750902784),	// GLOBAL_ATOMIC_SUB_RTN_vi
 6330     UINT64_C(3704455168),	// GLOBAL_ATOMIC_SUB_SADDR_RTN_gfx10
 6331     UINT64_C(3708649472),	// GLOBAL_ATOMIC_SUB_SADDR_RTN_vi
 6332     UINT64_C(3704389632),	// GLOBAL_ATOMIC_SUB_SADDR_gfx10
 6333     UINT64_C(3708583936),	// GLOBAL_ATOMIC_SUB_SADDR_vi
 6334     UINT64_C(35184375801675776),	// GLOBAL_ATOMIC_SUB_X2_RTN_gfx10
 6335     UINT64_C(35747325759291392),	// GLOBAL_ATOMIC_SUB_X2_RTN_vi
 6336     UINT64_C(3712843776),	// GLOBAL_ATOMIC_SUB_X2_SADDR_RTN_gfx10
 6337     UINT64_C(3717038080),	// GLOBAL_ATOMIC_SUB_X2_SADDR_RTN_vi
 6338     UINT64_C(3712778240),	// GLOBAL_ATOMIC_SUB_X2_SADDR_gfx10
 6339     UINT64_C(3716972544),	// GLOBAL_ATOMIC_SUB_X2_SADDR_vi
 6340     UINT64_C(35184375801610240),	// GLOBAL_ATOMIC_SUB_X2_gfx10
 6341     UINT64_C(35747325759225856),	// GLOBAL_ATOMIC_SUB_X2_vi
 6342     UINT64_C(35184375793221632),	// GLOBAL_ATOMIC_SUB_gfx10
 6343     UINT64_C(35747325750837248),	// GLOBAL_ATOMIC_SUB_vi
 6344     UINT64_C(35184375792500736),	// GLOBAL_ATOMIC_SWAP_RTN_gfx10
 6345     UINT64_C(35747325750116352),	// GLOBAL_ATOMIC_SWAP_RTN_vi
 6346     UINT64_C(3703668736),	// GLOBAL_ATOMIC_SWAP_SADDR_RTN_gfx10
 6347     UINT64_C(3707863040),	// GLOBAL_ATOMIC_SWAP_SADDR_RTN_vi
 6348     UINT64_C(3703603200),	// GLOBAL_ATOMIC_SWAP_SADDR_gfx10
 6349     UINT64_C(3707797504),	// GLOBAL_ATOMIC_SWAP_SADDR_vi
 6350     UINT64_C(35184375800889344),	// GLOBAL_ATOMIC_SWAP_X2_RTN_gfx10
 6351     UINT64_C(35747325758504960),	// GLOBAL_ATOMIC_SWAP_X2_RTN_vi
 6352     UINT64_C(3712057344),	// GLOBAL_ATOMIC_SWAP_X2_SADDR_RTN_gfx10
 6353     UINT64_C(3716251648),	// GLOBAL_ATOMIC_SWAP_X2_SADDR_RTN_vi
 6354     UINT64_C(3711991808),	// GLOBAL_ATOMIC_SWAP_X2_SADDR_gfx10
 6355     UINT64_C(3716186112),	// GLOBAL_ATOMIC_SWAP_X2_SADDR_vi
 6356     UINT64_C(35184375800823808),	// GLOBAL_ATOMIC_SWAP_X2_gfx10
 6357     UINT64_C(35747325758439424),	// GLOBAL_ATOMIC_SWAP_X2_vi
 6358     UINT64_C(35184375792435200),	// GLOBAL_ATOMIC_SWAP_gfx10
 6359     UINT64_C(35747325750050816),	// GLOBAL_ATOMIC_SWAP_vi
 6360     UINT64_C(35184375794597888),	// GLOBAL_ATOMIC_UMAX_RTN_gfx10
 6361     UINT64_C(35747325751951360),	// GLOBAL_ATOMIC_UMAX_RTN_vi
 6362     UINT64_C(3705765888),	// GLOBAL_ATOMIC_UMAX_SADDR_RTN_gfx10
 6363     UINT64_C(3709698048),	// GLOBAL_ATOMIC_UMAX_SADDR_RTN_vi
 6364     UINT64_C(3705700352),	// GLOBAL_ATOMIC_UMAX_SADDR_gfx10
 6365     UINT64_C(3709632512),	// GLOBAL_ATOMIC_UMAX_SADDR_vi
 6366     UINT64_C(35184375802986496),	// GLOBAL_ATOMIC_UMAX_X2_RTN_gfx10
 6367     UINT64_C(35747325760339968),	// GLOBAL_ATOMIC_UMAX_X2_RTN_vi
 6368     UINT64_C(3714154496),	// GLOBAL_ATOMIC_UMAX_X2_SADDR_RTN_gfx10
 6369     UINT64_C(3718086656),	// GLOBAL_ATOMIC_UMAX_X2_SADDR_RTN_vi
 6370     UINT64_C(3714088960),	// GLOBAL_ATOMIC_UMAX_X2_SADDR_gfx10
 6371     UINT64_C(3718021120),	// GLOBAL_ATOMIC_UMAX_X2_SADDR_vi
 6372     UINT64_C(35184375802920960),	// GLOBAL_ATOMIC_UMAX_X2_gfx10
 6373     UINT64_C(35747325760274432),	// GLOBAL_ATOMIC_UMAX_X2_vi
 6374     UINT64_C(35184375794532352),	// GLOBAL_ATOMIC_UMAX_gfx10
 6375     UINT64_C(35747325751885824),	// GLOBAL_ATOMIC_UMAX_vi
 6376     UINT64_C(35184375794073600),	// GLOBAL_ATOMIC_UMIN_RTN_gfx10
 6377     UINT64_C(35747325751427072),	// GLOBAL_ATOMIC_UMIN_RTN_vi
 6378     UINT64_C(3705241600),	// GLOBAL_ATOMIC_UMIN_SADDR_RTN_gfx10
 6379     UINT64_C(3709173760),	// GLOBAL_ATOMIC_UMIN_SADDR_RTN_vi
 6380     UINT64_C(3705176064),	// GLOBAL_ATOMIC_UMIN_SADDR_gfx10
 6381     UINT64_C(3709108224),	// GLOBAL_ATOMIC_UMIN_SADDR_vi
 6382     UINT64_C(35184375802462208),	// GLOBAL_ATOMIC_UMIN_X2_RTN_gfx10
 6383     UINT64_C(35747325759815680),	// GLOBAL_ATOMIC_UMIN_X2_RTN_vi
 6384     UINT64_C(3713630208),	// GLOBAL_ATOMIC_UMIN_X2_SADDR_RTN_gfx10
 6385     UINT64_C(3717562368),	// GLOBAL_ATOMIC_UMIN_X2_SADDR_RTN_vi
 6386     UINT64_C(3713564672),	// GLOBAL_ATOMIC_UMIN_X2_SADDR_gfx10
 6387     UINT64_C(3717496832),	// GLOBAL_ATOMIC_UMIN_X2_SADDR_vi
 6388     UINT64_C(35184375802396672),	// GLOBAL_ATOMIC_UMIN_X2_gfx10
 6389     UINT64_C(35747325759750144),	// GLOBAL_ATOMIC_UMIN_X2_vi
 6390     UINT64_C(35184375794008064),	// GLOBAL_ATOMIC_UMIN_gfx10
 6391     UINT64_C(35747325751361536),	// GLOBAL_ATOMIC_UMIN_vi
 6392     UINT64_C(35184375795384320),	// GLOBAL_ATOMIC_XOR_RTN_gfx10
 6393     UINT64_C(35747325752737792),	// GLOBAL_ATOMIC_XOR_RTN_vi
 6394     UINT64_C(3706552320),	// GLOBAL_ATOMIC_XOR_SADDR_RTN_gfx10
 6395     UINT64_C(3710484480),	// GLOBAL_ATOMIC_XOR_SADDR_RTN_vi
 6396     UINT64_C(3706486784),	// GLOBAL_ATOMIC_XOR_SADDR_gfx10
 6397     UINT64_C(3710418944),	// GLOBAL_ATOMIC_XOR_SADDR_vi
 6398     UINT64_C(35184375803772928),	// GLOBAL_ATOMIC_XOR_X2_RTN_gfx10
 6399     UINT64_C(35747325761126400),	// GLOBAL_ATOMIC_XOR_X2_RTN_vi
 6400     UINT64_C(3714940928),	// GLOBAL_ATOMIC_XOR_X2_SADDR_RTN_gfx10
 6401     UINT64_C(3718873088),	// GLOBAL_ATOMIC_XOR_X2_SADDR_RTN_vi
 6402     UINT64_C(3714875392),	// GLOBAL_ATOMIC_XOR_X2_SADDR_gfx10
 6403     UINT64_C(3718807552),	// GLOBAL_ATOMIC_XOR_X2_SADDR_vi
 6404     UINT64_C(35184375803707392),	// GLOBAL_ATOMIC_XOR_X2_gfx10
 6405     UINT64_C(35747325761060864),	// GLOBAL_ATOMIC_XOR_X2_vi
 6406     UINT64_C(35184375795318784),	// GLOBAL_ATOMIC_XOR_gfx10
 6407     UINT64_C(35747325752672256),	// GLOBAL_ATOMIC_XOR_vi
 6408     UINT64_C(3694428160),	// GLOBAL_LOAD_DWORDX2_SADDR_gfx10
 6409     UINT64_C(3696525312),	// GLOBAL_LOAD_DWORDX2_SADDR_vi
 6410     UINT64_C(35184375783260160),	// GLOBAL_LOAD_DWORDX2_gfx10
 6411     UINT64_C(35747325738778624),	// GLOBAL_LOAD_DWORDX2_vi
 6412     UINT64_C(3694952448),	// GLOBAL_LOAD_DWORDX3_SADDR_gfx10
 6413     UINT64_C(3696787456),	// GLOBAL_LOAD_DWORDX3_SADDR_vi
 6414     UINT64_C(35184375783784448),	// GLOBAL_LOAD_DWORDX3_gfx10
 6415     UINT64_C(35747325739040768),	// GLOBAL_LOAD_DWORDX3_vi
 6416     UINT64_C(3694690304),	// GLOBAL_LOAD_DWORDX4_SADDR_gfx10
 6417     UINT64_C(3697049600),	// GLOBAL_LOAD_DWORDX4_SADDR_vi
 6418     UINT64_C(35184375783522304),	// GLOBAL_LOAD_DWORDX4_gfx10
 6419     UINT64_C(35747325739302912),	// GLOBAL_LOAD_DWORDX4_vi
 6420     UINT64_C(3694166016),	// GLOBAL_LOAD_DWORD_SADDR_gfx10
 6421     UINT64_C(3696263168),	// GLOBAL_LOAD_DWORD_SADDR_vi
 6422     UINT64_C(35184375782998016),	// GLOBAL_LOAD_DWORD_gfx10
 6423     UINT64_C(35747325738516480),	// GLOBAL_LOAD_DWORD_vi
 6424     UINT64_C(3700195328),	// GLOBAL_LOAD_SBYTE_D16_HI_SADDR_gfx10
 6425     UINT64_C(3700195328),	// GLOBAL_LOAD_SBYTE_D16_HI_SADDR_vi
 6426     UINT64_C(35184375789027328),	// GLOBAL_LOAD_SBYTE_D16_HI_gfx10
 6427     UINT64_C(35747325742448640),	// GLOBAL_LOAD_SBYTE_D16_HI_vi
 6428     UINT64_C(3699933184),	// GLOBAL_LOAD_SBYTE_D16_SADDR_gfx10
 6429     UINT64_C(3699933184),	// GLOBAL_LOAD_SBYTE_D16_SADDR_vi
 6430     UINT64_C(35184375788765184),	// GLOBAL_LOAD_SBYTE_D16_gfx10
 6431     UINT64_C(35747325742186496),	// GLOBAL_LOAD_SBYTE_D16_vi
 6432     UINT64_C(3693379584),	// GLOBAL_LOAD_SBYTE_SADDR_gfx10
 6433     UINT64_C(3695476736),	// GLOBAL_LOAD_SBYTE_SADDR_vi
 6434     UINT64_C(35184375782211584),	// GLOBAL_LOAD_SBYTE_gfx10
 6435     UINT64_C(35747325737730048),	// GLOBAL_LOAD_SBYTE_vi
 6436     UINT64_C(3700719616),	// GLOBAL_LOAD_SHORT_D16_HI_SADDR_gfx10
 6437     UINT64_C(3700719616),	// GLOBAL_LOAD_SHORT_D16_HI_SADDR_vi
 6438     UINT64_C(35184375789551616),	// GLOBAL_LOAD_SHORT_D16_HI_gfx10
 6439     UINT64_C(35747325742972928),	// GLOBAL_LOAD_SHORT_D16_HI_vi
 6440     UINT64_C(3700457472),	// GLOBAL_LOAD_SHORT_D16_SADDR_gfx10
 6441     UINT64_C(3700457472),	// GLOBAL_LOAD_SHORT_D16_SADDR_vi
 6442     UINT64_C(35184375789289472),	// GLOBAL_LOAD_SHORT_D16_gfx10
 6443     UINT64_C(35747325742710784),	// GLOBAL_LOAD_SHORT_D16_vi
 6444     UINT64_C(3693903872),	// GLOBAL_LOAD_SSHORT_SADDR_gfx10
 6445     UINT64_C(3696001024),	// GLOBAL_LOAD_SSHORT_SADDR_vi
 6446     UINT64_C(35184375782735872),	// GLOBAL_LOAD_SSHORT_gfx10
 6447     UINT64_C(35747325738254336),	// GLOBAL_LOAD_SSHORT_vi
 6448     UINT64_C(3699671040),	// GLOBAL_LOAD_UBYTE_D16_HI_SADDR_gfx10
 6449     UINT64_C(3699671040),	// GLOBAL_LOAD_UBYTE_D16_HI_SADDR_vi
 6450     UINT64_C(35184375788503040),	// GLOBAL_LOAD_UBYTE_D16_HI_gfx10
 6451     UINT64_C(35747325741924352),	// GLOBAL_LOAD_UBYTE_D16_HI_vi
 6452     UINT64_C(3699408896),	// GLOBAL_LOAD_UBYTE_D16_SADDR_gfx10
 6453     UINT64_C(3699408896),	// GLOBAL_LOAD_UBYTE_D16_SADDR_vi
 6454     UINT64_C(35184375788240896),	// GLOBAL_LOAD_UBYTE_D16_gfx10
 6455     UINT64_C(35747325741662208),	// GLOBAL_LOAD_UBYTE_D16_vi
 6456     UINT64_C(3693117440),	// GLOBAL_LOAD_UBYTE_SADDR_gfx10
 6457     UINT64_C(3695214592),	// GLOBAL_LOAD_UBYTE_SADDR_vi
 6458     UINT64_C(35184375781949440),	// GLOBAL_LOAD_UBYTE_gfx10
 6459     UINT64_C(35747325737467904),	// GLOBAL_LOAD_UBYTE_vi
 6460     UINT64_C(3693641728),	// GLOBAL_LOAD_USHORT_SADDR_gfx10
 6461     UINT64_C(3695738880),	// GLOBAL_LOAD_USHORT_SADDR_vi
 6462     UINT64_C(35184375782473728),	// GLOBAL_LOAD_USHORT_gfx10
 6463     UINT64_C(35747325737992192),	// GLOBAL_LOAD_USHORT_vi
 6464     UINT64_C(3697573888),	// GLOBAL_STORE_BYTE_D16_HI_SADDR_gfx10
 6465     UINT64_C(3697573888),	// GLOBAL_STORE_BYTE_D16_HI_SADDR_vi
 6466     UINT64_C(35184375786405888),	// GLOBAL_STORE_BYTE_D16_HI_gfx10
 6467     UINT64_C(35747325739827200),	// GLOBAL_STORE_BYTE_D16_HI_vi
 6468     UINT64_C(3697311744),	// GLOBAL_STORE_BYTE_SADDR_gfx10
 6469     UINT64_C(3697311744),	// GLOBAL_STORE_BYTE_SADDR_vi
 6470     UINT64_C(35184375786143744),	// GLOBAL_STORE_BYTE_gfx10
 6471     UINT64_C(35747325739565056),	// GLOBAL_STORE_BYTE_vi
 6472     UINT64_C(3698622464),	// GLOBAL_STORE_DWORDX2_SADDR_gfx10
 6473     UINT64_C(3698622464),	// GLOBAL_STORE_DWORDX2_SADDR_vi
 6474     UINT64_C(35184375787454464),	// GLOBAL_STORE_DWORDX2_gfx10
 6475     UINT64_C(35747325740875776),	// GLOBAL_STORE_DWORDX2_vi
 6476     UINT64_C(3699146752),	// GLOBAL_STORE_DWORDX3_SADDR_gfx10
 6477     UINT64_C(3698884608),	// GLOBAL_STORE_DWORDX3_SADDR_vi
 6478     UINT64_C(35184375787978752),	// GLOBAL_STORE_DWORDX3_gfx10
 6479     UINT64_C(35747325741137920),	// GLOBAL_STORE_DWORDX3_vi
 6480     UINT64_C(3698884608),	// GLOBAL_STORE_DWORDX4_SADDR_gfx10
 6481     UINT64_C(3699146752),	// GLOBAL_STORE_DWORDX4_SADDR_vi
 6482     UINT64_C(35184375787716608),	// GLOBAL_STORE_DWORDX4_gfx10
 6483     UINT64_C(35747325741400064),	// GLOBAL_STORE_DWORDX4_vi
 6484     UINT64_C(3698360320),	// GLOBAL_STORE_DWORD_SADDR_gfx10
 6485     UINT64_C(3698360320),	// GLOBAL_STORE_DWORD_SADDR_vi
 6486     UINT64_C(35184375787192320),	// GLOBAL_STORE_DWORD_gfx10
 6487     UINT64_C(35747325740613632),	// GLOBAL_STORE_DWORD_vi
 6488     UINT64_C(3698098176),	// GLOBAL_STORE_SHORT_D16_HI_SADDR_gfx10
 6489     UINT64_C(3698098176),	// GLOBAL_STORE_SHORT_D16_HI_SADDR_vi
 6490     UINT64_C(35184375786930176),	// GLOBAL_STORE_SHORT_D16_HI_gfx10
 6491     UINT64_C(35747325740351488),	// GLOBAL_STORE_SHORT_D16_HI_vi
 6492     UINT64_C(3697836032),	// GLOBAL_STORE_SHORT_SADDR_gfx10
 6493     UINT64_C(3697836032),	// GLOBAL_STORE_SHORT_SADDR_vi
 6494     UINT64_C(35184375786668032),	// GLOBAL_STORE_SHORT_gfx10
 6495     UINT64_C(35747325740089344),	// GLOBAL_STORE_SHORT_vi
 6496     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V1_gfx10
 6497     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V1_si
 6498     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V1_V1_vi
 6499     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V2_gfx10
 6500     UINT64_C(4030988290),	// IMAGE_ATOMIC_ADD_V1_V2_nsa_gfx10
 6501     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V2_si
 6502     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V1_V2_vi
 6503     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V3_gfx10
 6504     UINT64_C(4030988290),	// IMAGE_ATOMIC_ADD_V1_V3_nsa_gfx10
 6505     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V3_si
 6506     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V1_V3_vi
 6507     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V4_gfx10
 6508     UINT64_C(4030988290),	// IMAGE_ATOMIC_ADD_V1_V4_nsa_gfx10
 6509     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V1_V4_si
 6510     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V1_V4_vi
 6511     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V1_gfx10
 6512     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V1_si
 6513     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V2_V1_vi
 6514     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V2_gfx10
 6515     UINT64_C(4030988290),	// IMAGE_ATOMIC_ADD_V2_V2_nsa_gfx10
 6516     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V2_si
 6517     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V2_V2_vi
 6518     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V3_gfx10
 6519     UINT64_C(4030988290),	// IMAGE_ATOMIC_ADD_V2_V3_nsa_gfx10
 6520     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V3_si
 6521     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V2_V3_vi
 6522     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V4_gfx10
 6523     UINT64_C(4030988290),	// IMAGE_ATOMIC_ADD_V2_V4_nsa_gfx10
 6524     UINT64_C(4030988288),	// IMAGE_ATOMIC_ADD_V2_V4_si
 6525     UINT64_C(4031250432),	// IMAGE_ATOMIC_ADD_V2_V4_vi
 6526     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V1_gfx10
 6527     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V1_si
 6528     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V1_vi
 6529     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V2_gfx10
 6530     UINT64_C(4032823298),	// IMAGE_ATOMIC_AND_V1_V2_nsa_gfx10
 6531     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V2_si
 6532     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V2_vi
 6533     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V3_gfx10
 6534     UINT64_C(4032823298),	// IMAGE_ATOMIC_AND_V1_V3_nsa_gfx10
 6535     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V3_si
 6536     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V3_vi
 6537     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V4_gfx10
 6538     UINT64_C(4032823298),	// IMAGE_ATOMIC_AND_V1_V4_nsa_gfx10
 6539     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V4_si
 6540     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V1_V4_vi
 6541     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V1_gfx10
 6542     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V1_si
 6543     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V1_vi
 6544     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V2_gfx10
 6545     UINT64_C(4032823298),	// IMAGE_ATOMIC_AND_V2_V2_nsa_gfx10
 6546     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V2_si
 6547     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V2_vi
 6548     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V3_gfx10
 6549     UINT64_C(4032823298),	// IMAGE_ATOMIC_AND_V2_V3_nsa_gfx10
 6550     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V3_si
 6551     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V3_vi
 6552     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V4_gfx10
 6553     UINT64_C(4032823298),	// IMAGE_ATOMIC_AND_V2_V4_nsa_gfx10
 6554     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V4_si
 6555     UINT64_C(4032823296),	// IMAGE_ATOMIC_AND_V2_V4_vi
 6556     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V1_gfx10
 6557     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V1_si
 6558     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V1_V1_vi
 6559     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V2_gfx10
 6560     UINT64_C(4030726146),	// IMAGE_ATOMIC_CMPSWAP_V1_V2_nsa_gfx10
 6561     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V2_si
 6562     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V1_V2_vi
 6563     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V3_gfx10
 6564     UINT64_C(4030726146),	// IMAGE_ATOMIC_CMPSWAP_V1_V3_nsa_gfx10
 6565     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V3_si
 6566     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V1_V3_vi
 6567     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V4_gfx10
 6568     UINT64_C(4030726146),	// IMAGE_ATOMIC_CMPSWAP_V1_V4_nsa_gfx10
 6569     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V1_V4_si
 6570     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V1_V4_vi
 6571     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V1_gfx10
 6572     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V1_si
 6573     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V2_V1_vi
 6574     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V2_gfx10
 6575     UINT64_C(4030726146),	// IMAGE_ATOMIC_CMPSWAP_V2_V2_nsa_gfx10
 6576     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V2_si
 6577     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V2_V2_vi
 6578     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V3_gfx10
 6579     UINT64_C(4030726146),	// IMAGE_ATOMIC_CMPSWAP_V2_V3_nsa_gfx10
 6580     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V3_si
 6581     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V2_V3_vi
 6582     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V4_gfx10
 6583     UINT64_C(4030726146),	// IMAGE_ATOMIC_CMPSWAP_V2_V4_nsa_gfx10
 6584     UINT64_C(4030726144),	// IMAGE_ATOMIC_CMPSWAP_V2_V4_si
 6585     UINT64_C(4030988288),	// IMAGE_ATOMIC_CMPSWAP_V2_V4_vi
 6586     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V1_gfx10
 6587     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V1_si
 6588     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V1_vi
 6589     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V2_gfx10
 6590     UINT64_C(4033871874),	// IMAGE_ATOMIC_DEC_V1_V2_nsa_gfx10
 6591     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V2_si
 6592     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V2_vi
 6593     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V3_gfx10
 6594     UINT64_C(4033871874),	// IMAGE_ATOMIC_DEC_V1_V3_nsa_gfx10
 6595     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V3_si
 6596     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V3_vi
 6597     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V4_gfx10
 6598     UINT64_C(4033871874),	// IMAGE_ATOMIC_DEC_V1_V4_nsa_gfx10
 6599     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V4_si
 6600     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V1_V4_vi
 6601     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V1_gfx10
 6602     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V1_si
 6603     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V1_vi
 6604     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V2_gfx10
 6605     UINT64_C(4033871874),	// IMAGE_ATOMIC_DEC_V2_V2_nsa_gfx10
 6606     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V2_si
 6607     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V2_vi
 6608     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V3_gfx10
 6609     UINT64_C(4033871874),	// IMAGE_ATOMIC_DEC_V2_V3_nsa_gfx10
 6610     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V3_si
 6611     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V3_vi
 6612     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V4_gfx10
 6613     UINT64_C(4033871874),	// IMAGE_ATOMIC_DEC_V2_V4_nsa_gfx10
 6614     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V4_si
 6615     UINT64_C(4033871872),	// IMAGE_ATOMIC_DEC_V2_V4_vi
 6616     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V1_gfx10
 6617     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V1_si
 6618     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V1_vi
 6619     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V2_gfx10
 6620     UINT64_C(4033609730),	// IMAGE_ATOMIC_INC_V1_V2_nsa_gfx10
 6621     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V2_si
 6622     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V2_vi
 6623     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V3_gfx10
 6624     UINT64_C(4033609730),	// IMAGE_ATOMIC_INC_V1_V3_nsa_gfx10
 6625     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V3_si
 6626     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V3_vi
 6627     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V4_gfx10
 6628     UINT64_C(4033609730),	// IMAGE_ATOMIC_INC_V1_V4_nsa_gfx10
 6629     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V4_si
 6630     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V1_V4_vi
 6631     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V1_gfx10
 6632     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V1_si
 6633     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V1_vi
 6634     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V2_gfx10
 6635     UINT64_C(4033609730),	// IMAGE_ATOMIC_INC_V2_V2_nsa_gfx10
 6636     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V2_si
 6637     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V2_vi
 6638     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V3_gfx10
 6639     UINT64_C(4033609730),	// IMAGE_ATOMIC_INC_V2_V3_nsa_gfx10
 6640     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V3_si
 6641     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V3_vi
 6642     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V4_gfx10
 6643     UINT64_C(4033609730),	// IMAGE_ATOMIC_INC_V2_V4_nsa_gfx10
 6644     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V4_si
 6645     UINT64_C(4033609728),	// IMAGE_ATOMIC_INC_V2_V4_vi
 6646     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V1_gfx10
 6647     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V1_si
 6648     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V1_vi
 6649     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V2_gfx10
 6650     UINT64_C(4033085442),	// IMAGE_ATOMIC_OR_V1_V2_nsa_gfx10
 6651     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V2_si
 6652     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V2_vi
 6653     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V3_gfx10
 6654     UINT64_C(4033085442),	// IMAGE_ATOMIC_OR_V1_V3_nsa_gfx10
 6655     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V3_si
 6656     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V3_vi
 6657     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V4_gfx10
 6658     UINT64_C(4033085442),	// IMAGE_ATOMIC_OR_V1_V4_nsa_gfx10
 6659     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V4_si
 6660     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V1_V4_vi
 6661     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V1_gfx10
 6662     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V1_si
 6663     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V1_vi
 6664     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V2_gfx10
 6665     UINT64_C(4033085442),	// IMAGE_ATOMIC_OR_V2_V2_nsa_gfx10
 6666     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V2_si
 6667     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V2_vi
 6668     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V3_gfx10
 6669     UINT64_C(4033085442),	// IMAGE_ATOMIC_OR_V2_V3_nsa_gfx10
 6670     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V3_si
 6671     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V3_vi
 6672     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V4_gfx10
 6673     UINT64_C(4033085442),	// IMAGE_ATOMIC_OR_V2_V4_nsa_gfx10
 6674     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V4_si
 6675     UINT64_C(4033085440),	// IMAGE_ATOMIC_OR_V2_V4_vi
 6676     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V1_gfx10
 6677     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V1_si
 6678     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V1_vi
 6679     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V2_gfx10
 6680     UINT64_C(4032299010),	// IMAGE_ATOMIC_SMAX_V1_V2_nsa_gfx10
 6681     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V2_si
 6682     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V2_vi
 6683     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V3_gfx10
 6684     UINT64_C(4032299010),	// IMAGE_ATOMIC_SMAX_V1_V3_nsa_gfx10
 6685     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V3_si
 6686     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V3_vi
 6687     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V4_gfx10
 6688     UINT64_C(4032299010),	// IMAGE_ATOMIC_SMAX_V1_V4_nsa_gfx10
 6689     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V4_si
 6690     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V1_V4_vi
 6691     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V1_gfx10
 6692     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V1_si
 6693     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V1_vi
 6694     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V2_gfx10
 6695     UINT64_C(4032299010),	// IMAGE_ATOMIC_SMAX_V2_V2_nsa_gfx10
 6696     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V2_si
 6697     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V2_vi
 6698     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V3_gfx10
 6699     UINT64_C(4032299010),	// IMAGE_ATOMIC_SMAX_V2_V3_nsa_gfx10
 6700     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V3_si
 6701     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V3_vi
 6702     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V4_gfx10
 6703     UINT64_C(4032299010),	// IMAGE_ATOMIC_SMAX_V2_V4_nsa_gfx10
 6704     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V4_si
 6705     UINT64_C(4032299008),	// IMAGE_ATOMIC_SMAX_V2_V4_vi
 6706     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V1_gfx10
 6707     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V1_si
 6708     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V1_vi
 6709     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V2_gfx10
 6710     UINT64_C(4031774722),	// IMAGE_ATOMIC_SMIN_V1_V2_nsa_gfx10
 6711     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V2_si
 6712     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V2_vi
 6713     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V3_gfx10
 6714     UINT64_C(4031774722),	// IMAGE_ATOMIC_SMIN_V1_V3_nsa_gfx10
 6715     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V3_si
 6716     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V3_vi
 6717     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V4_gfx10
 6718     UINT64_C(4031774722),	// IMAGE_ATOMIC_SMIN_V1_V4_nsa_gfx10
 6719     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V4_si
 6720     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V1_V4_vi
 6721     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V1_gfx10
 6722     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V1_si
 6723     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V1_vi
 6724     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V2_gfx10
 6725     UINT64_C(4031774722),	// IMAGE_ATOMIC_SMIN_V2_V2_nsa_gfx10
 6726     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V2_si
 6727     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V2_vi
 6728     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V3_gfx10
 6729     UINT64_C(4031774722),	// IMAGE_ATOMIC_SMIN_V2_V3_nsa_gfx10
 6730     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V3_si
 6731     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V3_vi
 6732     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V4_gfx10
 6733     UINT64_C(4031774722),	// IMAGE_ATOMIC_SMIN_V2_V4_nsa_gfx10
 6734     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V4_si
 6735     UINT64_C(4031774720),	// IMAGE_ATOMIC_SMIN_V2_V4_vi
 6736     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V1_gfx10
 6737     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V1_si
 6738     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V1_V1_vi
 6739     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V2_gfx10
 6740     UINT64_C(4031250434),	// IMAGE_ATOMIC_SUB_V1_V2_nsa_gfx10
 6741     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V2_si
 6742     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V1_V2_vi
 6743     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V3_gfx10
 6744     UINT64_C(4031250434),	// IMAGE_ATOMIC_SUB_V1_V3_nsa_gfx10
 6745     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V3_si
 6746     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V1_V3_vi
 6747     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V4_gfx10
 6748     UINT64_C(4031250434),	// IMAGE_ATOMIC_SUB_V1_V4_nsa_gfx10
 6749     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V1_V4_si
 6750     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V1_V4_vi
 6751     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V1_gfx10
 6752     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V1_si
 6753     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V2_V1_vi
 6754     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V2_gfx10
 6755     UINT64_C(4031250434),	// IMAGE_ATOMIC_SUB_V2_V2_nsa_gfx10
 6756     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V2_si
 6757     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V2_V2_vi
 6758     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V3_gfx10
 6759     UINT64_C(4031250434),	// IMAGE_ATOMIC_SUB_V2_V3_nsa_gfx10
 6760     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V3_si
 6761     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V2_V3_vi
 6762     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V4_gfx10
 6763     UINT64_C(4031250434),	// IMAGE_ATOMIC_SUB_V2_V4_nsa_gfx10
 6764     UINT64_C(4031250432),	// IMAGE_ATOMIC_SUB_V2_V4_si
 6765     UINT64_C(4031512576),	// IMAGE_ATOMIC_SUB_V2_V4_vi
 6766     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V1_gfx10
 6767     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V1_si
 6768     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V1_V1_vi
 6769     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V2_gfx10
 6770     UINT64_C(4030464002),	// IMAGE_ATOMIC_SWAP_V1_V2_nsa_gfx10
 6771     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V2_si
 6772     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V1_V2_vi
 6773     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V3_gfx10
 6774     UINT64_C(4030464002),	// IMAGE_ATOMIC_SWAP_V1_V3_nsa_gfx10
 6775     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V3_si
 6776     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V1_V3_vi
 6777     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V4_gfx10
 6778     UINT64_C(4030464002),	// IMAGE_ATOMIC_SWAP_V1_V4_nsa_gfx10
 6779     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V1_V4_si
 6780     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V1_V4_vi
 6781     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V1_gfx10
 6782     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V1_si
 6783     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V2_V1_vi
 6784     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V2_gfx10
 6785     UINT64_C(4030464002),	// IMAGE_ATOMIC_SWAP_V2_V2_nsa_gfx10
 6786     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V2_si
 6787     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V2_V2_vi
 6788     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V3_gfx10
 6789     UINT64_C(4030464002),	// IMAGE_ATOMIC_SWAP_V2_V3_nsa_gfx10
 6790     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V3_si
 6791     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V2_V3_vi
 6792     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V4_gfx10
 6793     UINT64_C(4030464002),	// IMAGE_ATOMIC_SWAP_V2_V4_nsa_gfx10
 6794     UINT64_C(4030464000),	// IMAGE_ATOMIC_SWAP_V2_V4_si
 6795     UINT64_C(4030726144),	// IMAGE_ATOMIC_SWAP_V2_V4_vi
 6796     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V1_gfx10
 6797     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V1_si
 6798     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V1_vi
 6799     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V2_gfx10
 6800     UINT64_C(4032561154),	// IMAGE_ATOMIC_UMAX_V1_V2_nsa_gfx10
 6801     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V2_si
 6802     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V2_vi
 6803     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V3_gfx10
 6804     UINT64_C(4032561154),	// IMAGE_ATOMIC_UMAX_V1_V3_nsa_gfx10
 6805     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V3_si
 6806     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V3_vi
 6807     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V4_gfx10
 6808     UINT64_C(4032561154),	// IMAGE_ATOMIC_UMAX_V1_V4_nsa_gfx10
 6809     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V4_si
 6810     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V1_V4_vi
 6811     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V1_gfx10
 6812     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V1_si
 6813     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V1_vi
 6814     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V2_gfx10
 6815     UINT64_C(4032561154),	// IMAGE_ATOMIC_UMAX_V2_V2_nsa_gfx10
 6816     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V2_si
 6817     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V2_vi
 6818     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V3_gfx10
 6819     UINT64_C(4032561154),	// IMAGE_ATOMIC_UMAX_V2_V3_nsa_gfx10
 6820     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V3_si
 6821     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V3_vi
 6822     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V4_gfx10
 6823     UINT64_C(4032561154),	// IMAGE_ATOMIC_UMAX_V2_V4_nsa_gfx10
 6824     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V4_si
 6825     UINT64_C(4032561152),	// IMAGE_ATOMIC_UMAX_V2_V4_vi
 6826     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V1_gfx10
 6827     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V1_si
 6828     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V1_vi
 6829     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V2_gfx10
 6830     UINT64_C(4032036866),	// IMAGE_ATOMIC_UMIN_V1_V2_nsa_gfx10
 6831     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V2_si
 6832     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V2_vi
 6833     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V3_gfx10
 6834     UINT64_C(4032036866),	// IMAGE_ATOMIC_UMIN_V1_V3_nsa_gfx10
 6835     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V3_si
 6836     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V3_vi
 6837     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V4_gfx10
 6838     UINT64_C(4032036866),	// IMAGE_ATOMIC_UMIN_V1_V4_nsa_gfx10
 6839     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V4_si
 6840     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V1_V4_vi
 6841     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V1_gfx10
 6842     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V1_si
 6843     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V1_vi
 6844     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V2_gfx10
 6845     UINT64_C(4032036866),	// IMAGE_ATOMIC_UMIN_V2_V2_nsa_gfx10
 6846     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V2_si
 6847     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V2_vi
 6848     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V3_gfx10
 6849     UINT64_C(4032036866),	// IMAGE_ATOMIC_UMIN_V2_V3_nsa_gfx10
 6850     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V3_si
 6851     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V3_vi
 6852     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V4_gfx10
 6853     UINT64_C(4032036866),	// IMAGE_ATOMIC_UMIN_V2_V4_nsa_gfx10
 6854     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V4_si
 6855     UINT64_C(4032036864),	// IMAGE_ATOMIC_UMIN_V2_V4_vi
 6856     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V1_gfx10
 6857     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V1_si
 6858     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V1_vi
 6859     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V2_gfx10
 6860     UINT64_C(4033347586),	// IMAGE_ATOMIC_XOR_V1_V2_nsa_gfx10
 6861     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V2_si
 6862     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V2_vi
 6863     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V3_gfx10
 6864     UINT64_C(4033347586),	// IMAGE_ATOMIC_XOR_V1_V3_nsa_gfx10
 6865     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V3_si
 6866     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V3_vi
 6867     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V4_gfx10
 6868     UINT64_C(4033347586),	// IMAGE_ATOMIC_XOR_V1_V4_nsa_gfx10
 6869     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V4_si
 6870     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V1_V4_vi
 6871     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V1_gfx10
 6872     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V1_si
 6873     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V1_vi
 6874     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V2_gfx10
 6875     UINT64_C(4033347586),	// IMAGE_ATOMIC_XOR_V2_V2_nsa_gfx10
 6876     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V2_si
 6877     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V2_vi
 6878     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V3_gfx10
 6879     UINT64_C(4033347586),	// IMAGE_ATOMIC_XOR_V2_V3_nsa_gfx10
 6880     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V3_si
 6881     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V3_vi
 6882     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V4_gfx10
 6883     UINT64_C(4033347586),	// IMAGE_ATOMIC_XOR_V2_V4_nsa_gfx10
 6884     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V4_si
 6885     UINT64_C(4033347584),	// IMAGE_ATOMIC_XOR_V2_V4_vi
 6886     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V2_V3
 6887     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V2_V3_gfx10
 6888     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V2_V3_nsa_gfx10
 6889     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V2_V4
 6890     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V2_V4_gfx10
 6891     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V2_V4_nsa_gfx10
 6892     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V2_V5_nsa_gfx10
 6893     UINT64_C(4049076228),	// IMAGE_GATHER4_B_CL_O_V2_V6_nsa_gfx10
 6894     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V2_V8
 6895     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V2_V8_gfx10
 6896     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V4_V3
 6897     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V4_V3_gfx10
 6898     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V4_V3_nsa_gfx10
 6899     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V4_V4
 6900     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V4_V4_gfx10
 6901     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V4_V4_nsa_gfx10
 6902     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V4_V5_nsa_gfx10
 6903     UINT64_C(4049076228),	// IMAGE_GATHER4_B_CL_O_V4_V6_nsa_gfx10
 6904     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V4_V8
 6905     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V4_V8_gfx10
 6906     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V5_V3
 6907     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V5_V3_gfx10
 6908     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V5_V3_nsa_gfx10
 6909     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V5_V4
 6910     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V5_V4_gfx10
 6911     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V5_V4_nsa_gfx10
 6912     UINT64_C(4049076226),	// IMAGE_GATHER4_B_CL_O_V5_V5_nsa_gfx10
 6913     UINT64_C(4049076228),	// IMAGE_GATHER4_B_CL_O_V5_V6_nsa_gfx10
 6914     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V5_V8
 6915     UINT64_C(4049076224),	// IMAGE_GATHER4_B_CL_O_V5_V8_gfx10
 6916     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V2
 6917     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V2_gfx10
 6918     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V2_V2_nsa_gfx10
 6919     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V3
 6920     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V3_gfx10
 6921     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V2_V3_nsa_gfx10
 6922     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V4
 6923     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V4_gfx10
 6924     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V2_V4_nsa_gfx10
 6925     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V2_V5_nsa_gfx10
 6926     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V8
 6927     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V2_V8_gfx10
 6928     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V2
 6929     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V2_gfx10
 6930     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V4_V2_nsa_gfx10
 6931     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V3
 6932     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V3_gfx10
 6933     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V4_V3_nsa_gfx10
 6934     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V4
 6935     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V4_gfx10
 6936     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V4_V4_nsa_gfx10
 6937     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V4_V5_nsa_gfx10
 6938     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V8
 6939     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V4_V8_gfx10
 6940     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V2
 6941     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V2_gfx10
 6942     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V5_V2_nsa_gfx10
 6943     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V3
 6944     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V3_gfx10
 6945     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V5_V3_nsa_gfx10
 6946     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V4
 6947     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V4_gfx10
 6948     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V5_V4_nsa_gfx10
 6949     UINT64_C(4044881922),	// IMAGE_GATHER4_B_CL_V5_V5_nsa_gfx10
 6950     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V8
 6951     UINT64_C(4044881920),	// IMAGE_GATHER4_B_CL_V5_V8_gfx10
 6952     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V2_V3
 6953     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V2_V3_gfx10
 6954     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V2_V3_nsa_gfx10
 6955     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V2_V4
 6956     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V2_V4_gfx10
 6957     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V2_V4_nsa_gfx10
 6958     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V2_V5_nsa_gfx10
 6959     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V2_V8
 6960     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V2_V8_gfx10
 6961     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V4_V3
 6962     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V4_V3_gfx10
 6963     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V4_V3_nsa_gfx10
 6964     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V4_V4
 6965     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V4_V4_gfx10
 6966     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V4_V4_nsa_gfx10
 6967     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V4_V5_nsa_gfx10
 6968     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V4_V8
 6969     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V4_V8_gfx10
 6970     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V5_V3
 6971     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V5_V3_gfx10
 6972     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V5_V3_nsa_gfx10
 6973     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V5_V4
 6974     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V5_V4_gfx10
 6975     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V5_V4_nsa_gfx10
 6976     UINT64_C(4048814082),	// IMAGE_GATHER4_B_O_V5_V5_nsa_gfx10
 6977     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V5_V8
 6978     UINT64_C(4048814080),	// IMAGE_GATHER4_B_O_V5_V8_gfx10
 6979     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V2_V2
 6980     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V2_V2_gfx10
 6981     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V2_V2_nsa_gfx10
 6982     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V2_V3
 6983     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V2_V3_gfx10
 6984     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V2_V3_nsa_gfx10
 6985     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V2_V4
 6986     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V2_V4_gfx10
 6987     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V2_V4_nsa_gfx10
 6988     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V4_V2
 6989     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V4_V2_gfx10
 6990     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V4_V2_nsa_gfx10
 6991     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V4_V3
 6992     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V4_V3_gfx10
 6993     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V4_V3_nsa_gfx10
 6994     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V4_V4
 6995     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V4_V4_gfx10
 6996     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V4_V4_nsa_gfx10
 6997     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V5_V2
 6998     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V5_V2_gfx10
 6999     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V5_V2_nsa_gfx10
 7000     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V5_V3
 7001     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V5_V3_gfx10
 7002     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V5_V3_nsa_gfx10
 7003     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V5_V4
 7004     UINT64_C(4044619776),	// IMAGE_GATHER4_B_V5_V4_gfx10
 7005     UINT64_C(4044619778),	// IMAGE_GATHER4_B_V5_V4_nsa_gfx10
 7006     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V2
 7007     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V2_gfx10
 7008     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V2_V2_nsa_gfx10
 7009     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V3
 7010     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V3_gfx10
 7011     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V2_V3_nsa_gfx10
 7012     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V4
 7013     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V4_gfx10
 7014     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V2_V4_nsa_gfx10
 7015     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V2_V5_nsa_gfx10
 7016     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V8
 7017     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V2_V8_gfx10
 7018     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V2
 7019     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V2_gfx10
 7020     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V4_V2_nsa_gfx10
 7021     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V3
 7022     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V3_gfx10
 7023     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V4_V3_nsa_gfx10
 7024     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V4
 7025     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V4_gfx10
 7026     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V4_V4_nsa_gfx10
 7027     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V4_V5_nsa_gfx10
 7028     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V8
 7029     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V4_V8_gfx10
 7030     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V2
 7031     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V2_gfx10
 7032     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V5_V2_nsa_gfx10
 7033     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V3
 7034     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V3_gfx10
 7035     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V5_V3_nsa_gfx10
 7036     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V4
 7037     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V4_gfx10
 7038     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V5_V4_nsa_gfx10
 7039     UINT64_C(4047765506),	// IMAGE_GATHER4_CL_O_V5_V5_nsa_gfx10
 7040     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V8
 7041     UINT64_C(4047765504),	// IMAGE_GATHER4_CL_O_V5_V8_gfx10
 7042     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V1
 7043     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V1_gfx10
 7044     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V2
 7045     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V2_gfx10
 7046     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V2_V2_nsa_gfx10
 7047     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V3
 7048     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V3_gfx10
 7049     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V2_V3_nsa_gfx10
 7050     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V4
 7051     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V2_V4_gfx10
 7052     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V2_V4_nsa_gfx10
 7053     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V1
 7054     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V1_gfx10
 7055     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V2
 7056     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V2_gfx10
 7057     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V4_V2_nsa_gfx10
 7058     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V3
 7059     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V3_gfx10
 7060     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V4_V3_nsa_gfx10
 7061     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V4
 7062     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V4_V4_gfx10
 7063     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V4_V4_nsa_gfx10
 7064     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V1
 7065     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V1_gfx10
 7066     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V2
 7067     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V2_gfx10
 7068     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V5_V2_nsa_gfx10
 7069     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V3
 7070     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V3_gfx10
 7071     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V5_V3_nsa_gfx10
 7072     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V4
 7073     UINT64_C(4043571200),	// IMAGE_GATHER4_CL_V5_V4_gfx10
 7074     UINT64_C(4043571202),	// IMAGE_GATHER4_CL_V5_V4_nsa_gfx10
 7075     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V2_V4
 7076     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V2_V4_gfx10
 7077     UINT64_C(4051173378),	// IMAGE_GATHER4_C_B_CL_O_V2_V4_nsa_gfx10
 7078     UINT64_C(4051173378),	// IMAGE_GATHER4_C_B_CL_O_V2_V5_nsa_gfx10
 7079     UINT64_C(4051173380),	// IMAGE_GATHER4_C_B_CL_O_V2_V6_nsa_gfx10
 7080     UINT64_C(4051173380),	// IMAGE_GATHER4_C_B_CL_O_V2_V7_nsa_gfx10
 7081     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V2_V8
 7082     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V2_V8_gfx10
 7083     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V4_V4
 7084     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V4_V4_gfx10
 7085     UINT64_C(4051173378),	// IMAGE_GATHER4_C_B_CL_O_V4_V4_nsa_gfx10
 7086     UINT64_C(4051173378),	// IMAGE_GATHER4_C_B_CL_O_V4_V5_nsa_gfx10
 7087     UINT64_C(4051173380),	// IMAGE_GATHER4_C_B_CL_O_V4_V6_nsa_gfx10
 7088     UINT64_C(4051173380),	// IMAGE_GATHER4_C_B_CL_O_V4_V7_nsa_gfx10
 7089     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V4_V8
 7090     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V4_V8_gfx10
 7091     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V5_V4
 7092     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V5_V4_gfx10
 7093     UINT64_C(4051173378),	// IMAGE_GATHER4_C_B_CL_O_V5_V4_nsa_gfx10
 7094     UINT64_C(4051173378),	// IMAGE_GATHER4_C_B_CL_O_V5_V5_nsa_gfx10
 7095     UINT64_C(4051173380),	// IMAGE_GATHER4_C_B_CL_O_V5_V6_nsa_gfx10
 7096     UINT64_C(4051173380),	// IMAGE_GATHER4_C_B_CL_O_V5_V7_nsa_gfx10
 7097     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V5_V8
 7098     UINT64_C(4051173376),	// IMAGE_GATHER4_C_B_CL_O_V5_V8_gfx10
 7099     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V2_V3
 7100     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V2_V3_gfx10
 7101     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V2_V3_nsa_gfx10
 7102     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V2_V4
 7103     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V2_V4_gfx10
 7104     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V2_V4_nsa_gfx10
 7105     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V2_V5_nsa_gfx10
 7106     UINT64_C(4046979076),	// IMAGE_GATHER4_C_B_CL_V2_V6_nsa_gfx10
 7107     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V2_V8
 7108     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V2_V8_gfx10
 7109     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V4_V3
 7110     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V4_V3_gfx10
 7111     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V4_V3_nsa_gfx10
 7112     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V4_V4
 7113     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V4_V4_gfx10
 7114     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V4_V4_nsa_gfx10
 7115     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V4_V5_nsa_gfx10
 7116     UINT64_C(4046979076),	// IMAGE_GATHER4_C_B_CL_V4_V6_nsa_gfx10
 7117     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V4_V8
 7118     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V4_V8_gfx10
 7119     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V5_V3
 7120     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V5_V3_gfx10
 7121     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V5_V3_nsa_gfx10
 7122     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V5_V4
 7123     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V5_V4_gfx10
 7124     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V5_V4_nsa_gfx10
 7125     UINT64_C(4046979074),	// IMAGE_GATHER4_C_B_CL_V5_V5_nsa_gfx10
 7126     UINT64_C(4046979076),	// IMAGE_GATHER4_C_B_CL_V5_V6_nsa_gfx10
 7127     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V5_V8
 7128     UINT64_C(4046979072),	// IMAGE_GATHER4_C_B_CL_V5_V8_gfx10
 7129     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V2_V4
 7130     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V2_V4_gfx10
 7131     UINT64_C(4050911234),	// IMAGE_GATHER4_C_B_O_V2_V4_nsa_gfx10
 7132     UINT64_C(4050911234),	// IMAGE_GATHER4_C_B_O_V2_V5_nsa_gfx10
 7133     UINT64_C(4050911236),	// IMAGE_GATHER4_C_B_O_V2_V6_nsa_gfx10
 7134     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V2_V8
 7135     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V2_V8_gfx10
 7136     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V4_V4
 7137     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V4_V4_gfx10
 7138     UINT64_C(4050911234),	// IMAGE_GATHER4_C_B_O_V4_V4_nsa_gfx10
 7139     UINT64_C(4050911234),	// IMAGE_GATHER4_C_B_O_V4_V5_nsa_gfx10
 7140     UINT64_C(4050911236),	// IMAGE_GATHER4_C_B_O_V4_V6_nsa_gfx10
 7141     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V4_V8
 7142     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V4_V8_gfx10
 7143     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V5_V4
 7144     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V5_V4_gfx10
 7145     UINT64_C(4050911234),	// IMAGE_GATHER4_C_B_O_V5_V4_nsa_gfx10
 7146     UINT64_C(4050911234),	// IMAGE_GATHER4_C_B_O_V5_V5_nsa_gfx10
 7147     UINT64_C(4050911236),	// IMAGE_GATHER4_C_B_O_V5_V6_nsa_gfx10
 7148     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V5_V8
 7149     UINT64_C(4050911232),	// IMAGE_GATHER4_C_B_O_V5_V8_gfx10
 7150     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V2_V3
 7151     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V2_V3_gfx10
 7152     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V2_V3_nsa_gfx10
 7153     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V2_V4
 7154     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V2_V4_gfx10
 7155     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V2_V4_nsa_gfx10
 7156     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V2_V5_nsa_gfx10
 7157     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V2_V8
 7158     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V2_V8_gfx10
 7159     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V4_V3
 7160     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V4_V3_gfx10
 7161     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V4_V3_nsa_gfx10
 7162     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V4_V4
 7163     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V4_V4_gfx10
 7164     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V4_V4_nsa_gfx10
 7165     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V4_V5_nsa_gfx10
 7166     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V4_V8
 7167     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V4_V8_gfx10
 7168     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V5_V3
 7169     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V5_V3_gfx10
 7170     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V5_V3_nsa_gfx10
 7171     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V5_V4
 7172     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V5_V4_gfx10
 7173     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V5_V4_nsa_gfx10
 7174     UINT64_C(4046716930),	// IMAGE_GATHER4_C_B_V5_V5_nsa_gfx10
 7175     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V5_V8
 7176     UINT64_C(4046716928),	// IMAGE_GATHER4_C_B_V5_V8_gfx10
 7177     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V2_V3
 7178     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V2_V3_gfx10
 7179     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V2_V3_nsa_gfx10
 7180     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V2_V4
 7181     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V2_V4_gfx10
 7182     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V2_V4_nsa_gfx10
 7183     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V2_V5_nsa_gfx10
 7184     UINT64_C(4049862660),	// IMAGE_GATHER4_C_CL_O_V2_V6_nsa_gfx10
 7185     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V2_V8
 7186     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V2_V8_gfx10
 7187     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V4_V3
 7188     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V4_V3_gfx10
 7189     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V4_V3_nsa_gfx10
 7190     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V4_V4
 7191     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V4_V4_gfx10
 7192     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V4_V4_nsa_gfx10
 7193     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V4_V5_nsa_gfx10
 7194     UINT64_C(4049862660),	// IMAGE_GATHER4_C_CL_O_V4_V6_nsa_gfx10
 7195     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V4_V8
 7196     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V4_V8_gfx10
 7197     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V5_V3
 7198     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V5_V3_gfx10
 7199     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V5_V3_nsa_gfx10
 7200     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V5_V4
 7201     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V5_V4_gfx10
 7202     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V5_V4_nsa_gfx10
 7203     UINT64_C(4049862658),	// IMAGE_GATHER4_C_CL_O_V5_V5_nsa_gfx10
 7204     UINT64_C(4049862660),	// IMAGE_GATHER4_C_CL_O_V5_V6_nsa_gfx10
 7205     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V5_V8
 7206     UINT64_C(4049862656),	// IMAGE_GATHER4_C_CL_O_V5_V8_gfx10
 7207     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V2
 7208     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V2_gfx10
 7209     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V2_V2_nsa_gfx10
 7210     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V3
 7211     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V3_gfx10
 7212     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V2_V3_nsa_gfx10
 7213     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V4
 7214     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V4_gfx10
 7215     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V2_V4_nsa_gfx10
 7216     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V2_V5_nsa_gfx10
 7217     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V8
 7218     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V2_V8_gfx10
 7219     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V2
 7220     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V2_gfx10
 7221     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V4_V2_nsa_gfx10
 7222     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V3
 7223     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V3_gfx10
 7224     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V4_V3_nsa_gfx10
 7225     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V4
 7226     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V4_gfx10
 7227     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V4_V4_nsa_gfx10
 7228     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V4_V5_nsa_gfx10
 7229     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V8
 7230     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V4_V8_gfx10
 7231     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V2
 7232     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V2_gfx10
 7233     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V5_V2_nsa_gfx10
 7234     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V3
 7235     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V3_gfx10
 7236     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V5_V3_nsa_gfx10
 7237     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V4
 7238     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V4_gfx10
 7239     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V5_V4_nsa_gfx10
 7240     UINT64_C(4045668354),	// IMAGE_GATHER4_C_CL_V5_V5_nsa_gfx10
 7241     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V8
 7242     UINT64_C(4045668352),	// IMAGE_GATHER4_C_CL_V5_V8_gfx10
 7243     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V2_V3
 7244     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V2_V3_gfx10
 7245     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V2_V3_nsa_gfx10
 7246     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V2_V4
 7247     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V2_V4_gfx10
 7248     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V2_V4_nsa_gfx10
 7249     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V2_V5_nsa_gfx10
 7250     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V2_V8
 7251     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V2_V8_gfx10
 7252     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V4_V3
 7253     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V4_V3_gfx10
 7254     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V4_V3_nsa_gfx10
 7255     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V4_V4
 7256     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V4_V4_gfx10
 7257     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V4_V4_nsa_gfx10
 7258     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V4_V5_nsa_gfx10
 7259     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V4_V8
 7260     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V4_V8_gfx10
 7261     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V5_V3
 7262     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V5_V3_gfx10
 7263     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V5_V3_nsa_gfx10
 7264     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V5_V4
 7265     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V5_V4_gfx10
 7266     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V5_V4_nsa_gfx10
 7267     UINT64_C(4051435522),	// IMAGE_GATHER4_C_LZ_O_V5_V5_nsa_gfx10
 7268     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V5_V8
 7269     UINT64_C(4051435520),	// IMAGE_GATHER4_C_LZ_O_V5_V8_gfx10
 7270     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V2_V2
 7271     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V2_V2_gfx10
 7272     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V2_V2_nsa_gfx10
 7273     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V2_V3
 7274     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V2_V3_gfx10
 7275     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V2_V3_nsa_gfx10
 7276     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V2_V4
 7277     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V2_V4_gfx10
 7278     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V2_V4_nsa_gfx10
 7279     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V4_V2
 7280     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V4_V2_gfx10
 7281     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V4_V2_nsa_gfx10
 7282     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V4_V3
 7283     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V4_V3_gfx10
 7284     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V4_V3_nsa_gfx10
 7285     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V4_V4
 7286     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V4_V4_gfx10
 7287     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V4_V4_nsa_gfx10
 7288     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V5_V2
 7289     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V5_V2_gfx10
 7290     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V5_V2_nsa_gfx10
 7291     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V5_V3
 7292     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V5_V3_gfx10
 7293     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V5_V3_nsa_gfx10
 7294     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V5_V4
 7295     UINT64_C(4047241216),	// IMAGE_GATHER4_C_LZ_V5_V4_gfx10
 7296     UINT64_C(4047241218),	// IMAGE_GATHER4_C_LZ_V5_V4_nsa_gfx10
 7297     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V2_V3
 7298     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V2_V3_gfx10
 7299     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V2_V3_nsa_gfx10
 7300     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V2_V4
 7301     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V2_V4_gfx10
 7302     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V2_V4_nsa_gfx10
 7303     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V2_V5_nsa_gfx10
 7304     UINT64_C(4050649092),	// IMAGE_GATHER4_C_L_O_V2_V6_nsa_gfx10
 7305     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V2_V8
 7306     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V2_V8_gfx10
 7307     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V4_V3
 7308     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V4_V3_gfx10
 7309     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V4_V3_nsa_gfx10
 7310     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V4_V4
 7311     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V4_V4_gfx10
 7312     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V4_V4_nsa_gfx10
 7313     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V4_V5_nsa_gfx10
 7314     UINT64_C(4050649092),	// IMAGE_GATHER4_C_L_O_V4_V6_nsa_gfx10
 7315     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V4_V8
 7316     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V4_V8_gfx10
 7317     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V5_V3
 7318     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V5_V3_gfx10
 7319     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V5_V3_nsa_gfx10
 7320     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V5_V4
 7321     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V5_V4_gfx10
 7322     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V5_V4_nsa_gfx10
 7323     UINT64_C(4050649090),	// IMAGE_GATHER4_C_L_O_V5_V5_nsa_gfx10
 7324     UINT64_C(4050649092),	// IMAGE_GATHER4_C_L_O_V5_V6_nsa_gfx10
 7325     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V5_V8
 7326     UINT64_C(4050649088),	// IMAGE_GATHER4_C_L_O_V5_V8_gfx10
 7327     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V2
 7328     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V2_gfx10
 7329     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V2_V2_nsa_gfx10
 7330     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V3
 7331     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V3_gfx10
 7332     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V2_V3_nsa_gfx10
 7333     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V4
 7334     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V4_gfx10
 7335     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V2_V4_nsa_gfx10
 7336     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V2_V5_nsa_gfx10
 7337     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V8
 7338     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V2_V8_gfx10
 7339     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V2
 7340     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V2_gfx10
 7341     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V4_V2_nsa_gfx10
 7342     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V3
 7343     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V3_gfx10
 7344     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V4_V3_nsa_gfx10
 7345     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V4
 7346     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V4_gfx10
 7347     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V4_V4_nsa_gfx10
 7348     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V4_V5_nsa_gfx10
 7349     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V8
 7350     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V4_V8_gfx10
 7351     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V2
 7352     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V2_gfx10
 7353     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V5_V2_nsa_gfx10
 7354     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V3
 7355     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V3_gfx10
 7356     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V5_V3_nsa_gfx10
 7357     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V4
 7358     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V4_gfx10
 7359     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V5_V4_nsa_gfx10
 7360     UINT64_C(4046454786),	// IMAGE_GATHER4_C_L_V5_V5_nsa_gfx10
 7361     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V8
 7362     UINT64_C(4046454784),	// IMAGE_GATHER4_C_L_V5_V8_gfx10
 7363     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V2_V3
 7364     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V2_V3_gfx10
 7365     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V2_V3_nsa_gfx10
 7366     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V2_V4
 7367     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V2_V4_gfx10
 7368     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V2_V4_nsa_gfx10
 7369     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V2_V5_nsa_gfx10
 7370     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V2_V8
 7371     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V2_V8_gfx10
 7372     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V4_V3
 7373     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V4_V3_gfx10
 7374     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V4_V3_nsa_gfx10
 7375     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V4_V4
 7376     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V4_V4_gfx10
 7377     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V4_V4_nsa_gfx10
 7378     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V4_V5_nsa_gfx10
 7379     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V4_V8
 7380     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V4_V8_gfx10
 7381     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V5_V3
 7382     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V5_V3_gfx10
 7383     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V5_V3_nsa_gfx10
 7384     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V5_V4
 7385     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V5_V4_gfx10
 7386     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V5_V4_nsa_gfx10
 7387     UINT64_C(4049600514),	// IMAGE_GATHER4_C_O_V5_V5_nsa_gfx10
 7388     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V5_V8
 7389     UINT64_C(4049600512),	// IMAGE_GATHER4_C_O_V5_V8_gfx10
 7390     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V2_V2
 7391     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V2_V2_gfx10
 7392     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V2_V2_nsa_gfx10
 7393     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V2_V3
 7394     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V2_V3_gfx10
 7395     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V2_V3_nsa_gfx10
 7396     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V2_V4
 7397     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V2_V4_gfx10
 7398     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V2_V4_nsa_gfx10
 7399     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V4_V2
 7400     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V4_V2_gfx10
 7401     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V4_V2_nsa_gfx10
 7402     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V4_V3
 7403     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V4_V3_gfx10
 7404     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V4_V3_nsa_gfx10
 7405     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V4_V4
 7406     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V4_V4_gfx10
 7407     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V4_V4_nsa_gfx10
 7408     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V5_V2
 7409     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V5_V2_gfx10
 7410     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V5_V2_nsa_gfx10
 7411     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V5_V3
 7412     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V5_V3_gfx10
 7413     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V5_V3_nsa_gfx10
 7414     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V5_V4
 7415     UINT64_C(4045406208),	// IMAGE_GATHER4_C_V5_V4_gfx10
 7416     UINT64_C(4045406210),	// IMAGE_GATHER4_C_V5_V4_nsa_gfx10
 7417     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V2_V2
 7418     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V2_V2_gfx10
 7419     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V2_V2_nsa_gfx10
 7420     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V2_V3
 7421     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V2_V3_gfx10
 7422     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V2_V3_nsa_gfx10
 7423     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V2_V4
 7424     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V2_V4_gfx10
 7425     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V2_V4_nsa_gfx10
 7426     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V4_V2
 7427     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V4_V2_gfx10
 7428     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V4_V2_nsa_gfx10
 7429     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V4_V3
 7430     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V4_V3_gfx10
 7431     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V4_V3_nsa_gfx10
 7432     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V4_V4
 7433     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V4_V4_gfx10
 7434     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V4_V4_nsa_gfx10
 7435     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V5_V2
 7436     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V5_V2_gfx10
 7437     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V5_V2_nsa_gfx10
 7438     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V5_V3
 7439     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V5_V3_gfx10
 7440     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V5_V3_nsa_gfx10
 7441     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V5_V4
 7442     UINT64_C(4049338368),	// IMAGE_GATHER4_LZ_O_V5_V4_gfx10
 7443     UINT64_C(4049338370),	// IMAGE_GATHER4_LZ_O_V5_V4_nsa_gfx10
 7444     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V1
 7445     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V1_gfx10
 7446     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V2
 7447     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V2_gfx10
 7448     UINT64_C(4045144066),	// IMAGE_GATHER4_LZ_V2_V2_nsa_gfx10
 7449     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V3
 7450     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V3_gfx10
 7451     UINT64_C(4045144066),	// IMAGE_GATHER4_LZ_V2_V3_nsa_gfx10
 7452     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V4
 7453     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V2_V4_gfx10
 7454     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V1
 7455     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V1_gfx10
 7456     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V2
 7457     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V2_gfx10
 7458     UINT64_C(4045144066),	// IMAGE_GATHER4_LZ_V4_V2_nsa_gfx10
 7459     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V3
 7460     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V3_gfx10
 7461     UINT64_C(4045144066),	// IMAGE_GATHER4_LZ_V4_V3_nsa_gfx10
 7462     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V4
 7463     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V4_V4_gfx10
 7464     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V1
 7465     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V1_gfx10
 7466     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V2
 7467     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V2_gfx10
 7468     UINT64_C(4045144066),	// IMAGE_GATHER4_LZ_V5_V2_nsa_gfx10
 7469     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V3
 7470     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V3_gfx10
 7471     UINT64_C(4045144066),	// IMAGE_GATHER4_LZ_V5_V3_nsa_gfx10
 7472     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V4
 7473     UINT64_C(4045144064),	// IMAGE_GATHER4_LZ_V5_V4_gfx10
 7474     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V2
 7475     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V2_gfx10
 7476     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V2_V2_nsa_gfx10
 7477     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V3
 7478     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V3_gfx10
 7479     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V2_V3_nsa_gfx10
 7480     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V4
 7481     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V4_gfx10
 7482     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V2_V4_nsa_gfx10
 7483     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V2_V5_nsa_gfx10
 7484     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V8
 7485     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V2_V8_gfx10
 7486     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V2
 7487     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V2_gfx10
 7488     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V4_V2_nsa_gfx10
 7489     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V3
 7490     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V3_gfx10
 7491     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V4_V3_nsa_gfx10
 7492     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V4
 7493     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V4_gfx10
 7494     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V4_V4_nsa_gfx10
 7495     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V4_V5_nsa_gfx10
 7496     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V8
 7497     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V4_V8_gfx10
 7498     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V2
 7499     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V2_gfx10
 7500     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V5_V2_nsa_gfx10
 7501     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V3
 7502     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V3_gfx10
 7503     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V5_V3_nsa_gfx10
 7504     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V4
 7505     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V4_gfx10
 7506     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V5_V4_nsa_gfx10
 7507     UINT64_C(4048551938),	// IMAGE_GATHER4_L_O_V5_V5_nsa_gfx10
 7508     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V8
 7509     UINT64_C(4048551936),	// IMAGE_GATHER4_L_O_V5_V8_gfx10
 7510     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V1
 7511     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V1_gfx10
 7512     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V2
 7513     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V2_gfx10
 7514     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V2_V2_nsa_gfx10
 7515     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V3
 7516     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V3_gfx10
 7517     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V2_V3_nsa_gfx10
 7518     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V4
 7519     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V2_V4_gfx10
 7520     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V2_V4_nsa_gfx10
 7521     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V1
 7522     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V1_gfx10
 7523     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V2
 7524     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V2_gfx10
 7525     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V4_V2_nsa_gfx10
 7526     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V3
 7527     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V3_gfx10
 7528     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V4_V3_nsa_gfx10
 7529     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V4
 7530     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V4_V4_gfx10
 7531     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V4_V4_nsa_gfx10
 7532     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V1
 7533     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V1_gfx10
 7534     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V2
 7535     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V2_gfx10
 7536     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V5_V2_nsa_gfx10
 7537     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V3
 7538     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V3_gfx10
 7539     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V5_V3_nsa_gfx10
 7540     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V4
 7541     UINT64_C(4044357632),	// IMAGE_GATHER4_L_V5_V4_gfx10
 7542     UINT64_C(4044357634),	// IMAGE_GATHER4_L_V5_V4_nsa_gfx10
 7543     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V2_V2
 7544     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V2_V2_gfx10
 7545     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V2_V2_nsa_gfx10
 7546     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V2_V3
 7547     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V2_V3_gfx10
 7548     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V2_V3_nsa_gfx10
 7549     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V2_V4
 7550     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V2_V4_gfx10
 7551     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V2_V4_nsa_gfx10
 7552     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V4_V2
 7553     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V4_V2_gfx10
 7554     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V4_V2_nsa_gfx10
 7555     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V4_V3
 7556     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V4_V3_gfx10
 7557     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V4_V3_nsa_gfx10
 7558     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V4_V4
 7559     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V4_V4_gfx10
 7560     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V4_V4_nsa_gfx10
 7561     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V5_V2
 7562     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V5_V2_gfx10
 7563     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V5_V2_nsa_gfx10
 7564     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V5_V3
 7565     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V5_V3_gfx10
 7566     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V5_V3_nsa_gfx10
 7567     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V5_V4
 7568     UINT64_C(4047503360),	// IMAGE_GATHER4_O_V5_V4_gfx10
 7569     UINT64_C(4047503362),	// IMAGE_GATHER4_O_V5_V4_nsa_gfx10
 7570     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V1
 7571     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V1_gfx10
 7572     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V2
 7573     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V2_gfx10
 7574     UINT64_C(4043309058),	// IMAGE_GATHER4_V2_V2_nsa_gfx10
 7575     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V3
 7576     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V3_gfx10
 7577     UINT64_C(4043309058),	// IMAGE_GATHER4_V2_V3_nsa_gfx10
 7578     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V4
 7579     UINT64_C(4043309056),	// IMAGE_GATHER4_V2_V4_gfx10
 7580     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V1
 7581     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V1_gfx10
 7582     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V2
 7583     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V2_gfx10
 7584     UINT64_C(4043309058),	// IMAGE_GATHER4_V4_V2_nsa_gfx10
 7585     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V3
 7586     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V3_gfx10
 7587     UINT64_C(4043309058),	// IMAGE_GATHER4_V4_V3_nsa_gfx10
 7588     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V4
 7589     UINT64_C(4043309056),	// IMAGE_GATHER4_V4_V4_gfx10
 7590     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V1
 7591     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V1_gfx10
 7592     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V2
 7593     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V2_gfx10
 7594     UINT64_C(4043309058),	// IMAGE_GATHER4_V5_V2_nsa_gfx10
 7595     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V3
 7596     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V3_gfx10
 7597     UINT64_C(4043309058),	// IMAGE_GATHER4_V5_V3_nsa_gfx10
 7598     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V4
 7599     UINT64_C(4043309056),	// IMAGE_GATHER4_V5_V4_gfx10
 7600     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V1
 7601     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V1_gfx10
 7602     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V2
 7603     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V2_gfx10
 7604     UINT64_C(4051697666),	// IMAGE_GET_LOD_V1_V2_nsa_gfx10
 7605     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V3
 7606     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V3_gfx10
 7607     UINT64_C(4051697666),	// IMAGE_GET_LOD_V1_V3_nsa_gfx10
 7608     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V4
 7609     UINT64_C(4051697664),	// IMAGE_GET_LOD_V1_V4_gfx10
 7610     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V1
 7611     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V1_gfx10
 7612     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V2
 7613     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V2_gfx10
 7614     UINT64_C(4051697666),	// IMAGE_GET_LOD_V2_V2_nsa_gfx10
 7615     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V3
 7616     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V3_gfx10
 7617     UINT64_C(4051697666),	// IMAGE_GET_LOD_V2_V3_nsa_gfx10
 7618     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V4
 7619     UINT64_C(4051697664),	// IMAGE_GET_LOD_V2_V4_gfx10
 7620     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V1
 7621     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V1_gfx10
 7622     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V2
 7623     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V2_gfx10
 7624     UINT64_C(4051697666),	// IMAGE_GET_LOD_V3_V2_nsa_gfx10
 7625     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V3
 7626     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V3_gfx10
 7627     UINT64_C(4051697666),	// IMAGE_GET_LOD_V3_V3_nsa_gfx10
 7628     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V4
 7629     UINT64_C(4051697664),	// IMAGE_GET_LOD_V3_V4_gfx10
 7630     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V1
 7631     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V1_gfx10
 7632     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V2
 7633     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V2_gfx10
 7634     UINT64_C(4051697666),	// IMAGE_GET_LOD_V4_V2_nsa_gfx10
 7635     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V3
 7636     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V3_gfx10
 7637     UINT64_C(4051697666),	// IMAGE_GET_LOD_V4_V3_nsa_gfx10
 7638     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V4
 7639     UINT64_C(4051697664),	// IMAGE_GET_LOD_V4_V4_gfx10
 7640     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V1
 7641     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V1_gfx10
 7642     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V2
 7643     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V2_gfx10
 7644     UINT64_C(4051697666),	// IMAGE_GET_LOD_V5_V2_nsa_gfx10
 7645     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V3
 7646     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V3_gfx10
 7647     UINT64_C(4051697666),	// IMAGE_GET_LOD_V5_V3_nsa_gfx10
 7648     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V4
 7649     UINT64_C(4051697664),	// IMAGE_GET_LOD_V5_V4_gfx10
 7650     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V1
 7651     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V1_gfx10
 7652     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V2
 7653     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V2_gfx10
 7654     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V1_V2_nsa_gfx10
 7655     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V3
 7656     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V3_gfx10
 7657     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V1_V3_nsa_gfx10
 7658     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V4
 7659     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V1_V4_gfx10
 7660     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V1_V4_nsa_gfx10
 7661     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V1
 7662     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V1_gfx10
 7663     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V2
 7664     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V2_gfx10
 7665     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V2_V2_nsa_gfx10
 7666     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V3
 7667     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V3_gfx10
 7668     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V2_V3_nsa_gfx10
 7669     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V4
 7670     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V2_V4_gfx10
 7671     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V2_V4_nsa_gfx10
 7672     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V1
 7673     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V1_gfx10
 7674     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V2
 7675     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V2_gfx10
 7676     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V3_V2_nsa_gfx10
 7677     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V3
 7678     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V3_gfx10
 7679     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V3_V3_nsa_gfx10
 7680     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V4
 7681     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V3_V4_gfx10
 7682     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V3_V4_nsa_gfx10
 7683     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V1
 7684     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V1_gfx10
 7685     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V2
 7686     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V2_gfx10
 7687     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V4_V2_nsa_gfx10
 7688     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V3
 7689     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V3_gfx10
 7690     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V4_V3_nsa_gfx10
 7691     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V4
 7692     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V4_V4_gfx10
 7693     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V4_V4_nsa_gfx10
 7694     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V1
 7695     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V1_gfx10
 7696     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V2
 7697     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V2_gfx10
 7698     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V5_V2_nsa_gfx10
 7699     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V3
 7700     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V3_gfx10
 7701     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V5_V3_nsa_gfx10
 7702     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V4
 7703     UINT64_C(4030201856),	// IMAGE_GET_RESINFO_V5_V4_gfx10
 7704     UINT64_C(4030201858),	// IMAGE_GET_RESINFO_V5_V4_nsa_gfx10
 7705     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V1
 7706     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V1_gfx10
 7707     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V2
 7708     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V2_gfx10
 7709     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V2_nsa_gfx10
 7710     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V3
 7711     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V3_gfx10
 7712     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V3_nsa_gfx10
 7713     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V4
 7714     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V4_gfx10
 7715     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V1_V4_nsa_gfx10
 7716     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V1
 7717     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V1_gfx10
 7718     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V2
 7719     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V2_gfx10
 7720     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V2_nsa_gfx10
 7721     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V3
 7722     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V3_gfx10
 7723     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V3_nsa_gfx10
 7724     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V4
 7725     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V4_gfx10
 7726     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V2_V4_nsa_gfx10
 7727     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V1
 7728     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V1_gfx10
 7729     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V2
 7730     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V2_gfx10
 7731     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V2_nsa_gfx10
 7732     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V3
 7733     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V3_gfx10
 7734     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V3_nsa_gfx10
 7735     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V4
 7736     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V4_gfx10
 7737     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V3_V4_nsa_gfx10
 7738     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V1
 7739     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V1_gfx10
 7740     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V2
 7741     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V2_gfx10
 7742     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V2_nsa_gfx10
 7743     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V3
 7744     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V3_gfx10
 7745     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V3_nsa_gfx10
 7746     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V4
 7747     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V4_gfx10
 7748     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V4_V4_nsa_gfx10
 7749     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V1
 7750     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V1_gfx10
 7751     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V2
 7752     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V2_gfx10
 7753     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V2_nsa_gfx10
 7754     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V3
 7755     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V3_gfx10
 7756     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V3_nsa_gfx10
 7757     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V4
 7758     UINT64_C(4027842560),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V4_gfx10
 7759     UINT64_C(4027842562),	// IMAGE_LOAD_MIP_PCK_SGN_V5_V4_nsa_gfx10
 7760     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V1
 7761     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V1_gfx10
 7762     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V2
 7763     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V2_gfx10
 7764     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V1_V2_nsa_gfx10
 7765     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V3
 7766     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V3_gfx10
 7767     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V1_V3_nsa_gfx10
 7768     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V4
 7769     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V1_V4_gfx10
 7770     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V1_V4_nsa_gfx10
 7771     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V1
 7772     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V1_gfx10
 7773     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V2
 7774     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V2_gfx10
 7775     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V2_V2_nsa_gfx10
 7776     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V3
 7777     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V3_gfx10
 7778     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V2_V3_nsa_gfx10
 7779     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V4
 7780     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V2_V4_gfx10
 7781     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V2_V4_nsa_gfx10
 7782     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V1
 7783     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V1_gfx10
 7784     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V2
 7785     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V2_gfx10
 7786     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V3_V2_nsa_gfx10
 7787     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V3
 7788     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V3_gfx10
 7789     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V3_V3_nsa_gfx10
 7790     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V4
 7791     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V3_V4_gfx10
 7792     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V3_V4_nsa_gfx10
 7793     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V1
 7794     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V1_gfx10
 7795     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V2
 7796     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V2_gfx10
 7797     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V4_V2_nsa_gfx10
 7798     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V3
 7799     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V3_gfx10
 7800     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V4_V3_nsa_gfx10
 7801     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V4
 7802     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V4_V4_gfx10
 7803     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V4_V4_nsa_gfx10
 7804     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V1
 7805     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V1_gfx10
 7806     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V2
 7807     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V2_gfx10
 7808     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V5_V2_nsa_gfx10
 7809     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V3
 7810     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V3_gfx10
 7811     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V5_V3_nsa_gfx10
 7812     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V4
 7813     UINT64_C(4027580416),	// IMAGE_LOAD_MIP_PCK_V5_V4_gfx10
 7814     UINT64_C(4027580418),	// IMAGE_LOAD_MIP_PCK_V5_V4_nsa_gfx10
 7815     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V1
 7816     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V1_gfx10
 7817     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V2
 7818     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V2_gfx10
 7819     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V1_V2_nsa_gfx10
 7820     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V3
 7821     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V3_gfx10
 7822     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V1_V3_nsa_gfx10
 7823     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V4
 7824     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V1_V4_gfx10
 7825     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V1_V4_nsa_gfx10
 7826     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V1
 7827     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V1_gfx10
 7828     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V2
 7829     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V2_gfx10
 7830     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V2_V2_nsa_gfx10
 7831     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V3
 7832     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V3_gfx10
 7833     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V2_V3_nsa_gfx10
 7834     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V4
 7835     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V2_V4_gfx10
 7836     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V2_V4_nsa_gfx10
 7837     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V1
 7838     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V1_gfx10
 7839     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V2
 7840     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V2_gfx10
 7841     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V3_V2_nsa_gfx10
 7842     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V3
 7843     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V3_gfx10
 7844     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V3_V3_nsa_gfx10
 7845     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V4
 7846     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V3_V4_gfx10
 7847     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V3_V4_nsa_gfx10
 7848     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V1
 7849     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V1_gfx10
 7850     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V2
 7851     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V2_gfx10
 7852     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V4_V2_nsa_gfx10
 7853     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V3
 7854     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V3_gfx10
 7855     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V4_V3_nsa_gfx10
 7856     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V4
 7857     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V4_V4_gfx10
 7858     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V4_V4_nsa_gfx10
 7859     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V1
 7860     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V1_gfx10
 7861     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V2
 7862     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V2_gfx10
 7863     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V5_V2_nsa_gfx10
 7864     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V3
 7865     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V3_gfx10
 7866     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V5_V3_nsa_gfx10
 7867     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V4
 7868     UINT64_C(4026793984),	// IMAGE_LOAD_MIP_V5_V4_gfx10
 7869     UINT64_C(4026793986),	// IMAGE_LOAD_MIP_V5_V4_nsa_gfx10
 7870     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V1
 7871     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V1_gfx10
 7872     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V2
 7873     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V2_gfx10
 7874     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V1_V2_nsa_gfx10
 7875     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V3
 7876     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V3_gfx10
 7877     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V1_V3_nsa_gfx10
 7878     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V4
 7879     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V1_V4_gfx10
 7880     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V1_V4_nsa_gfx10
 7881     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V1
 7882     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V1_gfx10
 7883     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V2
 7884     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V2_gfx10
 7885     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V2_V2_nsa_gfx10
 7886     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V3
 7887     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V3_gfx10
 7888     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V2_V3_nsa_gfx10
 7889     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V4
 7890     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V2_V4_gfx10
 7891     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V2_V4_nsa_gfx10
 7892     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V1
 7893     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V1_gfx10
 7894     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V2
 7895     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V2_gfx10
 7896     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V3_V2_nsa_gfx10
 7897     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V3
 7898     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V3_gfx10
 7899     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V3_V3_nsa_gfx10
 7900     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V4
 7901     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V3_V4_gfx10
 7902     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V3_V4_nsa_gfx10
 7903     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V1
 7904     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V1_gfx10
 7905     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V2
 7906     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V2_gfx10
 7907     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V4_V2_nsa_gfx10
 7908     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V3
 7909     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V3_gfx10
 7910     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V4_V3_nsa_gfx10
 7911     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V4
 7912     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V4_V4_gfx10
 7913     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V4_V4_nsa_gfx10
 7914     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V1
 7915     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V1_gfx10
 7916     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V2
 7917     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V2_gfx10
 7918     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V5_V2_nsa_gfx10
 7919     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V3
 7920     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V3_gfx10
 7921     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V5_V3_nsa_gfx10
 7922     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V4
 7923     UINT64_C(4027318272),	// IMAGE_LOAD_PCK_SGN_V5_V4_gfx10
 7924     UINT64_C(4027318274),	// IMAGE_LOAD_PCK_SGN_V5_V4_nsa_gfx10
 7925     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V1
 7926     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V1_gfx10
 7927     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V2
 7928     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V2_gfx10
 7929     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V1_V2_nsa_gfx10
 7930     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V3
 7931     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V3_gfx10
 7932     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V1_V3_nsa_gfx10
 7933     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V4
 7934     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V1_V4_gfx10
 7935     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V1_V4_nsa_gfx10
 7936     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V1
 7937     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V1_gfx10
 7938     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V2
 7939     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V2_gfx10
 7940     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V2_V2_nsa_gfx10
 7941     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V3
 7942     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V3_gfx10
 7943     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V2_V3_nsa_gfx10
 7944     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V4
 7945     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V2_V4_gfx10
 7946     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V2_V4_nsa_gfx10
 7947     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V1
 7948     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V1_gfx10
 7949     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V2
 7950     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V2_gfx10
 7951     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V3_V2_nsa_gfx10
 7952     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V3
 7953     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V3_gfx10
 7954     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V3_V3_nsa_gfx10
 7955     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V4
 7956     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V3_V4_gfx10
 7957     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V3_V4_nsa_gfx10
 7958     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V1
 7959     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V1_gfx10
 7960     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V2
 7961     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V2_gfx10
 7962     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V4_V2_nsa_gfx10
 7963     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V3
 7964     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V3_gfx10
 7965     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V4_V3_nsa_gfx10
 7966     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V4
 7967     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V4_V4_gfx10
 7968     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V4_V4_nsa_gfx10
 7969     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V1
 7970     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V1_gfx10
 7971     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V2
 7972     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V2_gfx10
 7973     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V5_V2_nsa_gfx10
 7974     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V3
 7975     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V3_gfx10
 7976     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V5_V3_nsa_gfx10
 7977     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V4
 7978     UINT64_C(4027056128),	// IMAGE_LOAD_PCK_V5_V4_gfx10
 7979     UINT64_C(4027056130),	// IMAGE_LOAD_PCK_V5_V4_nsa_gfx10
 7980     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V1
 7981     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V1_gfx10
 7982     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V2
 7983     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V2_gfx10
 7984     UINT64_C(4026531842),	// IMAGE_LOAD_V1_V2_nsa_gfx10
 7985     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V3
 7986     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V3_gfx10
 7987     UINT64_C(4026531842),	// IMAGE_LOAD_V1_V3_nsa_gfx10
 7988     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V4
 7989     UINT64_C(4026531840),	// IMAGE_LOAD_V1_V4_gfx10
 7990     UINT64_C(4026531842),	// IMAGE_LOAD_V1_V4_nsa_gfx10
 7991     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V1
 7992     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V1_gfx10
 7993     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V2
 7994     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V2_gfx10
 7995     UINT64_C(4026531842),	// IMAGE_LOAD_V2_V2_nsa_gfx10
 7996     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V3
 7997     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V3_gfx10
 7998     UINT64_C(4026531842),	// IMAGE_LOAD_V2_V3_nsa_gfx10
 7999     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V4
 8000     UINT64_C(4026531840),	// IMAGE_LOAD_V2_V4_gfx10
 8001     UINT64_C(4026531842),	// IMAGE_LOAD_V2_V4_nsa_gfx10
 8002     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V1
 8003     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V1_gfx10
 8004     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V2
 8005     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V2_gfx10
 8006     UINT64_C(4026531842),	// IMAGE_LOAD_V3_V2_nsa_gfx10
 8007     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V3
 8008     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V3_gfx10
 8009     UINT64_C(4026531842),	// IMAGE_LOAD_V3_V3_nsa_gfx10
 8010     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V4
 8011     UINT64_C(4026531840),	// IMAGE_LOAD_V3_V4_gfx10
 8012     UINT64_C(4026531842),	// IMAGE_LOAD_V3_V4_nsa_gfx10
 8013     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V1
 8014     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V1_gfx10
 8015     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V2
 8016     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V2_gfx10
 8017     UINT64_C(4026531842),	// IMAGE_LOAD_V4_V2_nsa_gfx10
 8018     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V3
 8019     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V3_gfx10
 8020     UINT64_C(4026531842),	// IMAGE_LOAD_V4_V3_nsa_gfx10
 8021     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V4
 8022     UINT64_C(4026531840),	// IMAGE_LOAD_V4_V4_gfx10
 8023     UINT64_C(4026531842),	// IMAGE_LOAD_V4_V4_nsa_gfx10
 8024     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V1
 8025     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V1_gfx10
 8026     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V2
 8027     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V2_gfx10
 8028     UINT64_C(4026531842),	// IMAGE_LOAD_V5_V2_nsa_gfx10
 8029     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V3
 8030     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V3_gfx10
 8031     UINT64_C(4026531842),	// IMAGE_LOAD_V5_V3_nsa_gfx10
 8032     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V4
 8033     UINT64_C(4026531840),	// IMAGE_LOAD_V5_V4_gfx10
 8034     UINT64_C(4026531842),	// IMAGE_LOAD_V5_V4_nsa_gfx10
 8035     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V1_V3
 8036     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V1_V3_gfx10
 8037     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V1_V3_nsa_gfx10
 8038     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V1_V4
 8039     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V1_V4_gfx10
 8040     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V1_V4_nsa_gfx10
 8041     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V1_V5_nsa_gfx10
 8042     UINT64_C(4040687620),	// IMAGE_SAMPLE_B_CL_O_V1_V6_nsa_gfx10
 8043     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V1_V8
 8044     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V1_V8_gfx10
 8045     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V2_V3
 8046     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V2_V3_gfx10
 8047     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V2_V3_nsa_gfx10
 8048     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V2_V4
 8049     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V2_V4_gfx10
 8050     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V2_V4_nsa_gfx10
 8051     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V2_V5_nsa_gfx10
 8052     UINT64_C(4040687620),	// IMAGE_SAMPLE_B_CL_O_V2_V6_nsa_gfx10
 8053     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V2_V8
 8054     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V2_V8_gfx10
 8055     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V3_V3
 8056     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V3_V3_gfx10
 8057     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V3_V3_nsa_gfx10
 8058     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V3_V4
 8059     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V3_V4_gfx10
 8060     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V3_V4_nsa_gfx10
 8061     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V3_V5_nsa_gfx10
 8062     UINT64_C(4040687620),	// IMAGE_SAMPLE_B_CL_O_V3_V6_nsa_gfx10
 8063     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V3_V8
 8064     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V3_V8_gfx10
 8065     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V4_V3
 8066     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V4_V3_gfx10
 8067     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V4_V3_nsa_gfx10
 8068     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V4_V4
 8069     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V4_V4_gfx10
 8070     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V4_V4_nsa_gfx10
 8071     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V4_V5_nsa_gfx10
 8072     UINT64_C(4040687620),	// IMAGE_SAMPLE_B_CL_O_V4_V6_nsa_gfx10
 8073     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V4_V8
 8074     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V4_V8_gfx10
 8075     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V5_V3
 8076     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V5_V3_gfx10
 8077     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V5_V3_nsa_gfx10
 8078     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V5_V4
 8079     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V5_V4_gfx10
 8080     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V5_V4_nsa_gfx10
 8081     UINT64_C(4040687618),	// IMAGE_SAMPLE_B_CL_O_V5_V5_nsa_gfx10
 8082     UINT64_C(4040687620),	// IMAGE_SAMPLE_B_CL_O_V5_V6_nsa_gfx10
 8083     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V5_V8
 8084     UINT64_C(4040687616),	// IMAGE_SAMPLE_B_CL_O_V5_V8_gfx10
 8085     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V2
 8086     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V2_gfx10
 8087     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V1_V2_nsa_gfx10
 8088     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V3
 8089     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V3_gfx10
 8090     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V1_V3_nsa_gfx10
 8091     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V4
 8092     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V4_gfx10
 8093     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V1_V4_nsa_gfx10
 8094     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V1_V5_nsa_gfx10
 8095     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V8
 8096     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V1_V8_gfx10
 8097     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V2
 8098     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V2_gfx10
 8099     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V2_V2_nsa_gfx10
 8100     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V3
 8101     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V3_gfx10
 8102     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V2_V3_nsa_gfx10
 8103     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V4
 8104     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V4_gfx10
 8105     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V2_V4_nsa_gfx10
 8106     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V2_V5_nsa_gfx10
 8107     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V8
 8108     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V2_V8_gfx10
 8109     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V2
 8110     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V2_gfx10
 8111     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V3_V2_nsa_gfx10
 8112     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V3
 8113     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V3_gfx10
 8114     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V3_V3_nsa_gfx10
 8115     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V4
 8116     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V4_gfx10
 8117     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V3_V4_nsa_gfx10
 8118     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V3_V5_nsa_gfx10
 8119     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V8
 8120     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V3_V8_gfx10
 8121     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V2
 8122     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V2_gfx10
 8123     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V4_V2_nsa_gfx10
 8124     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V3
 8125     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V3_gfx10
 8126     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V4_V3_nsa_gfx10
 8127     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V4
 8128     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V4_gfx10
 8129     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V4_V4_nsa_gfx10
 8130     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V4_V5_nsa_gfx10
 8131     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V8
 8132     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V4_V8_gfx10
 8133     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V2
 8134     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V2_gfx10
 8135     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V5_V2_nsa_gfx10
 8136     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V3
 8137     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V3_gfx10
 8138     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V5_V3_nsa_gfx10
 8139     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V4
 8140     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V4_gfx10
 8141     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V5_V4_nsa_gfx10
 8142     UINT64_C(4036493314),	// IMAGE_SAMPLE_B_CL_V5_V5_nsa_gfx10
 8143     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V8
 8144     UINT64_C(4036493312),	// IMAGE_SAMPLE_B_CL_V5_V8_gfx10
 8145     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V1_V3
 8146     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V1_V3_gfx10
 8147     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V1_V3_nsa_gfx10
 8148     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V1_V4
 8149     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V1_V4_gfx10
 8150     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V1_V4_nsa_gfx10
 8151     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V1_V5_nsa_gfx10
 8152     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V1_V8
 8153     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V1_V8_gfx10
 8154     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V2_V3
 8155     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V2_V3_gfx10
 8156     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V2_V3_nsa_gfx10
 8157     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V2_V4
 8158     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V2_V4_gfx10
 8159     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V2_V4_nsa_gfx10
 8160     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V2_V5_nsa_gfx10
 8161     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V2_V8
 8162     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V2_V8_gfx10
 8163     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V3_V3
 8164     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V3_V3_gfx10
 8165     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V3_V3_nsa_gfx10
 8166     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V3_V4
 8167     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V3_V4_gfx10
 8168     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V3_V4_nsa_gfx10
 8169     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V3_V5_nsa_gfx10
 8170     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V3_V8
 8171     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V3_V8_gfx10
 8172     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V4_V3
 8173     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V4_V3_gfx10
 8174     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V4_V3_nsa_gfx10
 8175     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V4_V4
 8176     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V4_V4_gfx10
 8177     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V4_V4_nsa_gfx10
 8178     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V4_V5_nsa_gfx10
 8179     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V4_V8
 8180     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V4_V8_gfx10
 8181     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V5_V3
 8182     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V5_V3_gfx10
 8183     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V5_V3_nsa_gfx10
 8184     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V5_V4
 8185     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V5_V4_gfx10
 8186     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V5_V4_nsa_gfx10
 8187     UINT64_C(4040425474),	// IMAGE_SAMPLE_B_O_V5_V5_nsa_gfx10
 8188     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V5_V8
 8189     UINT64_C(4040425472),	// IMAGE_SAMPLE_B_O_V5_V8_gfx10
 8190     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V1_V2
 8191     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V1_V2_gfx10
 8192     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V1_V2_nsa_gfx10
 8193     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V1_V3
 8194     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V1_V3_gfx10
 8195     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V1_V3_nsa_gfx10
 8196     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V1_V4
 8197     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V1_V4_gfx10
 8198     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V1_V4_nsa_gfx10
 8199     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V2_V2
 8200     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V2_V2_gfx10
 8201     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V2_V2_nsa_gfx10
 8202     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V2_V3
 8203     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V2_V3_gfx10
 8204     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V2_V3_nsa_gfx10
 8205     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V2_V4
 8206     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V2_V4_gfx10
 8207     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V2_V4_nsa_gfx10
 8208     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V3_V2
 8209     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V3_V2_gfx10
 8210     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V3_V2_nsa_gfx10
 8211     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V3_V3
 8212     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V3_V3_gfx10
 8213     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V3_V3_nsa_gfx10
 8214     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V3_V4
 8215     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V3_V4_gfx10
 8216     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V3_V4_nsa_gfx10
 8217     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V4_V2
 8218     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V4_V2_gfx10
 8219     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V4_V2_nsa_gfx10
 8220     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V4_V3
 8221     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V4_V3_gfx10
 8222     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V4_V3_nsa_gfx10
 8223     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V4_V4
 8224     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V4_V4_gfx10
 8225     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V4_V4_nsa_gfx10
 8226     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V5_V2
 8227     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V5_V2_gfx10
 8228     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V5_V2_nsa_gfx10
 8229     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V5_V3
 8230     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V5_V3_gfx10
 8231     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V5_V3_nsa_gfx10
 8232     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V5_V4
 8233     UINT64_C(4036231168),	// IMAGE_SAMPLE_B_V5_V4_gfx10
 8234     UINT64_C(4036231170),	// IMAGE_SAMPLE_B_V5_V4_nsa_gfx10
 8235     UINT64_C(4055105542),	// IMAGE_SAMPLE_CD_CL_O_V1_V11_nsa_gfx10
 8236     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V16
 8237     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V16_gfx10
 8238     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V3
 8239     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V3_gfx10
 8240     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V1_V3_nsa_gfx10
 8241     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V4
 8242     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V4_gfx10
 8243     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V1_V4_nsa_gfx10
 8244     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V1_V5_nsa_gfx10
 8245     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V1_V6_nsa_gfx10
 8246     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V8
 8247     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V1_V8_gfx10
 8248     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V1_V8_nsa_gfx10
 8249     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V1_V9_nsa_gfx10
 8250     UINT64_C(4055105542),	// IMAGE_SAMPLE_CD_CL_O_V2_V11_nsa_gfx10
 8251     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V16
 8252     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V16_gfx10
 8253     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V3
 8254     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V3_gfx10
 8255     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V2_V3_nsa_gfx10
 8256     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V4
 8257     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V4_gfx10
 8258     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V2_V4_nsa_gfx10
 8259     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V2_V5_nsa_gfx10
 8260     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V2_V6_nsa_gfx10
 8261     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V8
 8262     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V2_V8_gfx10
 8263     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V2_V8_nsa_gfx10
 8264     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V2_V9_nsa_gfx10
 8265     UINT64_C(4055105542),	// IMAGE_SAMPLE_CD_CL_O_V3_V11_nsa_gfx10
 8266     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V16
 8267     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V16_gfx10
 8268     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V3
 8269     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V3_gfx10
 8270     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V3_V3_nsa_gfx10
 8271     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V4
 8272     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V4_gfx10
 8273     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V3_V4_nsa_gfx10
 8274     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V3_V5_nsa_gfx10
 8275     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V3_V6_nsa_gfx10
 8276     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V8
 8277     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V3_V8_gfx10
 8278     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V3_V8_nsa_gfx10
 8279     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V3_V9_nsa_gfx10
 8280     UINT64_C(4055105542),	// IMAGE_SAMPLE_CD_CL_O_V4_V11_nsa_gfx10
 8281     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V16
 8282     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V16_gfx10
 8283     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V3
 8284     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V3_gfx10
 8285     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V4_V3_nsa_gfx10
 8286     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V4
 8287     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V4_gfx10
 8288     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V4_V4_nsa_gfx10
 8289     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V4_V5_nsa_gfx10
 8290     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V4_V6_nsa_gfx10
 8291     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V8
 8292     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V4_V8_gfx10
 8293     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V4_V8_nsa_gfx10
 8294     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V4_V9_nsa_gfx10
 8295     UINT64_C(4055105542),	// IMAGE_SAMPLE_CD_CL_O_V5_V11_nsa_gfx10
 8296     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V16
 8297     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V16_gfx10
 8298     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V3
 8299     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V3_gfx10
 8300     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V5_V3_nsa_gfx10
 8301     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V4
 8302     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V4_gfx10
 8303     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V5_V4_nsa_gfx10
 8304     UINT64_C(4055105538),	// IMAGE_SAMPLE_CD_CL_O_V5_V5_nsa_gfx10
 8305     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V5_V6_nsa_gfx10
 8306     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V8
 8307     UINT64_C(4055105536),	// IMAGE_SAMPLE_CD_CL_O_V5_V8_gfx10
 8308     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V5_V8_nsa_gfx10
 8309     UINT64_C(4055105540),	// IMAGE_SAMPLE_CD_CL_O_V5_V9_nsa_gfx10
 8310     UINT64_C(4054056966),	// IMAGE_SAMPLE_CD_CL_V1_V10_nsa_gfx10
 8311     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V16
 8312     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V16_gfx10
 8313     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V2
 8314     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V2_gfx10
 8315     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V1_V2_nsa_gfx10
 8316     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V3
 8317     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V3_gfx10
 8318     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V1_V3_nsa_gfx10
 8319     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V4
 8320     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V4_gfx10
 8321     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V1_V4_nsa_gfx10
 8322     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V1_V5_nsa_gfx10
 8323     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V1_V7_nsa_gfx10
 8324     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V8
 8325     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V1_V8_gfx10
 8326     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V1_V8_nsa_gfx10
 8327     UINT64_C(4054056966),	// IMAGE_SAMPLE_CD_CL_V2_V10_nsa_gfx10
 8328     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V16
 8329     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V16_gfx10
 8330     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V2
 8331     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V2_gfx10
 8332     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V2_V2_nsa_gfx10
 8333     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V3
 8334     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V3_gfx10
 8335     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V2_V3_nsa_gfx10
 8336     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V4
 8337     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V4_gfx10
 8338     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V2_V4_nsa_gfx10
 8339     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V2_V5_nsa_gfx10
 8340     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V2_V7_nsa_gfx10
 8341     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V8
 8342     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V2_V8_gfx10
 8343     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V2_V8_nsa_gfx10
 8344     UINT64_C(4054056966),	// IMAGE_SAMPLE_CD_CL_V3_V10_nsa_gfx10
 8345     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V16
 8346     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V16_gfx10
 8347     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V2
 8348     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V2_gfx10
 8349     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V3_V2_nsa_gfx10
 8350     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V3
 8351     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V3_gfx10
 8352     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V3_V3_nsa_gfx10
 8353     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V4
 8354     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V4_gfx10
 8355     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V3_V4_nsa_gfx10
 8356     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V3_V5_nsa_gfx10
 8357     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V3_V7_nsa_gfx10
 8358     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V8
 8359     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V3_V8_gfx10
 8360     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V3_V8_nsa_gfx10
 8361     UINT64_C(4054056966),	// IMAGE_SAMPLE_CD_CL_V4_V10_nsa_gfx10
 8362     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V16
 8363     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V16_gfx10
 8364     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V2
 8365     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V2_gfx10
 8366     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V4_V2_nsa_gfx10
 8367     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V3
 8368     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V3_gfx10
 8369     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V4_V3_nsa_gfx10
 8370     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V4
 8371     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V4_gfx10
 8372     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V4_V4_nsa_gfx10
 8373     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V4_V5_nsa_gfx10
 8374     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V4_V7_nsa_gfx10
 8375     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V8
 8376     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V4_V8_gfx10
 8377     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V4_V8_nsa_gfx10
 8378     UINT64_C(4054056966),	// IMAGE_SAMPLE_CD_CL_V5_V10_nsa_gfx10
 8379     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V16
 8380     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V16_gfx10
 8381     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V2
 8382     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V2_gfx10
 8383     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V5_V2_nsa_gfx10
 8384     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V3
 8385     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V3_gfx10
 8386     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V5_V3_nsa_gfx10
 8387     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V4
 8388     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V4_gfx10
 8389     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V5_V4_nsa_gfx10
 8390     UINT64_C(4054056962),	// IMAGE_SAMPLE_CD_CL_V5_V5_nsa_gfx10
 8391     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V5_V7_nsa_gfx10
 8392     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V8
 8393     UINT64_C(4054056960),	// IMAGE_SAMPLE_CD_CL_V5_V8_gfx10
 8394     UINT64_C(4054056964),	// IMAGE_SAMPLE_CD_CL_V5_V8_nsa_gfx10
 8395     UINT64_C(4054843398),	// IMAGE_SAMPLE_CD_O_V1_V10_nsa_gfx10
 8396     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V16
 8397     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V16_gfx10
 8398     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V3
 8399     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V3_gfx10
 8400     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V1_V3_nsa_gfx10
 8401     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V4
 8402     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V4_gfx10
 8403     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V1_V4_nsa_gfx10
 8404     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V1_V5_nsa_gfx10
 8405     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V1_V6_nsa_gfx10
 8406     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V1_V7_nsa_gfx10
 8407     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V8
 8408     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V1_V8_gfx10
 8409     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V1_V8_nsa_gfx10
 8410     UINT64_C(4054843398),	// IMAGE_SAMPLE_CD_O_V2_V10_nsa_gfx10
 8411     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V16
 8412     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V16_gfx10
 8413     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V3
 8414     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V3_gfx10
 8415     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V2_V3_nsa_gfx10
 8416     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V4
 8417     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V4_gfx10
 8418     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V2_V4_nsa_gfx10
 8419     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V2_V5_nsa_gfx10
 8420     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V2_V6_nsa_gfx10
 8421     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V2_V7_nsa_gfx10
 8422     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V8
 8423     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V2_V8_gfx10
 8424     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V2_V8_nsa_gfx10
 8425     UINT64_C(4054843398),	// IMAGE_SAMPLE_CD_O_V3_V10_nsa_gfx10
 8426     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V16
 8427     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V16_gfx10
 8428     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V3
 8429     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V3_gfx10
 8430     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V3_V3_nsa_gfx10
 8431     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V4
 8432     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V4_gfx10
 8433     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V3_V4_nsa_gfx10
 8434     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V3_V5_nsa_gfx10
 8435     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V3_V6_nsa_gfx10
 8436     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V3_V7_nsa_gfx10
 8437     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V8
 8438     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V3_V8_gfx10
 8439     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V3_V8_nsa_gfx10
 8440     UINT64_C(4054843398),	// IMAGE_SAMPLE_CD_O_V4_V10_nsa_gfx10
 8441     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V16
 8442     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V16_gfx10
 8443     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V3
 8444     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V3_gfx10
 8445     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V4_V3_nsa_gfx10
 8446     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V4
 8447     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V4_gfx10
 8448     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V4_V4_nsa_gfx10
 8449     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V4_V5_nsa_gfx10
 8450     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V4_V6_nsa_gfx10
 8451     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V4_V7_nsa_gfx10
 8452     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V8
 8453     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V4_V8_gfx10
 8454     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V4_V8_nsa_gfx10
 8455     UINT64_C(4054843398),	// IMAGE_SAMPLE_CD_O_V5_V10_nsa_gfx10
 8456     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V16
 8457     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V16_gfx10
 8458     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V3
 8459     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V3_gfx10
 8460     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V5_V3_nsa_gfx10
 8461     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V4
 8462     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V4_gfx10
 8463     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V5_V4_nsa_gfx10
 8464     UINT64_C(4054843394),	// IMAGE_SAMPLE_CD_O_V5_V5_nsa_gfx10
 8465     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V5_V6_nsa_gfx10
 8466     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V5_V7_nsa_gfx10
 8467     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V8
 8468     UINT64_C(4054843392),	// IMAGE_SAMPLE_CD_O_V5_V8_gfx10
 8469     UINT64_C(4054843396),	// IMAGE_SAMPLE_CD_O_V5_V8_nsa_gfx10
 8470     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V16
 8471     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V16_gfx10
 8472     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V2
 8473     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V2_gfx10
 8474     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V1_V2_nsa_gfx10
 8475     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V3
 8476     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V3_gfx10
 8477     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V1_V3_nsa_gfx10
 8478     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V4
 8479     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V4_gfx10
 8480     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V1_V4_nsa_gfx10
 8481     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V1_V5_nsa_gfx10
 8482     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V1_V6_nsa_gfx10
 8483     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V1_V7_nsa_gfx10
 8484     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V8
 8485     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V1_V8_gfx10
 8486     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V1_V9_nsa_gfx10
 8487     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V16
 8488     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V16_gfx10
 8489     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V2
 8490     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V2_gfx10
 8491     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V2_V2_nsa_gfx10
 8492     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V3
 8493     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V3_gfx10
 8494     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V2_V3_nsa_gfx10
 8495     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V4
 8496     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V4_gfx10
 8497     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V2_V4_nsa_gfx10
 8498     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V2_V5_nsa_gfx10
 8499     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V2_V6_nsa_gfx10
 8500     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V2_V7_nsa_gfx10
 8501     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V8
 8502     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V2_V8_gfx10
 8503     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V2_V9_nsa_gfx10
 8504     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V16
 8505     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V16_gfx10
 8506     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V2
 8507     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V2_gfx10
 8508     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V3_V2_nsa_gfx10
 8509     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V3
 8510     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V3_gfx10
 8511     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V3_V3_nsa_gfx10
 8512     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V4
 8513     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V4_gfx10
 8514     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V3_V4_nsa_gfx10
 8515     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V3_V5_nsa_gfx10
 8516     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V3_V6_nsa_gfx10
 8517     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V3_V7_nsa_gfx10
 8518     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V8
 8519     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V3_V8_gfx10
 8520     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V3_V9_nsa_gfx10
 8521     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V16
 8522     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V16_gfx10
 8523     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V2
 8524     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V2_gfx10
 8525     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V4_V2_nsa_gfx10
 8526     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V3
 8527     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V3_gfx10
 8528     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V4_V3_nsa_gfx10
 8529     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V4
 8530     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V4_gfx10
 8531     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V4_V4_nsa_gfx10
 8532     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V4_V5_nsa_gfx10
 8533     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V4_V6_nsa_gfx10
 8534     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V4_V7_nsa_gfx10
 8535     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V8
 8536     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V4_V8_gfx10
 8537     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V4_V9_nsa_gfx10
 8538     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V16
 8539     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V16_gfx10
 8540     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V2
 8541     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V2_gfx10
 8542     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V5_V2_nsa_gfx10
 8543     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V3
 8544     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V3_gfx10
 8545     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V5_V3_nsa_gfx10
 8546     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V4
 8547     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V4_gfx10
 8548     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V5_V4_nsa_gfx10
 8549     UINT64_C(4053794818),	// IMAGE_SAMPLE_CD_V5_V5_nsa_gfx10
 8550     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V5_V6_nsa_gfx10
 8551     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V5_V7_nsa_gfx10
 8552     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V8
 8553     UINT64_C(4053794816),	// IMAGE_SAMPLE_CD_V5_V8_gfx10
 8554     UINT64_C(4053794820),	// IMAGE_SAMPLE_CD_V5_V9_nsa_gfx10
 8555     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V2
 8556     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V2_gfx10
 8557     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V1_V2_nsa_gfx10
 8558     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V3
 8559     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V3_gfx10
 8560     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V1_V3_nsa_gfx10
 8561     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V4
 8562     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V4_gfx10
 8563     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V1_V4_nsa_gfx10
 8564     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V1_V5_nsa_gfx10
 8565     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V8
 8566     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V1_V8_gfx10
 8567     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V2
 8568     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V2_gfx10
 8569     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V2_V2_nsa_gfx10
 8570     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V3
 8571     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V3_gfx10
 8572     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V2_V3_nsa_gfx10
 8573     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V4
 8574     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V4_gfx10
 8575     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V2_V4_nsa_gfx10
 8576     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V2_V5_nsa_gfx10
 8577     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V8
 8578     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V2_V8_gfx10
 8579     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V2
 8580     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V2_gfx10
 8581     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V3_V2_nsa_gfx10
 8582     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V3
 8583     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V3_gfx10
 8584     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V3_V3_nsa_gfx10
 8585     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V4
 8586     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V4_gfx10
 8587     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V3_V4_nsa_gfx10
 8588     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V3_V5_nsa_gfx10
 8589     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V8
 8590     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V3_V8_gfx10
 8591     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V2
 8592     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V2_gfx10
 8593     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V4_V2_nsa_gfx10
 8594     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V3
 8595     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V3_gfx10
 8596     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V4_V3_nsa_gfx10
 8597     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V4
 8598     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V4_gfx10
 8599     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V4_V4_nsa_gfx10
 8600     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V4_V5_nsa_gfx10
 8601     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V8
 8602     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V4_V8_gfx10
 8603     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V2
 8604     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V2_gfx10
 8605     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V5_V2_nsa_gfx10
 8606     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V3
 8607     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V3_gfx10
 8608     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V5_V3_nsa_gfx10
 8609     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V4
 8610     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V4_gfx10
 8611     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V5_V4_nsa_gfx10
 8612     UINT64_C(4039376898),	// IMAGE_SAMPLE_CL_O_V5_V5_nsa_gfx10
 8613     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V8
 8614     UINT64_C(4039376896),	// IMAGE_SAMPLE_CL_O_V5_V8_gfx10
 8615     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V1
 8616     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V1_gfx10
 8617     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V2
 8618     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V2_gfx10
 8619     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V1_V2_nsa_gfx10
 8620     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V3
 8621     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V3_gfx10
 8622     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V1_V3_nsa_gfx10
 8623     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V4
 8624     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V1_V4_gfx10
 8625     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V1_V4_nsa_gfx10
 8626     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V1
 8627     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V1_gfx10
 8628     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V2
 8629     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V2_gfx10
 8630     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V2_V2_nsa_gfx10
 8631     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V3
 8632     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V3_gfx10
 8633     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V2_V3_nsa_gfx10
 8634     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V4
 8635     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V2_V4_gfx10
 8636     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V2_V4_nsa_gfx10
 8637     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V1
 8638     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V1_gfx10
 8639     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V2
 8640     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V2_gfx10
 8641     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V3_V2_nsa_gfx10
 8642     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V3
 8643     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V3_gfx10
 8644     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V3_V3_nsa_gfx10
 8645     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V4
 8646     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V3_V4_gfx10
 8647     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V3_V4_nsa_gfx10
 8648     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V1
 8649     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V1_gfx10
 8650     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V2
 8651     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V2_gfx10
 8652     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V4_V2_nsa_gfx10
 8653     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V3
 8654     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V3_gfx10
 8655     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V4_V3_nsa_gfx10
 8656     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V4
 8657     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V4_V4_gfx10
 8658     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V4_V4_nsa_gfx10
 8659     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V1
 8660     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V1_gfx10
 8661     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V2
 8662     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V2_gfx10
 8663     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V5_V2_nsa_gfx10
 8664     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V3
 8665     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V3_gfx10
 8666     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V5_V3_nsa_gfx10
 8667     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V4
 8668     UINT64_C(4035182592),	// IMAGE_SAMPLE_CL_V5_V4_gfx10
 8669     UINT64_C(4035182594),	// IMAGE_SAMPLE_CL_V5_V4_nsa_gfx10
 8670     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V1_V4
 8671     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V1_V4_gfx10
 8672     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V1_V4_nsa_gfx10
 8673     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V1_V5_nsa_gfx10
 8674     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V1_V6_nsa_gfx10
 8675     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V1_V7_nsa_gfx10
 8676     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V1_V8
 8677     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V1_V8_gfx10
 8678     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V2_V4
 8679     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V2_V4_gfx10
 8680     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V2_V4_nsa_gfx10
 8681     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V2_V5_nsa_gfx10
 8682     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V2_V6_nsa_gfx10
 8683     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V2_V7_nsa_gfx10
 8684     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V2_V8
 8685     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V2_V8_gfx10
 8686     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V3_V4
 8687     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V3_V4_gfx10
 8688     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V3_V4_nsa_gfx10
 8689     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V3_V5_nsa_gfx10
 8690     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V3_V6_nsa_gfx10
 8691     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V3_V7_nsa_gfx10
 8692     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V3_V8
 8693     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V3_V8_gfx10
 8694     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V4_V4
 8695     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V4_V4_gfx10
 8696     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V4_V4_nsa_gfx10
 8697     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V4_V5_nsa_gfx10
 8698     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V4_V6_nsa_gfx10
 8699     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V4_V7_nsa_gfx10
 8700     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V4_V8
 8701     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V4_V8_gfx10
 8702     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V5_V4
 8703     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V5_V4_gfx10
 8704     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V5_V4_nsa_gfx10
 8705     UINT64_C(4042784770),	// IMAGE_SAMPLE_C_B_CL_O_V5_V5_nsa_gfx10
 8706     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V5_V6_nsa_gfx10
 8707     UINT64_C(4042784772),	// IMAGE_SAMPLE_C_B_CL_O_V5_V7_nsa_gfx10
 8708     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V5_V8
 8709     UINT64_C(4042784768),	// IMAGE_SAMPLE_C_B_CL_O_V5_V8_gfx10
 8710     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V1_V3
 8711     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V1_V3_gfx10
 8712     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V1_V3_nsa_gfx10
 8713     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V1_V4
 8714     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V1_V4_gfx10
 8715     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V1_V4_nsa_gfx10
 8716     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V1_V5_nsa_gfx10
 8717     UINT64_C(4038590468),	// IMAGE_SAMPLE_C_B_CL_V1_V6_nsa_gfx10
 8718     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V1_V8
 8719     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V1_V8_gfx10
 8720     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V2_V3
 8721     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V2_V3_gfx10
 8722     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V2_V3_nsa_gfx10
 8723     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V2_V4
 8724     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V2_V4_gfx10
 8725     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V2_V4_nsa_gfx10
 8726     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V2_V5_nsa_gfx10
 8727     UINT64_C(4038590468),	// IMAGE_SAMPLE_C_B_CL_V2_V6_nsa_gfx10
 8728     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V2_V8
 8729     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V2_V8_gfx10
 8730     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V3_V3
 8731     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V3_V3_gfx10
 8732     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V3_V3_nsa_gfx10
 8733     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V3_V4
 8734     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V3_V4_gfx10
 8735     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V3_V4_nsa_gfx10
 8736     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V3_V5_nsa_gfx10
 8737     UINT64_C(4038590468),	// IMAGE_SAMPLE_C_B_CL_V3_V6_nsa_gfx10
 8738     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V3_V8
 8739     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V3_V8_gfx10
 8740     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V4_V3
 8741     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V4_V3_gfx10
 8742     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V4_V3_nsa_gfx10
 8743     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V4_V4
 8744     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V4_V4_gfx10
 8745     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V4_V4_nsa_gfx10
 8746     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V4_V5_nsa_gfx10
 8747     UINT64_C(4038590468),	// IMAGE_SAMPLE_C_B_CL_V4_V6_nsa_gfx10
 8748     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V4_V8
 8749     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V4_V8_gfx10
 8750     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V5_V3
 8751     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V5_V3_gfx10
 8752     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V5_V3_nsa_gfx10
 8753     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V5_V4
 8754     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V5_V4_gfx10
 8755     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V5_V4_nsa_gfx10
 8756     UINT64_C(4038590466),	// IMAGE_SAMPLE_C_B_CL_V5_V5_nsa_gfx10
 8757     UINT64_C(4038590468),	// IMAGE_SAMPLE_C_B_CL_V5_V6_nsa_gfx10
 8758     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V5_V8
 8759     UINT64_C(4038590464),	// IMAGE_SAMPLE_C_B_CL_V5_V8_gfx10
 8760     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V1_V4
 8761     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V1_V4_gfx10
 8762     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V1_V4_nsa_gfx10
 8763     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V1_V5_nsa_gfx10
 8764     UINT64_C(4042522628),	// IMAGE_SAMPLE_C_B_O_V1_V6_nsa_gfx10
 8765     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V1_V8
 8766     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V1_V8_gfx10
 8767     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V2_V4
 8768     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V2_V4_gfx10
 8769     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V2_V4_nsa_gfx10
 8770     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V2_V5_nsa_gfx10
 8771     UINT64_C(4042522628),	// IMAGE_SAMPLE_C_B_O_V2_V6_nsa_gfx10
 8772     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V2_V8
 8773     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V2_V8_gfx10
 8774     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V3_V4
 8775     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V3_V4_gfx10
 8776     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V3_V4_nsa_gfx10
 8777     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V3_V5_nsa_gfx10
 8778     UINT64_C(4042522628),	// IMAGE_SAMPLE_C_B_O_V3_V6_nsa_gfx10
 8779     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V3_V8
 8780     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V3_V8_gfx10
 8781     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V4_V4
 8782     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V4_V4_gfx10
 8783     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V4_V4_nsa_gfx10
 8784     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V4_V5_nsa_gfx10
 8785     UINT64_C(4042522628),	// IMAGE_SAMPLE_C_B_O_V4_V6_nsa_gfx10
 8786     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V4_V8
 8787     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V4_V8_gfx10
 8788     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V5_V4
 8789     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V5_V4_gfx10
 8790     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V5_V4_nsa_gfx10
 8791     UINT64_C(4042522626),	// IMAGE_SAMPLE_C_B_O_V5_V5_nsa_gfx10
 8792     UINT64_C(4042522628),	// IMAGE_SAMPLE_C_B_O_V5_V6_nsa_gfx10
 8793     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V5_V8
 8794     UINT64_C(4042522624),	// IMAGE_SAMPLE_C_B_O_V5_V8_gfx10
 8795     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V1_V3
 8796     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V1_V3_gfx10
 8797     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V1_V3_nsa_gfx10
 8798     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V1_V4
 8799     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V1_V4_gfx10
 8800     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V1_V4_nsa_gfx10
 8801     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V1_V5_nsa_gfx10
 8802     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V1_V8
 8803     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V1_V8_gfx10
 8804     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V2_V3
 8805     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V2_V3_gfx10
 8806     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V2_V3_nsa_gfx10
 8807     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V2_V4
 8808     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V2_V4_gfx10
 8809     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V2_V4_nsa_gfx10
 8810     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V2_V5_nsa_gfx10
 8811     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V2_V8
 8812     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V2_V8_gfx10
 8813     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V3_V3
 8814     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V3_V3_gfx10
 8815     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V3_V3_nsa_gfx10
 8816     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V3_V4
 8817     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V3_V4_gfx10
 8818     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V3_V4_nsa_gfx10
 8819     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V3_V5_nsa_gfx10
 8820     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V3_V8
 8821     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V3_V8_gfx10
 8822     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V4_V3
 8823     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V4_V3_gfx10
 8824     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V4_V3_nsa_gfx10
 8825     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V4_V4
 8826     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V4_V4_gfx10
 8827     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V4_V4_nsa_gfx10
 8828     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V4_V5_nsa_gfx10
 8829     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V4_V8
 8830     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V4_V8_gfx10
 8831     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V5_V3
 8832     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V5_V3_gfx10
 8833     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V5_V3_nsa_gfx10
 8834     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V5_V4
 8835     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V5_V4_gfx10
 8836     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V5_V4_nsa_gfx10
 8837     UINT64_C(4038328322),	// IMAGE_SAMPLE_C_B_V5_V5_nsa_gfx10
 8838     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V5_V8
 8839     UINT64_C(4038328320),	// IMAGE_SAMPLE_C_B_V5_V8_gfx10
 8840     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V10_nsa_gfx10
 8841     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V12_nsa_gfx10
 8842     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V16
 8843     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V16_gfx10
 8844     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V4
 8845     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V4_gfx10
 8846     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V4_nsa_gfx10
 8847     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V5_nsa_gfx10
 8848     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V6_nsa_gfx10
 8849     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V7_nsa_gfx10
 8850     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V8
 8851     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V8_gfx10
 8852     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V1_V9_nsa_gfx10
 8853     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V10_nsa_gfx10
 8854     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V12_nsa_gfx10
 8855     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V16
 8856     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V16_gfx10
 8857     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V4
 8858     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V4_gfx10
 8859     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V4_nsa_gfx10
 8860     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V5_nsa_gfx10
 8861     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V6_nsa_gfx10
 8862     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V7_nsa_gfx10
 8863     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V8
 8864     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V8_gfx10
 8865     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V2_V9_nsa_gfx10
 8866     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V10_nsa_gfx10
 8867     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V12_nsa_gfx10
 8868     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V16
 8869     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V16_gfx10
 8870     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V4
 8871     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V4_gfx10
 8872     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V4_nsa_gfx10
 8873     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V5_nsa_gfx10
 8874     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V6_nsa_gfx10
 8875     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V7_nsa_gfx10
 8876     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V8
 8877     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V8_gfx10
 8878     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V3_V9_nsa_gfx10
 8879     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V10_nsa_gfx10
 8880     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V12_nsa_gfx10
 8881     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V16
 8882     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V16_gfx10
 8883     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V4
 8884     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V4_gfx10
 8885     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V4_nsa_gfx10
 8886     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V5_nsa_gfx10
 8887     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V6_nsa_gfx10
 8888     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V7_nsa_gfx10
 8889     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V8
 8890     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V8_gfx10
 8891     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V4_V9_nsa_gfx10
 8892     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V10_nsa_gfx10
 8893     UINT64_C(4055629830),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V12_nsa_gfx10
 8894     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V16
 8895     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V16_gfx10
 8896     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V4
 8897     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V4_gfx10
 8898     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V4_nsa_gfx10
 8899     UINT64_C(4055629826),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V5_nsa_gfx10
 8900     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V6_nsa_gfx10
 8901     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V7_nsa_gfx10
 8902     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V8
 8903     UINT64_C(4055629824),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V8_gfx10
 8904     UINT64_C(4055629828),	// IMAGE_SAMPLE_C_CD_CL_O_V5_V9_nsa_gfx10
 8905     UINT64_C(4054581254),	// IMAGE_SAMPLE_C_CD_CL_V1_V11_nsa_gfx10
 8906     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V16
 8907     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V16_gfx10
 8908     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V3
 8909     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V3_gfx10
 8910     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V1_V3_nsa_gfx10
 8911     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V4
 8912     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V4_gfx10
 8913     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V1_V4_nsa_gfx10
 8914     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V1_V5_nsa_gfx10
 8915     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V1_V6_nsa_gfx10
 8916     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V8
 8917     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V1_V8_gfx10
 8918     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V1_V8_nsa_gfx10
 8919     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V1_V9_nsa_gfx10
 8920     UINT64_C(4054581254),	// IMAGE_SAMPLE_C_CD_CL_V2_V11_nsa_gfx10
 8921     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V16
 8922     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V16_gfx10
 8923     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V3
 8924     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V3_gfx10
 8925     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V2_V3_nsa_gfx10
 8926     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V4
 8927     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V4_gfx10
 8928     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V2_V4_nsa_gfx10
 8929     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V2_V5_nsa_gfx10
 8930     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V2_V6_nsa_gfx10
 8931     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V8
 8932     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V2_V8_gfx10
 8933     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V2_V8_nsa_gfx10
 8934     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V2_V9_nsa_gfx10
 8935     UINT64_C(4054581254),	// IMAGE_SAMPLE_C_CD_CL_V3_V11_nsa_gfx10
 8936     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V16
 8937     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V16_gfx10
 8938     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V3
 8939     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V3_gfx10
 8940     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V3_V3_nsa_gfx10
 8941     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V4
 8942     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V4_gfx10
 8943     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V3_V4_nsa_gfx10
 8944     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V3_V5_nsa_gfx10
 8945     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V3_V6_nsa_gfx10
 8946     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V8
 8947     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V3_V8_gfx10
 8948     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V3_V8_nsa_gfx10
 8949     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V3_V9_nsa_gfx10
 8950     UINT64_C(4054581254),	// IMAGE_SAMPLE_C_CD_CL_V4_V11_nsa_gfx10
 8951     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V16
 8952     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V16_gfx10
 8953     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V3
 8954     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V3_gfx10
 8955     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V4_V3_nsa_gfx10
 8956     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V4
 8957     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V4_gfx10
 8958     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V4_V4_nsa_gfx10
 8959     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V4_V5_nsa_gfx10
 8960     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V4_V6_nsa_gfx10
 8961     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V8
 8962     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V4_V8_gfx10
 8963     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V4_V8_nsa_gfx10
 8964     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V4_V9_nsa_gfx10
 8965     UINT64_C(4054581254),	// IMAGE_SAMPLE_C_CD_CL_V5_V11_nsa_gfx10
 8966     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V16
 8967     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V16_gfx10
 8968     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V3
 8969     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V3_gfx10
 8970     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V5_V3_nsa_gfx10
 8971     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V4
 8972     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V4_gfx10
 8973     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V5_V4_nsa_gfx10
 8974     UINT64_C(4054581250),	// IMAGE_SAMPLE_C_CD_CL_V5_V5_nsa_gfx10
 8975     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V5_V6_nsa_gfx10
 8976     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V8
 8977     UINT64_C(4054581248),	// IMAGE_SAMPLE_C_CD_CL_V5_V8_gfx10
 8978     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V5_V8_nsa_gfx10
 8979     UINT64_C(4054581252),	// IMAGE_SAMPLE_C_CD_CL_V5_V9_nsa_gfx10
 8980     UINT64_C(4055367686),	// IMAGE_SAMPLE_C_CD_O_V1_V11_nsa_gfx10
 8981     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V1_V16
 8982     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V1_V16_gfx10
 8983     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V1_V4
 8984     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V1_V4_gfx10
 8985     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V1_V4_nsa_gfx10
 8986     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V1_V5_nsa_gfx10
 8987     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V1_V6_nsa_gfx10
 8988     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V1_V7_nsa_gfx10
 8989     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V1_V8
 8990     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V1_V8_gfx10
 8991     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V1_V8_nsa_gfx10
 8992     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V1_V9_nsa_gfx10
 8993     UINT64_C(4055367686),	// IMAGE_SAMPLE_C_CD_O_V2_V11_nsa_gfx10
 8994     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V2_V16
 8995     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V2_V16_gfx10
 8996     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V2_V4
 8997     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V2_V4_gfx10
 8998     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V2_V4_nsa_gfx10
 8999     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V2_V5_nsa_gfx10
 9000     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V2_V6_nsa_gfx10
 9001     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V2_V7_nsa_gfx10
 9002     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V2_V8
 9003     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V2_V8_gfx10
 9004     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V2_V8_nsa_gfx10
 9005     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V2_V9_nsa_gfx10
 9006     UINT64_C(4055367686),	// IMAGE_SAMPLE_C_CD_O_V3_V11_nsa_gfx10
 9007     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V3_V16
 9008     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V3_V16_gfx10
 9009     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V3_V4
 9010     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V3_V4_gfx10
 9011     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V3_V4_nsa_gfx10
 9012     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V3_V5_nsa_gfx10
 9013     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V3_V6_nsa_gfx10
 9014     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V3_V7_nsa_gfx10
 9015     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V3_V8
 9016     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V3_V8_gfx10
 9017     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V3_V8_nsa_gfx10
 9018     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V3_V9_nsa_gfx10
 9019     UINT64_C(4055367686),	// IMAGE_SAMPLE_C_CD_O_V4_V11_nsa_gfx10
 9020     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V4_V16
 9021     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V4_V16_gfx10
 9022     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V4_V4
 9023     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V4_V4_gfx10
 9024     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V4_V4_nsa_gfx10
 9025     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V4_V5_nsa_gfx10
 9026     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V4_V6_nsa_gfx10
 9027     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V4_V7_nsa_gfx10
 9028     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V4_V8
 9029     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V4_V8_gfx10
 9030     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V4_V8_nsa_gfx10
 9031     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V4_V9_nsa_gfx10
 9032     UINT64_C(4055367686),	// IMAGE_SAMPLE_C_CD_O_V5_V11_nsa_gfx10
 9033     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V5_V16
 9034     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V5_V16_gfx10
 9035     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V5_V4
 9036     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V5_V4_gfx10
 9037     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V5_V4_nsa_gfx10
 9038     UINT64_C(4055367682),	// IMAGE_SAMPLE_C_CD_O_V5_V5_nsa_gfx10
 9039     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V5_V6_nsa_gfx10
 9040     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V5_V7_nsa_gfx10
 9041     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V5_V8
 9042     UINT64_C(4055367680),	// IMAGE_SAMPLE_C_CD_O_V5_V8_gfx10
 9043     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V5_V8_nsa_gfx10
 9044     UINT64_C(4055367684),	// IMAGE_SAMPLE_C_CD_O_V5_V9_nsa_gfx10
 9045     UINT64_C(4054319110),	// IMAGE_SAMPLE_C_CD_V1_V10_nsa_gfx10
 9046     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V16
 9047     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V16_gfx10
 9048     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V3
 9049     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V3_gfx10
 9050     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V1_V3_nsa_gfx10
 9051     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V4
 9052     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V4_gfx10
 9053     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V1_V4_nsa_gfx10
 9054     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V1_V5_nsa_gfx10
 9055     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V1_V6_nsa_gfx10
 9056     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V1_V7_nsa_gfx10
 9057     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V8
 9058     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V1_V8_gfx10
 9059     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V1_V8_nsa_gfx10
 9060     UINT64_C(4054319110),	// IMAGE_SAMPLE_C_CD_V2_V10_nsa_gfx10
 9061     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V16
 9062     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V16_gfx10
 9063     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V3
 9064     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V3_gfx10
 9065     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V2_V3_nsa_gfx10
 9066     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V4
 9067     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V4_gfx10
 9068     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V2_V4_nsa_gfx10
 9069     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V2_V5_nsa_gfx10
 9070     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V2_V6_nsa_gfx10
 9071     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V2_V7_nsa_gfx10
 9072     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V8
 9073     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V2_V8_gfx10
 9074     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V2_V8_nsa_gfx10
 9075     UINT64_C(4054319110),	// IMAGE_SAMPLE_C_CD_V3_V10_nsa_gfx10
 9076     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V16
 9077     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V16_gfx10
 9078     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V3
 9079     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V3_gfx10
 9080     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V3_V3_nsa_gfx10
 9081     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V4
 9082     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V4_gfx10
 9083     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V3_V4_nsa_gfx10
 9084     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V3_V5_nsa_gfx10
 9085     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V3_V6_nsa_gfx10
 9086     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V3_V7_nsa_gfx10
 9087     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V8
 9088     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V3_V8_gfx10
 9089     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V3_V8_nsa_gfx10
 9090     UINT64_C(4054319110),	// IMAGE_SAMPLE_C_CD_V4_V10_nsa_gfx10
 9091     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V16
 9092     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V16_gfx10
 9093     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V3
 9094     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V3_gfx10
 9095     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V4_V3_nsa_gfx10
 9096     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V4
 9097     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V4_gfx10
 9098     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V4_V4_nsa_gfx10
 9099     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V4_V5_nsa_gfx10
 9100     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V4_V6_nsa_gfx10
 9101     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V4_V7_nsa_gfx10
 9102     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V8
 9103     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V4_V8_gfx10
 9104     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V4_V8_nsa_gfx10
 9105     UINT64_C(4054319110),	// IMAGE_SAMPLE_C_CD_V5_V10_nsa_gfx10
 9106     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V16
 9107     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V16_gfx10
 9108     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V3
 9109     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V3_gfx10
 9110     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V5_V3_nsa_gfx10
 9111     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V4
 9112     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V4_gfx10
 9113     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V5_V4_nsa_gfx10
 9114     UINT64_C(4054319106),	// IMAGE_SAMPLE_C_CD_V5_V5_nsa_gfx10
 9115     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V5_V6_nsa_gfx10
 9116     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V5_V7_nsa_gfx10
 9117     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V8
 9118     UINT64_C(4054319104),	// IMAGE_SAMPLE_C_CD_V5_V8_gfx10
 9119     UINT64_C(4054319108),	// IMAGE_SAMPLE_C_CD_V5_V8_nsa_gfx10
 9120     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V1_V3
 9121     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V1_V3_gfx10
 9122     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V1_V3_nsa_gfx10
 9123     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V1_V4
 9124     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V1_V4_gfx10
 9125     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V1_V4_nsa_gfx10
 9126     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V1_V5_nsa_gfx10
 9127     UINT64_C(4041474052),	// IMAGE_SAMPLE_C_CL_O_V1_V6_nsa_gfx10
 9128     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V1_V8
 9129     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V1_V8_gfx10
 9130     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V2_V3
 9131     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V2_V3_gfx10
 9132     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V2_V3_nsa_gfx10
 9133     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V2_V4
 9134     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V2_V4_gfx10
 9135     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V2_V4_nsa_gfx10
 9136     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V2_V5_nsa_gfx10
 9137     UINT64_C(4041474052),	// IMAGE_SAMPLE_C_CL_O_V2_V6_nsa_gfx10
 9138     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V2_V8
 9139     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V2_V8_gfx10
 9140     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V3_V3
 9141     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V3_V3_gfx10
 9142     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V3_V3_nsa_gfx10
 9143     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V3_V4
 9144     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V3_V4_gfx10
 9145     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V3_V4_nsa_gfx10
 9146     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V3_V5_nsa_gfx10
 9147     UINT64_C(4041474052),	// IMAGE_SAMPLE_C_CL_O_V3_V6_nsa_gfx10
 9148     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V3_V8
 9149     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V3_V8_gfx10
 9150     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V4_V3
 9151     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V4_V3_gfx10
 9152     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V4_V3_nsa_gfx10
 9153     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V4_V4
 9154     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V4_V4_gfx10
 9155     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V4_V4_nsa_gfx10
 9156     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V4_V5_nsa_gfx10
 9157     UINT64_C(4041474052),	// IMAGE_SAMPLE_C_CL_O_V4_V6_nsa_gfx10
 9158     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V4_V8
 9159     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V4_V8_gfx10
 9160     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V5_V3
 9161     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V5_V3_gfx10
 9162     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V5_V3_nsa_gfx10
 9163     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V5_V4
 9164     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V5_V4_gfx10
 9165     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V5_V4_nsa_gfx10
 9166     UINT64_C(4041474050),	// IMAGE_SAMPLE_C_CL_O_V5_V5_nsa_gfx10
 9167     UINT64_C(4041474052),	// IMAGE_SAMPLE_C_CL_O_V5_V6_nsa_gfx10
 9168     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V5_V8
 9169     UINT64_C(4041474048),	// IMAGE_SAMPLE_C_CL_O_V5_V8_gfx10
 9170     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V2
 9171     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V2_gfx10
 9172     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V1_V2_nsa_gfx10
 9173     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V3
 9174     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V3_gfx10
 9175     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V1_V3_nsa_gfx10
 9176     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V4
 9177     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V4_gfx10
 9178     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V1_V4_nsa_gfx10
 9179     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V1_V5_nsa_gfx10
 9180     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V8
 9181     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V1_V8_gfx10
 9182     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V2
 9183     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V2_gfx10
 9184     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V2_V2_nsa_gfx10
 9185     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V3
 9186     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V3_gfx10
 9187     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V2_V3_nsa_gfx10
 9188     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V4
 9189     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V4_gfx10
 9190     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V2_V4_nsa_gfx10
 9191     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V2_V5_nsa_gfx10
 9192     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V8
 9193     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V2_V8_gfx10
 9194     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V2
 9195     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V2_gfx10
 9196     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V3_V2_nsa_gfx10
 9197     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V3
 9198     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V3_gfx10
 9199     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V3_V3_nsa_gfx10
 9200     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V4
 9201     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V4_gfx10
 9202     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V3_V4_nsa_gfx10
 9203     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V3_V5_nsa_gfx10
 9204     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V8
 9205     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V3_V8_gfx10
 9206     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V2
 9207     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V2_gfx10
 9208     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V4_V2_nsa_gfx10
 9209     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V3
 9210     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V3_gfx10
 9211     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V4_V3_nsa_gfx10
 9212     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V4
 9213     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V4_gfx10
 9214     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V4_V4_nsa_gfx10
 9215     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V4_V5_nsa_gfx10
 9216     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V8
 9217     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V4_V8_gfx10
 9218     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V2
 9219     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V2_gfx10
 9220     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V5_V2_nsa_gfx10
 9221     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V3
 9222     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V3_gfx10
 9223     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V5_V3_nsa_gfx10
 9224     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V4
 9225     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V4_gfx10
 9226     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V5_V4_nsa_gfx10
 9227     UINT64_C(4037279746),	// IMAGE_SAMPLE_C_CL_V5_V5_nsa_gfx10
 9228     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V8
 9229     UINT64_C(4037279744),	// IMAGE_SAMPLE_C_CL_V5_V8_gfx10
 9230     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V1_V10_nsa_gfx10
 9231     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V1_V12_nsa_gfx10
 9232     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V1_V16
 9233     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V1_V16_gfx10
 9234     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V1_V4
 9235     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V1_V4_gfx10
 9236     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V1_V4_nsa_gfx10
 9237     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V1_V5_nsa_gfx10
 9238     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V1_V6_nsa_gfx10
 9239     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V1_V7_nsa_gfx10
 9240     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V1_V8
 9241     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V1_V8_gfx10
 9242     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V1_V9_nsa_gfx10
 9243     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V2_V10_nsa_gfx10
 9244     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V2_V12_nsa_gfx10
 9245     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V2_V16
 9246     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V2_V16_gfx10
 9247     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V2_V4
 9248     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V2_V4_gfx10
 9249     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V2_V4_nsa_gfx10
 9250     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V2_V5_nsa_gfx10
 9251     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V2_V6_nsa_gfx10
 9252     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V2_V7_nsa_gfx10
 9253     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V2_V8
 9254     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V2_V8_gfx10
 9255     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V2_V9_nsa_gfx10
 9256     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V3_V10_nsa_gfx10
 9257     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V3_V12_nsa_gfx10
 9258     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V3_V16
 9259     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V3_V16_gfx10
 9260     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V3_V4
 9261     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V3_V4_gfx10
 9262     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V3_V4_nsa_gfx10
 9263     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V3_V5_nsa_gfx10
 9264     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V3_V6_nsa_gfx10
 9265     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V3_V7_nsa_gfx10
 9266     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V3_V8
 9267     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V3_V8_gfx10
 9268     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V3_V9_nsa_gfx10
 9269     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V4_V10_nsa_gfx10
 9270     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V4_V12_nsa_gfx10
 9271     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V4_V16
 9272     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V4_V16_gfx10
 9273     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V4_V4
 9274     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V4_V4_gfx10
 9275     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V4_V4_nsa_gfx10
 9276     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V4_V5_nsa_gfx10
 9277     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V4_V6_nsa_gfx10
 9278     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V4_V7_nsa_gfx10
 9279     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V4_V8
 9280     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V4_V8_gfx10
 9281     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V4_V9_nsa_gfx10
 9282     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V5_V10_nsa_gfx10
 9283     UINT64_C(4041998342),	// IMAGE_SAMPLE_C_D_CL_O_V5_V12_nsa_gfx10
 9284     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V5_V16
 9285     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V5_V16_gfx10
 9286     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V5_V4
 9287     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V5_V4_gfx10
 9288     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V5_V4_nsa_gfx10
 9289     UINT64_C(4041998338),	// IMAGE_SAMPLE_C_D_CL_O_V5_V5_nsa_gfx10
 9290     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V5_V6_nsa_gfx10
 9291     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V5_V7_nsa_gfx10
 9292     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V5_V8
 9293     UINT64_C(4041998336),	// IMAGE_SAMPLE_C_D_CL_O_V5_V8_gfx10
 9294     UINT64_C(4041998340),	// IMAGE_SAMPLE_C_D_CL_O_V5_V9_nsa_gfx10
 9295     UINT64_C(4037804038),	// IMAGE_SAMPLE_C_D_CL_V1_V11_nsa_gfx10
 9296     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V16
 9297     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V16_gfx10
 9298     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V3
 9299     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V3_gfx10
 9300     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V1_V3_nsa_gfx10
 9301     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V4
 9302     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V4_gfx10
 9303     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V1_V4_nsa_gfx10
 9304     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V1_V5_nsa_gfx10
 9305     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V1_V6_nsa_gfx10
 9306     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V8
 9307     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V1_V8_gfx10
 9308     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V1_V8_nsa_gfx10
 9309     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V1_V9_nsa_gfx10
 9310     UINT64_C(4037804038),	// IMAGE_SAMPLE_C_D_CL_V2_V11_nsa_gfx10
 9311     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V16
 9312     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V16_gfx10
 9313     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V3
 9314     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V3_gfx10
 9315     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V2_V3_nsa_gfx10
 9316     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V4
 9317     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V4_gfx10
 9318     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V2_V4_nsa_gfx10
 9319     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V2_V5_nsa_gfx10
 9320     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V2_V6_nsa_gfx10
 9321     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V8
 9322     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V2_V8_gfx10
 9323     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V2_V8_nsa_gfx10
 9324     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V2_V9_nsa_gfx10
 9325     UINT64_C(4037804038),	// IMAGE_SAMPLE_C_D_CL_V3_V11_nsa_gfx10
 9326     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V16
 9327     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V16_gfx10
 9328     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V3
 9329     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V3_gfx10
 9330     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V3_V3_nsa_gfx10
 9331     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V4
 9332     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V4_gfx10
 9333     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V3_V4_nsa_gfx10
 9334     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V3_V5_nsa_gfx10
 9335     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V3_V6_nsa_gfx10
 9336     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V8
 9337     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V3_V8_gfx10
 9338     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V3_V8_nsa_gfx10
 9339     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V3_V9_nsa_gfx10
 9340     UINT64_C(4037804038),	// IMAGE_SAMPLE_C_D_CL_V4_V11_nsa_gfx10
 9341     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V16
 9342     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V16_gfx10
 9343     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V3
 9344     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V3_gfx10
 9345     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V4_V3_nsa_gfx10
 9346     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V4
 9347     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V4_gfx10
 9348     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V4_V4_nsa_gfx10
 9349     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V4_V5_nsa_gfx10
 9350     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V4_V6_nsa_gfx10
 9351     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V8
 9352     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V4_V8_gfx10
 9353     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V4_V8_nsa_gfx10
 9354     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V4_V9_nsa_gfx10
 9355     UINT64_C(4037804038),	// IMAGE_SAMPLE_C_D_CL_V5_V11_nsa_gfx10
 9356     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V16
 9357     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V16_gfx10
 9358     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V3
 9359     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V3_gfx10
 9360     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V5_V3_nsa_gfx10
 9361     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V4
 9362     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V4_gfx10
 9363     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V5_V4_nsa_gfx10
 9364     UINT64_C(4037804034),	// IMAGE_SAMPLE_C_D_CL_V5_V5_nsa_gfx10
 9365     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V5_V6_nsa_gfx10
 9366     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V8
 9367     UINT64_C(4037804032),	// IMAGE_SAMPLE_C_D_CL_V5_V8_gfx10
 9368     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V5_V8_nsa_gfx10
 9369     UINT64_C(4037804036),	// IMAGE_SAMPLE_C_D_CL_V5_V9_nsa_gfx10
 9370     UINT64_C(4041736198),	// IMAGE_SAMPLE_C_D_O_V1_V11_nsa_gfx10
 9371     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V1_V16
 9372     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V1_V16_gfx10
 9373     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V1_V4
 9374     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V1_V4_gfx10
 9375     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V1_V4_nsa_gfx10
 9376     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V1_V5_nsa_gfx10
 9377     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V1_V6_nsa_gfx10
 9378     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V1_V7_nsa_gfx10
 9379     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V1_V8
 9380     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V1_V8_gfx10
 9381     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V1_V8_nsa_gfx10
 9382     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V1_V9_nsa_gfx10
 9383     UINT64_C(4041736198),	// IMAGE_SAMPLE_C_D_O_V2_V11_nsa_gfx10
 9384     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V2_V16
 9385     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V2_V16_gfx10
 9386     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V2_V4
 9387     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V2_V4_gfx10
 9388     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V2_V4_nsa_gfx10
 9389     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V2_V5_nsa_gfx10
 9390     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V2_V6_nsa_gfx10
 9391     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V2_V7_nsa_gfx10
 9392     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V2_V8
 9393     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V2_V8_gfx10
 9394     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V2_V8_nsa_gfx10
 9395     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V2_V9_nsa_gfx10
 9396     UINT64_C(4041736198),	// IMAGE_SAMPLE_C_D_O_V3_V11_nsa_gfx10
 9397     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V3_V16
 9398     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V3_V16_gfx10
 9399     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V3_V4
 9400     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V3_V4_gfx10
 9401     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V3_V4_nsa_gfx10
 9402     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V3_V5_nsa_gfx10
 9403     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V3_V6_nsa_gfx10
 9404     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V3_V7_nsa_gfx10
 9405     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V3_V8
 9406     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V3_V8_gfx10
 9407     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V3_V8_nsa_gfx10
 9408     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V3_V9_nsa_gfx10
 9409     UINT64_C(4041736198),	// IMAGE_SAMPLE_C_D_O_V4_V11_nsa_gfx10
 9410     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V4_V16
 9411     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V4_V16_gfx10
 9412     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V4_V4
 9413     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V4_V4_gfx10
 9414     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V4_V4_nsa_gfx10
 9415     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V4_V5_nsa_gfx10
 9416     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V4_V6_nsa_gfx10
 9417     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V4_V7_nsa_gfx10
 9418     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V4_V8
 9419     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V4_V8_gfx10
 9420     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V4_V8_nsa_gfx10
 9421     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V4_V9_nsa_gfx10
 9422     UINT64_C(4041736198),	// IMAGE_SAMPLE_C_D_O_V5_V11_nsa_gfx10
 9423     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V5_V16
 9424     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V5_V16_gfx10
 9425     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V5_V4
 9426     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V5_V4_gfx10
 9427     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V5_V4_nsa_gfx10
 9428     UINT64_C(4041736194),	// IMAGE_SAMPLE_C_D_O_V5_V5_nsa_gfx10
 9429     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V5_V6_nsa_gfx10
 9430     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V5_V7_nsa_gfx10
 9431     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V5_V8
 9432     UINT64_C(4041736192),	// IMAGE_SAMPLE_C_D_O_V5_V8_gfx10
 9433     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V5_V8_nsa_gfx10
 9434     UINT64_C(4041736196),	// IMAGE_SAMPLE_C_D_O_V5_V9_nsa_gfx10
 9435     UINT64_C(4037541894),	// IMAGE_SAMPLE_C_D_V1_V10_nsa_gfx10
 9436     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V16
 9437     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V16_gfx10
 9438     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V3
 9439     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V3_gfx10
 9440     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V1_V3_nsa_gfx10
 9441     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V4
 9442     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V4_gfx10
 9443     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V1_V4_nsa_gfx10
 9444     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V1_V5_nsa_gfx10
 9445     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V1_V6_nsa_gfx10
 9446     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V1_V7_nsa_gfx10
 9447     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V8
 9448     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V1_V8_gfx10
 9449     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V1_V8_nsa_gfx10
 9450     UINT64_C(4037541894),	// IMAGE_SAMPLE_C_D_V2_V10_nsa_gfx10
 9451     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V16
 9452     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V16_gfx10
 9453     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V3
 9454     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V3_gfx10
 9455     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V2_V3_nsa_gfx10
 9456     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V4
 9457     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V4_gfx10
 9458     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V2_V4_nsa_gfx10
 9459     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V2_V5_nsa_gfx10
 9460     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V2_V6_nsa_gfx10
 9461     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V2_V7_nsa_gfx10
 9462     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V8
 9463     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V2_V8_gfx10
 9464     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V2_V8_nsa_gfx10
 9465     UINT64_C(4037541894),	// IMAGE_SAMPLE_C_D_V3_V10_nsa_gfx10
 9466     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V16
 9467     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V16_gfx10
 9468     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V3
 9469     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V3_gfx10
 9470     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V3_V3_nsa_gfx10
 9471     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V4
 9472     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V4_gfx10
 9473     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V3_V4_nsa_gfx10
 9474     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V3_V5_nsa_gfx10
 9475     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V3_V6_nsa_gfx10
 9476     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V3_V7_nsa_gfx10
 9477     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V8
 9478     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V3_V8_gfx10
 9479     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V3_V8_nsa_gfx10
 9480     UINT64_C(4037541894),	// IMAGE_SAMPLE_C_D_V4_V10_nsa_gfx10
 9481     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V16
 9482     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V16_gfx10
 9483     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V3
 9484     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V3_gfx10
 9485     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V4_V3_nsa_gfx10
 9486     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V4
 9487     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V4_gfx10
 9488     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V4_V4_nsa_gfx10
 9489     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V4_V5_nsa_gfx10
 9490     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V4_V6_nsa_gfx10
 9491     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V4_V7_nsa_gfx10
 9492     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V8
 9493     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V4_V8_gfx10
 9494     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V4_V8_nsa_gfx10
 9495     UINT64_C(4037541894),	// IMAGE_SAMPLE_C_D_V5_V10_nsa_gfx10
 9496     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V16
 9497     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V16_gfx10
 9498     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V3
 9499     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V3_gfx10
 9500     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V5_V3_nsa_gfx10
 9501     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V4
 9502     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V4_gfx10
 9503     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V5_V4_nsa_gfx10
 9504     UINT64_C(4037541890),	// IMAGE_SAMPLE_C_D_V5_V5_nsa_gfx10
 9505     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V5_V6_nsa_gfx10
 9506     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V5_V7_nsa_gfx10
 9507     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V8
 9508     UINT64_C(4037541888),	// IMAGE_SAMPLE_C_D_V5_V8_gfx10
 9509     UINT64_C(4037541892),	// IMAGE_SAMPLE_C_D_V5_V8_nsa_gfx10
 9510     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V1_V3
 9511     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V1_V3_gfx10
 9512     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V1_V3_nsa_gfx10
 9513     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V1_V4
 9514     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V1_V4_gfx10
 9515     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V1_V4_nsa_gfx10
 9516     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V1_V5_nsa_gfx10
 9517     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V1_V8
 9518     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V1_V8_gfx10
 9519     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V2_V3
 9520     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V2_V3_gfx10
 9521     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V2_V3_nsa_gfx10
 9522     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V2_V4
 9523     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V2_V4_gfx10
 9524     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V2_V4_nsa_gfx10
 9525     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V2_V5_nsa_gfx10
 9526     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V2_V8
 9527     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V2_V8_gfx10
 9528     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V3_V3
 9529     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V3_V3_gfx10
 9530     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V3_V3_nsa_gfx10
 9531     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V3_V4
 9532     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V3_V4_gfx10
 9533     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V3_V4_nsa_gfx10
 9534     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V3_V5_nsa_gfx10
 9535     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V3_V8
 9536     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V3_V8_gfx10
 9537     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V4_V3
 9538     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V4_V3_gfx10
 9539     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V4_V3_nsa_gfx10
 9540     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V4_V4
 9541     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V4_V4_gfx10
 9542     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V4_V4_nsa_gfx10
 9543     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V4_V5_nsa_gfx10
 9544     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V4_V8
 9545     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V4_V8_gfx10
 9546     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V5_V3
 9547     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V5_V3_gfx10
 9548     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V5_V3_nsa_gfx10
 9549     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V5_V4
 9550     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V5_V4_gfx10
 9551     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V5_V4_nsa_gfx10
 9552     UINT64_C(4043046914),	// IMAGE_SAMPLE_C_LZ_O_V5_V5_nsa_gfx10
 9553     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V5_V8
 9554     UINT64_C(4043046912),	// IMAGE_SAMPLE_C_LZ_O_V5_V8_gfx10
 9555     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V1_V2
 9556     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V1_V2_gfx10
 9557     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V1_V2_nsa_gfx10
 9558     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V1_V3
 9559     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V1_V3_gfx10
 9560     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V1_V3_nsa_gfx10
 9561     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V1_V4
 9562     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V1_V4_gfx10
 9563     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V1_V4_nsa_gfx10
 9564     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V2_V2
 9565     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V2_V2_gfx10
 9566     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V2_V2_nsa_gfx10
 9567     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V2_V3
 9568     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V2_V3_gfx10
 9569     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V2_V3_nsa_gfx10
 9570     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V2_V4
 9571     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V2_V4_gfx10
 9572     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V2_V4_nsa_gfx10
 9573     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V3_V2
 9574     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V3_V2_gfx10
 9575     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V3_V2_nsa_gfx10
 9576     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V3_V3
 9577     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V3_V3_gfx10
 9578     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V3_V3_nsa_gfx10
 9579     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V3_V4
 9580     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V3_V4_gfx10
 9581     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V3_V4_nsa_gfx10
 9582     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V4_V2
 9583     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V4_V2_gfx10
 9584     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V4_V2_nsa_gfx10
 9585     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V4_V3
 9586     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V4_V3_gfx10
 9587     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V4_V3_nsa_gfx10
 9588     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V4_V4
 9589     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V4_V4_gfx10
 9590     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V4_V4_nsa_gfx10
 9591     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V5_V2
 9592     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V5_V2_gfx10
 9593     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V5_V2_nsa_gfx10
 9594     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V5_V3
 9595     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V5_V3_gfx10
 9596     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V5_V3_nsa_gfx10
 9597     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V5_V4
 9598     UINT64_C(4038852608),	// IMAGE_SAMPLE_C_LZ_V5_V4_gfx10
 9599     UINT64_C(4038852610),	// IMAGE_SAMPLE_C_LZ_V5_V4_nsa_gfx10
 9600     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V1_V3
 9601     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V1_V3_gfx10
 9602     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V1_V3_nsa_gfx10
 9603     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V1_V4
 9604     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V1_V4_gfx10
 9605     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V1_V4_nsa_gfx10
 9606     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V1_V5_nsa_gfx10
 9607     UINT64_C(4042260484),	// IMAGE_SAMPLE_C_L_O_V1_V6_nsa_gfx10
 9608     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V1_V8
 9609     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V1_V8_gfx10
 9610     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V2_V3
 9611     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V2_V3_gfx10
 9612     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V2_V3_nsa_gfx10
 9613     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V2_V4
 9614     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V2_V4_gfx10
 9615     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V2_V4_nsa_gfx10
 9616     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V2_V5_nsa_gfx10
 9617     UINT64_C(4042260484),	// IMAGE_SAMPLE_C_L_O_V2_V6_nsa_gfx10
 9618     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V2_V8
 9619     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V2_V8_gfx10
 9620     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V3_V3
 9621     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V3_V3_gfx10
 9622     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V3_V3_nsa_gfx10
 9623     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V3_V4
 9624     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V3_V4_gfx10
 9625     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V3_V4_nsa_gfx10
 9626     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V3_V5_nsa_gfx10
 9627     UINT64_C(4042260484),	// IMAGE_SAMPLE_C_L_O_V3_V6_nsa_gfx10
 9628     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V3_V8
 9629     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V3_V8_gfx10
 9630     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V4_V3
 9631     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V4_V3_gfx10
 9632     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V4_V3_nsa_gfx10
 9633     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V4_V4
 9634     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V4_V4_gfx10
 9635     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V4_V4_nsa_gfx10
 9636     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V4_V5_nsa_gfx10
 9637     UINT64_C(4042260484),	// IMAGE_SAMPLE_C_L_O_V4_V6_nsa_gfx10
 9638     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V4_V8
 9639     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V4_V8_gfx10
 9640     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V5_V3
 9641     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V5_V3_gfx10
 9642     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V5_V3_nsa_gfx10
 9643     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V5_V4
 9644     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V5_V4_gfx10
 9645     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V5_V4_nsa_gfx10
 9646     UINT64_C(4042260482),	// IMAGE_SAMPLE_C_L_O_V5_V5_nsa_gfx10
 9647     UINT64_C(4042260484),	// IMAGE_SAMPLE_C_L_O_V5_V6_nsa_gfx10
 9648     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V5_V8
 9649     UINT64_C(4042260480),	// IMAGE_SAMPLE_C_L_O_V5_V8_gfx10
 9650     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V2
 9651     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V2_gfx10
 9652     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V1_V2_nsa_gfx10
 9653     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V3
 9654     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V3_gfx10
 9655     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V1_V3_nsa_gfx10
 9656     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V4
 9657     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V4_gfx10
 9658     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V1_V4_nsa_gfx10
 9659     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V1_V5_nsa_gfx10
 9660     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V8
 9661     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V1_V8_gfx10
 9662     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V2
 9663     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V2_gfx10
 9664     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V2_V2_nsa_gfx10
 9665     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V3
 9666     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V3_gfx10
 9667     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V2_V3_nsa_gfx10
 9668     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V4
 9669     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V4_gfx10
 9670     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V2_V4_nsa_gfx10
 9671     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V2_V5_nsa_gfx10
 9672     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V8
 9673     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V2_V8_gfx10
 9674     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V2
 9675     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V2_gfx10
 9676     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V3_V2_nsa_gfx10
 9677     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V3
 9678     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V3_gfx10
 9679     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V3_V3_nsa_gfx10
 9680     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V4
 9681     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V4_gfx10
 9682     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V3_V4_nsa_gfx10
 9683     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V3_V5_nsa_gfx10
 9684     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V8
 9685     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V3_V8_gfx10
 9686     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V2
 9687     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V2_gfx10
 9688     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V4_V2_nsa_gfx10
 9689     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V3
 9690     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V3_gfx10
 9691     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V4_V3_nsa_gfx10
 9692     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V4
 9693     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V4_gfx10
 9694     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V4_V4_nsa_gfx10
 9695     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V4_V5_nsa_gfx10
 9696     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V8
 9697     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V4_V8_gfx10
 9698     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V2
 9699     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V2_gfx10
 9700     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V5_V2_nsa_gfx10
 9701     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V3
 9702     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V3_gfx10
 9703     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V5_V3_nsa_gfx10
 9704     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V4
 9705     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V4_gfx10
 9706     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V5_V4_nsa_gfx10
 9707     UINT64_C(4038066178),	// IMAGE_SAMPLE_C_L_V5_V5_nsa_gfx10
 9708     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V8
 9709     UINT64_C(4038066176),	// IMAGE_SAMPLE_C_L_V5_V8_gfx10
 9710     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V1_V3
 9711     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V1_V3_gfx10
 9712     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V1_V3_nsa_gfx10
 9713     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V1_V4
 9714     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V1_V4_gfx10
 9715     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V1_V4_nsa_gfx10
 9716     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V1_V5_nsa_gfx10
 9717     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V1_V8
 9718     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V1_V8_gfx10
 9719     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V2_V3
 9720     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V2_V3_gfx10
 9721     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V2_V3_nsa_gfx10
 9722     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V2_V4
 9723     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V2_V4_gfx10
 9724     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V2_V4_nsa_gfx10
 9725     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V2_V5_nsa_gfx10
 9726     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V2_V8
 9727     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V2_V8_gfx10
 9728     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V3_V3
 9729     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V3_V3_gfx10
 9730     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V3_V3_nsa_gfx10
 9731     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V3_V4
 9732     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V3_V4_gfx10
 9733     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V3_V4_nsa_gfx10
 9734     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V3_V5_nsa_gfx10
 9735     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V3_V8
 9736     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V3_V8_gfx10
 9737     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V4_V3
 9738     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V4_V3_gfx10
 9739     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V4_V3_nsa_gfx10
 9740     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V4_V4
 9741     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V4_V4_gfx10
 9742     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V4_V4_nsa_gfx10
 9743     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V4_V5_nsa_gfx10
 9744     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V4_V8
 9745     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V4_V8_gfx10
 9746     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V5_V3
 9747     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V5_V3_gfx10
 9748     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V5_V3_nsa_gfx10
 9749     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V5_V4
 9750     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V5_V4_gfx10
 9751     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V5_V4_nsa_gfx10
 9752     UINT64_C(4041211906),	// IMAGE_SAMPLE_C_O_V5_V5_nsa_gfx10
 9753     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V5_V8
 9754     UINT64_C(4041211904),	// IMAGE_SAMPLE_C_O_V5_V8_gfx10
 9755     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V1_V2
 9756     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V1_V2_gfx10
 9757     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V1_V2_nsa_gfx10
 9758     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V1_V3
 9759     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V1_V3_gfx10
 9760     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V1_V3_nsa_gfx10
 9761     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V1_V4
 9762     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V1_V4_gfx10
 9763     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V1_V4_nsa_gfx10
 9764     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V2_V2
 9765     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V2_V2_gfx10
 9766     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V2_V2_nsa_gfx10
 9767     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V2_V3
 9768     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V2_V3_gfx10
 9769     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V2_V3_nsa_gfx10
 9770     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V2_V4
 9771     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V2_V4_gfx10
 9772     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V2_V4_nsa_gfx10
 9773     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V3_V2
 9774     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V3_V2_gfx10
 9775     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V3_V2_nsa_gfx10
 9776     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V3_V3
 9777     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V3_V3_gfx10
 9778     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V3_V3_nsa_gfx10
 9779     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V3_V4
 9780     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V3_V4_gfx10
 9781     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V3_V4_nsa_gfx10
 9782     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V4_V2
 9783     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V4_V2_gfx10
 9784     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V4_V2_nsa_gfx10
 9785     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V4_V3
 9786     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V4_V3_gfx10
 9787     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V4_V3_nsa_gfx10
 9788     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V4_V4
 9789     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V4_V4_gfx10
 9790     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V4_V4_nsa_gfx10
 9791     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V5_V2
 9792     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V5_V2_gfx10
 9793     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V5_V2_nsa_gfx10
 9794     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V5_V3
 9795     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V5_V3_gfx10
 9796     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V5_V3_nsa_gfx10
 9797     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V5_V4
 9798     UINT64_C(4037017600),	// IMAGE_SAMPLE_C_V5_V4_gfx10
 9799     UINT64_C(4037017602),	// IMAGE_SAMPLE_C_V5_V4_nsa_gfx10
 9800     UINT64_C(4039901190),	// IMAGE_SAMPLE_D_CL_O_V1_V11_nsa_gfx10
 9801     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V16
 9802     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V16_gfx10
 9803     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V3
 9804     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V3_gfx10
 9805     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V1_V3_nsa_gfx10
 9806     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V4
 9807     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V4_gfx10
 9808     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V1_V4_nsa_gfx10
 9809     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V1_V5_nsa_gfx10
 9810     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V1_V6_nsa_gfx10
 9811     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V8
 9812     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V1_V8_gfx10
 9813     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V1_V8_nsa_gfx10
 9814     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V1_V9_nsa_gfx10
 9815     UINT64_C(4039901190),	// IMAGE_SAMPLE_D_CL_O_V2_V11_nsa_gfx10
 9816     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V16
 9817     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V16_gfx10
 9818     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V3
 9819     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V3_gfx10
 9820     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V2_V3_nsa_gfx10
 9821     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V4
 9822     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V4_gfx10
 9823     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V2_V4_nsa_gfx10
 9824     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V2_V5_nsa_gfx10
 9825     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V2_V6_nsa_gfx10
 9826     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V8
 9827     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V2_V8_gfx10
 9828     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V2_V8_nsa_gfx10
 9829     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V2_V9_nsa_gfx10
 9830     UINT64_C(4039901190),	// IMAGE_SAMPLE_D_CL_O_V3_V11_nsa_gfx10
 9831     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V16
 9832     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V16_gfx10
 9833     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V3
 9834     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V3_gfx10
 9835     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V3_V3_nsa_gfx10
 9836     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V4
 9837     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V4_gfx10
 9838     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V3_V4_nsa_gfx10
 9839     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V3_V5_nsa_gfx10
 9840     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V3_V6_nsa_gfx10
 9841     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V8
 9842     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V3_V8_gfx10
 9843     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V3_V8_nsa_gfx10
 9844     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V3_V9_nsa_gfx10
 9845     UINT64_C(4039901190),	// IMAGE_SAMPLE_D_CL_O_V4_V11_nsa_gfx10
 9846     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V16
 9847     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V16_gfx10
 9848     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V3
 9849     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V3_gfx10
 9850     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V4_V3_nsa_gfx10
 9851     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V4
 9852     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V4_gfx10
 9853     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V4_V4_nsa_gfx10
 9854     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V4_V5_nsa_gfx10
 9855     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V4_V6_nsa_gfx10
 9856     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V8
 9857     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V4_V8_gfx10
 9858     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V4_V8_nsa_gfx10
 9859     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V4_V9_nsa_gfx10
 9860     UINT64_C(4039901190),	// IMAGE_SAMPLE_D_CL_O_V5_V11_nsa_gfx10
 9861     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V16
 9862     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V16_gfx10
 9863     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V3
 9864     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V3_gfx10
 9865     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V5_V3_nsa_gfx10
 9866     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V4
 9867     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V4_gfx10
 9868     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V5_V4_nsa_gfx10
 9869     UINT64_C(4039901186),	// IMAGE_SAMPLE_D_CL_O_V5_V5_nsa_gfx10
 9870     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V5_V6_nsa_gfx10
 9871     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V8
 9872     UINT64_C(4039901184),	// IMAGE_SAMPLE_D_CL_O_V5_V8_gfx10
 9873     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V5_V8_nsa_gfx10
 9874     UINT64_C(4039901188),	// IMAGE_SAMPLE_D_CL_O_V5_V9_nsa_gfx10
 9875     UINT64_C(4035706886),	// IMAGE_SAMPLE_D_CL_V1_V10_nsa_gfx10
 9876     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V16
 9877     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V16_gfx10
 9878     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V2
 9879     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V2_gfx10
 9880     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V1_V2_nsa_gfx10
 9881     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V3
 9882     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V3_gfx10
 9883     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V1_V3_nsa_gfx10
 9884     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V4
 9885     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V4_gfx10
 9886     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V1_V4_nsa_gfx10
 9887     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V1_V5_nsa_gfx10
 9888     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V1_V7_nsa_gfx10
 9889     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V8
 9890     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V1_V8_gfx10
 9891     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V1_V8_nsa_gfx10
 9892     UINT64_C(4035706886),	// IMAGE_SAMPLE_D_CL_V2_V10_nsa_gfx10
 9893     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V16
 9894     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V16_gfx10
 9895     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V2
 9896     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V2_gfx10
 9897     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V2_V2_nsa_gfx10
 9898     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V3
 9899     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V3_gfx10
 9900     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V2_V3_nsa_gfx10
 9901     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V4
 9902     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V4_gfx10
 9903     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V2_V4_nsa_gfx10
 9904     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V2_V5_nsa_gfx10
 9905     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V2_V7_nsa_gfx10
 9906     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V8
 9907     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V2_V8_gfx10
 9908     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V2_V8_nsa_gfx10
 9909     UINT64_C(4035706886),	// IMAGE_SAMPLE_D_CL_V3_V10_nsa_gfx10
 9910     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V16
 9911     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V16_gfx10
 9912     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V2
 9913     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V2_gfx10
 9914     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V3_V2_nsa_gfx10
 9915     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V3
 9916     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V3_gfx10
 9917     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V3_V3_nsa_gfx10
 9918     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V4
 9919     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V4_gfx10
 9920     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V3_V4_nsa_gfx10
 9921     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V3_V5_nsa_gfx10
 9922     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V3_V7_nsa_gfx10
 9923     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V8
 9924     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V3_V8_gfx10
 9925     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V3_V8_nsa_gfx10
 9926     UINT64_C(4035706886),	// IMAGE_SAMPLE_D_CL_V4_V10_nsa_gfx10
 9927     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V16
 9928     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V16_gfx10
 9929     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V2
 9930     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V2_gfx10
 9931     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V4_V2_nsa_gfx10
 9932     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V3
 9933     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V3_gfx10
 9934     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V4_V3_nsa_gfx10
 9935     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V4
 9936     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V4_gfx10
 9937     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V4_V4_nsa_gfx10
 9938     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V4_V5_nsa_gfx10
 9939     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V4_V7_nsa_gfx10
 9940     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V8
 9941     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V4_V8_gfx10
 9942     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V4_V8_nsa_gfx10
 9943     UINT64_C(4035706886),	// IMAGE_SAMPLE_D_CL_V5_V10_nsa_gfx10
 9944     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V16
 9945     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V16_gfx10
 9946     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V2
 9947     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V2_gfx10
 9948     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V5_V2_nsa_gfx10
 9949     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V3
 9950     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V3_gfx10
 9951     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V5_V3_nsa_gfx10
 9952     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V4
 9953     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V4_gfx10
 9954     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V5_V4_nsa_gfx10
 9955     UINT64_C(4035706882),	// IMAGE_SAMPLE_D_CL_V5_V5_nsa_gfx10
 9956     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V5_V7_nsa_gfx10
 9957     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V8
 9958     UINT64_C(4035706880),	// IMAGE_SAMPLE_D_CL_V5_V8_gfx10
 9959     UINT64_C(4035706884),	// IMAGE_SAMPLE_D_CL_V5_V8_nsa_gfx10
 9960     UINT64_C(4039639046),	// IMAGE_SAMPLE_D_O_V1_V10_nsa_gfx10
 9961     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V16
 9962     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V16_gfx10
 9963     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V3
 9964     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V3_gfx10
 9965     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V1_V3_nsa_gfx10
 9966     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V4
 9967     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V4_gfx10
 9968     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V1_V4_nsa_gfx10
 9969     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V1_V5_nsa_gfx10
 9970     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V1_V6_nsa_gfx10
 9971     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V1_V7_nsa_gfx10
 9972     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V8
 9973     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V1_V8_gfx10
 9974     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V1_V8_nsa_gfx10
 9975     UINT64_C(4039639046),	// IMAGE_SAMPLE_D_O_V2_V10_nsa_gfx10
 9976     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V16
 9977     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V16_gfx10
 9978     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V3
 9979     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V3_gfx10
 9980     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V2_V3_nsa_gfx10
 9981     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V4
 9982     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V4_gfx10
 9983     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V2_V4_nsa_gfx10
 9984     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V2_V5_nsa_gfx10
 9985     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V2_V6_nsa_gfx10
 9986     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V2_V7_nsa_gfx10
 9987     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V8
 9988     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V2_V8_gfx10
 9989     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V2_V8_nsa_gfx10
 9990     UINT64_C(4039639046),	// IMAGE_SAMPLE_D_O_V3_V10_nsa_gfx10
 9991     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V16
 9992     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V16_gfx10
 9993     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V3
 9994     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V3_gfx10
 9995     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V3_V3_nsa_gfx10
 9996     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V4
 9997     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V4_gfx10
 9998     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V3_V4_nsa_gfx10
 9999     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V3_V5_nsa_gfx10
10000     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V3_V6_nsa_gfx10
10001     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V3_V7_nsa_gfx10
10002     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V8
10003     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V3_V8_gfx10
10004     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V3_V8_nsa_gfx10
10005     UINT64_C(4039639046),	// IMAGE_SAMPLE_D_O_V4_V10_nsa_gfx10
10006     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V16
10007     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V16_gfx10
10008     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V3
10009     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V3_gfx10
10010     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V4_V3_nsa_gfx10
10011     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V4
10012     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V4_gfx10
10013     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V4_V4_nsa_gfx10
10014     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V4_V5_nsa_gfx10
10015     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V4_V6_nsa_gfx10
10016     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V4_V7_nsa_gfx10
10017     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V8
10018     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V4_V8_gfx10
10019     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V4_V8_nsa_gfx10
10020     UINT64_C(4039639046),	// IMAGE_SAMPLE_D_O_V5_V10_nsa_gfx10
10021     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V16
10022     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V16_gfx10
10023     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V3
10024     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V3_gfx10
10025     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V5_V3_nsa_gfx10
10026     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V4
10027     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V4_gfx10
10028     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V5_V4_nsa_gfx10
10029     UINT64_C(4039639042),	// IMAGE_SAMPLE_D_O_V5_V5_nsa_gfx10
10030     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V5_V6_nsa_gfx10
10031     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V5_V7_nsa_gfx10
10032     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V8
10033     UINT64_C(4039639040),	// IMAGE_SAMPLE_D_O_V5_V8_gfx10
10034     UINT64_C(4039639044),	// IMAGE_SAMPLE_D_O_V5_V8_nsa_gfx10
10035     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V16
10036     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V16_gfx10
10037     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V2
10038     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V2_gfx10
10039     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V1_V2_nsa_gfx10
10040     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V3
10041     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V3_gfx10
10042     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V1_V3_nsa_gfx10
10043     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V4
10044     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V4_gfx10
10045     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V1_V4_nsa_gfx10
10046     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V1_V5_nsa_gfx10
10047     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V1_V6_nsa_gfx10
10048     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V1_V7_nsa_gfx10
10049     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V8
10050     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V1_V8_gfx10
10051     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V1_V9_nsa_gfx10
10052     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V16
10053     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V16_gfx10
10054     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V2
10055     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V2_gfx10
10056     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V2_V2_nsa_gfx10
10057     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V3
10058     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V3_gfx10
10059     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V2_V3_nsa_gfx10
10060     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V4
10061     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V4_gfx10
10062     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V2_V4_nsa_gfx10
10063     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V2_V5_nsa_gfx10
10064     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V2_V6_nsa_gfx10
10065     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V2_V7_nsa_gfx10
10066     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V8
10067     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V2_V8_gfx10
10068     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V2_V9_nsa_gfx10
10069     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V16
10070     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V16_gfx10
10071     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V2
10072     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V2_gfx10
10073     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V3_V2_nsa_gfx10
10074     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V3
10075     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V3_gfx10
10076     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V3_V3_nsa_gfx10
10077     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V4
10078     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V4_gfx10
10079     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V3_V4_nsa_gfx10
10080     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V3_V5_nsa_gfx10
10081     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V3_V6_nsa_gfx10
10082     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V3_V7_nsa_gfx10
10083     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V8
10084     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V3_V8_gfx10
10085     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V3_V9_nsa_gfx10
10086     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V16
10087     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V16_gfx10
10088     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V2
10089     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V2_gfx10
10090     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V4_V2_nsa_gfx10
10091     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V3
10092     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V3_gfx10
10093     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V4_V3_nsa_gfx10
10094     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V4
10095     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V4_gfx10
10096     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V4_V4_nsa_gfx10
10097     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V4_V5_nsa_gfx10
10098     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V4_V6_nsa_gfx10
10099     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V4_V7_nsa_gfx10
10100     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V8
10101     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V4_V8_gfx10
10102     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V4_V9_nsa_gfx10
10103     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V16
10104     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V16_gfx10
10105     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V2
10106     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V2_gfx10
10107     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V5_V2_nsa_gfx10
10108     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V3
10109     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V3_gfx10
10110     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V5_V3_nsa_gfx10
10111     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V4
10112     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V4_gfx10
10113     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V5_V4_nsa_gfx10
10114     UINT64_C(4035444738),	// IMAGE_SAMPLE_D_V5_V5_nsa_gfx10
10115     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V5_V6_nsa_gfx10
10116     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V5_V7_nsa_gfx10
10117     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V8
10118     UINT64_C(4035444736),	// IMAGE_SAMPLE_D_V5_V8_gfx10
10119     UINT64_C(4035444740),	// IMAGE_SAMPLE_D_V5_V9_nsa_gfx10
10120     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V1_V2
10121     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V1_V2_gfx10
10122     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V1_V2_nsa_gfx10
10123     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V1_V3
10124     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V1_V3_gfx10
10125     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V1_V3_nsa_gfx10
10126     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V1_V4
10127     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V1_V4_gfx10
10128     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V1_V4_nsa_gfx10
10129     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V2_V2
10130     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V2_V2_gfx10
10131     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V2_V2_nsa_gfx10
10132     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V2_V3
10133     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V2_V3_gfx10
10134     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V2_V3_nsa_gfx10
10135     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V2_V4
10136     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V2_V4_gfx10
10137     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V2_V4_nsa_gfx10
10138     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V3_V2
10139     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V3_V2_gfx10
10140     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V3_V2_nsa_gfx10
10141     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V3_V3
10142     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V3_V3_gfx10
10143     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V3_V3_nsa_gfx10
10144     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V3_V4
10145     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V3_V4_gfx10
10146     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V3_V4_nsa_gfx10
10147     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V4_V2
10148     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V4_V2_gfx10
10149     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V4_V2_nsa_gfx10
10150     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V4_V3
10151     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V4_V3_gfx10
10152     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V4_V3_nsa_gfx10
10153     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V4_V4
10154     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V4_V4_gfx10
10155     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V4_V4_nsa_gfx10
10156     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V5_V2
10157     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V5_V2_gfx10
10158     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V5_V2_nsa_gfx10
10159     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V5_V3
10160     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V5_V3_gfx10
10161     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V5_V3_nsa_gfx10
10162     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V5_V4
10163     UINT64_C(4040949760),	// IMAGE_SAMPLE_LZ_O_V5_V4_gfx10
10164     UINT64_C(4040949762),	// IMAGE_SAMPLE_LZ_O_V5_V4_nsa_gfx10
10165     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V1
10166     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V1_gfx10
10167     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V2
10168     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V2_gfx10
10169     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V1_V2_nsa_gfx10
10170     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V3
10171     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V3_gfx10
10172     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V1_V3_nsa_gfx10
10173     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V4
10174     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V1_V4_gfx10
10175     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V1
10176     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V1_gfx10
10177     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V2
10178     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V2_gfx10
10179     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V2_V2_nsa_gfx10
10180     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V3
10181     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V3_gfx10
10182     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V2_V3_nsa_gfx10
10183     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V4
10184     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V2_V4_gfx10
10185     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V1
10186     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V1_gfx10
10187     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V2
10188     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V2_gfx10
10189     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V3_V2_nsa_gfx10
10190     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V3
10191     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V3_gfx10
10192     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V3_V3_nsa_gfx10
10193     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V4
10194     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V3_V4_gfx10
10195     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V1
10196     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V1_gfx10
10197     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V2
10198     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V2_gfx10
10199     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V4_V2_nsa_gfx10
10200     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V3
10201     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V3_gfx10
10202     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V4_V3_nsa_gfx10
10203     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V4
10204     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V4_V4_gfx10
10205     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V1
10206     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V1_gfx10
10207     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V2
10208     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V2_gfx10
10209     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V5_V2_nsa_gfx10
10210     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V3
10211     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V3_gfx10
10212     UINT64_C(4036755458),	// IMAGE_SAMPLE_LZ_V5_V3_nsa_gfx10
10213     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V4
10214     UINT64_C(4036755456),	// IMAGE_SAMPLE_LZ_V5_V4_gfx10
10215     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V2
10216     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V2_gfx10
10217     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V1_V2_nsa_gfx10
10218     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V3
10219     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V3_gfx10
10220     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V1_V3_nsa_gfx10
10221     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V4
10222     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V4_gfx10
10223     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V1_V4_nsa_gfx10
10224     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V1_V5_nsa_gfx10
10225     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V8
10226     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V1_V8_gfx10
10227     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V2
10228     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V2_gfx10
10229     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V2_V2_nsa_gfx10
10230     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V3
10231     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V3_gfx10
10232     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V2_V3_nsa_gfx10
10233     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V4
10234     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V4_gfx10
10235     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V2_V4_nsa_gfx10
10236     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V2_V5_nsa_gfx10
10237     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V8
10238     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V2_V8_gfx10
10239     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V2
10240     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V2_gfx10
10241     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V3_V2_nsa_gfx10
10242     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V3
10243     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V3_gfx10
10244     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V3_V3_nsa_gfx10
10245     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V4
10246     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V4_gfx10
10247     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V3_V4_nsa_gfx10
10248     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V3_V5_nsa_gfx10
10249     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V8
10250     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V3_V8_gfx10
10251     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V2
10252     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V2_gfx10
10253     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V4_V2_nsa_gfx10
10254     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V3
10255     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V3_gfx10
10256     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V4_V3_nsa_gfx10
10257     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V4
10258     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V4_gfx10
10259     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V4_V4_nsa_gfx10
10260     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V4_V5_nsa_gfx10
10261     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V8
10262     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V4_V8_gfx10
10263     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V2
10264     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V2_gfx10
10265     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V5_V2_nsa_gfx10
10266     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V3
10267     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V3_gfx10
10268     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V5_V3_nsa_gfx10
10269     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V4
10270     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V4_gfx10
10271     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V5_V4_nsa_gfx10
10272     UINT64_C(4040163330),	// IMAGE_SAMPLE_L_O_V5_V5_nsa_gfx10
10273     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V8
10274     UINT64_C(4040163328),	// IMAGE_SAMPLE_L_O_V5_V8_gfx10
10275     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V1
10276     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V1_gfx10
10277     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V2
10278     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V2_gfx10
10279     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V1_V2_nsa_gfx10
10280     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V3
10281     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V3_gfx10
10282     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V1_V3_nsa_gfx10
10283     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V4
10284     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V1_V4_gfx10
10285     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V1_V4_nsa_gfx10
10286     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V1
10287     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V1_gfx10
10288     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V2
10289     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V2_gfx10
10290     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V2_V2_nsa_gfx10
10291     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V3
10292     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V3_gfx10
10293     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V2_V3_nsa_gfx10
10294     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V4
10295     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V2_V4_gfx10
10296     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V2_V4_nsa_gfx10
10297     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V1
10298     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V1_gfx10
10299     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V2
10300     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V2_gfx10
10301     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V3_V2_nsa_gfx10
10302     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V3
10303     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V3_gfx10
10304     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V3_V3_nsa_gfx10
10305     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V4
10306     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V3_V4_gfx10
10307     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V3_V4_nsa_gfx10
10308     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V1
10309     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V1_gfx10
10310     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V2
10311     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V2_gfx10
10312     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V4_V2_nsa_gfx10
10313     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V3
10314     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V3_gfx10
10315     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V4_V3_nsa_gfx10
10316     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V4
10317     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V4_V4_gfx10
10318     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V4_V4_nsa_gfx10
10319     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V1
10320     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V1_gfx10
10321     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V2
10322     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V2_gfx10
10323     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V5_V2_nsa_gfx10
10324     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V3
10325     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V3_gfx10
10326     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V5_V3_nsa_gfx10
10327     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V4
10328     UINT64_C(4035969024),	// IMAGE_SAMPLE_L_V5_V4_gfx10
10329     UINT64_C(4035969026),	// IMAGE_SAMPLE_L_V5_V4_nsa_gfx10
10330     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V1_V2
10331     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V1_V2_gfx10
10332     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V1_V2_nsa_gfx10
10333     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V1_V3
10334     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V1_V3_gfx10
10335     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V1_V3_nsa_gfx10
10336     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V1_V4
10337     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V1_V4_gfx10
10338     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V1_V4_nsa_gfx10
10339     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V2_V2
10340     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V2_V2_gfx10
10341     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V2_V2_nsa_gfx10
10342     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V2_V3
10343     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V2_V3_gfx10
10344     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V2_V3_nsa_gfx10
10345     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V2_V4
10346     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V2_V4_gfx10
10347     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V2_V4_nsa_gfx10
10348     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V3_V2
10349     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V3_V2_gfx10
10350     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V3_V2_nsa_gfx10
10351     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V3_V3
10352     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V3_V3_gfx10
10353     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V3_V3_nsa_gfx10
10354     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V3_V4
10355     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V3_V4_gfx10
10356     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V3_V4_nsa_gfx10
10357     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V4_V2
10358     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V4_V2_gfx10
10359     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V4_V2_nsa_gfx10
10360     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V4_V3
10361     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V4_V3_gfx10
10362     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V4_V3_nsa_gfx10
10363     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V4_V4
10364     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V4_V4_gfx10
10365     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V4_V4_nsa_gfx10
10366     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V5_V2
10367     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V5_V2_gfx10
10368     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V5_V2_nsa_gfx10
10369     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V5_V3
10370     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V5_V3_gfx10
10371     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V5_V3_nsa_gfx10
10372     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V5_V4
10373     UINT64_C(4039114752),	// IMAGE_SAMPLE_O_V5_V4_gfx10
10374     UINT64_C(4039114754),	// IMAGE_SAMPLE_O_V5_V4_nsa_gfx10
10375     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V1
10376     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V1_gfx10
10377     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V2
10378     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V2_gfx10
10379     UINT64_C(4034920450),	// IMAGE_SAMPLE_V1_V2_nsa_gfx10
10380     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V3
10381     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V3_gfx10
10382     UINT64_C(4034920450),	// IMAGE_SAMPLE_V1_V3_nsa_gfx10
10383     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V4
10384     UINT64_C(4034920448),	// IMAGE_SAMPLE_V1_V4_gfx10
10385     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V1
10386     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V1_gfx10
10387     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V2
10388     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V2_gfx10
10389     UINT64_C(4034920450),	// IMAGE_SAMPLE_V2_V2_nsa_gfx10
10390     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V3
10391     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V3_gfx10
10392     UINT64_C(4034920450),	// IMAGE_SAMPLE_V2_V3_nsa_gfx10
10393     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V4
10394     UINT64_C(4034920448),	// IMAGE_SAMPLE_V2_V4_gfx10
10395     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V1
10396     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V1_gfx10
10397     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V2
10398     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V2_gfx10
10399     UINT64_C(4034920450),	// IMAGE_SAMPLE_V3_V2_nsa_gfx10
10400     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V3
10401     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V3_gfx10
10402     UINT64_C(4034920450),	// IMAGE_SAMPLE_V3_V3_nsa_gfx10
10403     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V4
10404     UINT64_C(4034920448),	// IMAGE_SAMPLE_V3_V4_gfx10
10405     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V1
10406     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V1_gfx10
10407     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V2
10408     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V2_gfx10
10409     UINT64_C(4034920450),	// IMAGE_SAMPLE_V4_V2_nsa_gfx10
10410     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V3
10411     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V3_gfx10
10412     UINT64_C(4034920450),	// IMAGE_SAMPLE_V4_V3_nsa_gfx10
10413     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V4
10414     UINT64_C(4034920448),	// IMAGE_SAMPLE_V4_V4_gfx10
10415     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V1
10416     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V1_gfx10
10417     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V2
10418     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V2_gfx10
10419     UINT64_C(4034920450),	// IMAGE_SAMPLE_V5_V2_nsa_gfx10
10420     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V3
10421     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V3_gfx10
10422     UINT64_C(4034920450),	// IMAGE_SAMPLE_V5_V3_nsa_gfx10
10423     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V4
10424     UINT64_C(4034920448),	// IMAGE_SAMPLE_V5_V4_gfx10
10425     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V1
10426     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V1_gfx10
10427     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V2
10428     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V2_gfx10
10429     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V1_V2_nsa_gfx10
10430     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V3
10431     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V3_gfx10
10432     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V1_V3_nsa_gfx10
10433     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V4
10434     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V1_V4_gfx10
10435     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V1_V4_nsa_gfx10
10436     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V1
10437     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V1_gfx10
10438     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V2
10439     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V2_gfx10
10440     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V2_V2_nsa_gfx10
10441     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V3
10442     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V3_gfx10
10443     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V2_V3_nsa_gfx10
10444     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V4
10445     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V2_V4_gfx10
10446     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V2_V4_nsa_gfx10
10447     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V1
10448     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V1_gfx10
10449     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V2
10450     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V2_gfx10
10451     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V3_V2_nsa_gfx10
10452     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V3
10453     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V3_gfx10
10454     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V3_V3_nsa_gfx10
10455     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V4
10456     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V3_V4_gfx10
10457     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V3_V4_nsa_gfx10
10458     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V1
10459     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V1_gfx10
10460     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V2
10461     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V2_gfx10
10462     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V4_V2_nsa_gfx10
10463     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V3
10464     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V3_gfx10
10465     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V4_V3_nsa_gfx10
10466     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V4
10467     UINT64_C(4029415424),	// IMAGE_STORE_MIP_PCK_V4_V4_gfx10
10468     UINT64_C(4029415426),	// IMAGE_STORE_MIP_PCK_V4_V4_nsa_gfx10
10469     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V1
10470     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V1_gfx10
10471     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V2
10472     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V2_gfx10
10473     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V1_V2_nsa_gfx10
10474     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V3
10475     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V3_gfx10
10476     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V1_V3_nsa_gfx10
10477     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V4
10478     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V1_V4_gfx10
10479     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V1_V4_nsa_gfx10
10480     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V1
10481     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V1_gfx10
10482     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V2
10483     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V2_gfx10
10484     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V2_V2_nsa_gfx10
10485     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V3
10486     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V3_gfx10
10487     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V2_V3_nsa_gfx10
10488     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V4
10489     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V2_V4_gfx10
10490     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V2_V4_nsa_gfx10
10491     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V1
10492     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V1_gfx10
10493     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V2
10494     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V2_gfx10
10495     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V3_V2_nsa_gfx10
10496     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V3
10497     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V3_gfx10
10498     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V3_V3_nsa_gfx10
10499     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V4
10500     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V3_V4_gfx10
10501     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V3_V4_nsa_gfx10
10502     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V1
10503     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V1_gfx10
10504     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V2
10505     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V2_gfx10
10506     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V4_V2_nsa_gfx10
10507     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V3
10508     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V3_gfx10
10509     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V4_V3_nsa_gfx10
10510     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V4
10511     UINT64_C(4028891136),	// IMAGE_STORE_MIP_V4_V4_gfx10
10512     UINT64_C(4028891138),	// IMAGE_STORE_MIP_V4_V4_nsa_gfx10
10513     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V1
10514     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V1_gfx10
10515     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V2
10516     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V2_gfx10
10517     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V1_V2_nsa_gfx10
10518     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V3
10519     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V3_gfx10
10520     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V1_V3_nsa_gfx10
10521     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V4
10522     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V1_V4_gfx10
10523     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V1_V4_nsa_gfx10
10524     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V1
10525     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V1_gfx10
10526     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V2
10527     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V2_gfx10
10528     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V2_V2_nsa_gfx10
10529     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V3
10530     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V3_gfx10
10531     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V2_V3_nsa_gfx10
10532     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V4
10533     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V2_V4_gfx10
10534     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V2_V4_nsa_gfx10
10535     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V1
10536     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V1_gfx10
10537     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V2
10538     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V2_gfx10
10539     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V3_V2_nsa_gfx10
10540     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V3
10541     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V3_gfx10
10542     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V3_V3_nsa_gfx10
10543     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V4
10544     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V3_V4_gfx10
10545     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V3_V4_nsa_gfx10
10546     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V1
10547     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V1_gfx10
10548     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V2
10549     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V2_gfx10
10550     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V4_V2_nsa_gfx10
10551     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V3
10552     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V3_gfx10
10553     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V4_V3_nsa_gfx10
10554     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V4
10555     UINT64_C(4029153280),	// IMAGE_STORE_PCK_V4_V4_gfx10
10556     UINT64_C(4029153282),	// IMAGE_STORE_PCK_V4_V4_nsa_gfx10
10557     UINT64_C(4028628992),	// IMAGE_STORE_V1_V1
10558     UINT64_C(4028628992),	// IMAGE_STORE_V1_V1_gfx10
10559     UINT64_C(4028628992),	// IMAGE_STORE_V1_V2
10560     UINT64_C(4028628992),	// IMAGE_STORE_V1_V2_gfx10
10561     UINT64_C(4028628994),	// IMAGE_STORE_V1_V2_nsa_gfx10
10562     UINT64_C(4028628992),	// IMAGE_STORE_V1_V3
10563     UINT64_C(4028628992),	// IMAGE_STORE_V1_V3_gfx10
10564     UINT64_C(4028628994),	// IMAGE_STORE_V1_V3_nsa_gfx10
10565     UINT64_C(4028628992),	// IMAGE_STORE_V1_V4
10566     UINT64_C(4028628992),	// IMAGE_STORE_V1_V4_gfx10
10567     UINT64_C(4028628994),	// IMAGE_STORE_V1_V4_nsa_gfx10
10568     UINT64_C(4028628992),	// IMAGE_STORE_V2_V1
10569     UINT64_C(4028628992),	// IMAGE_STORE_V2_V1_gfx10
10570     UINT64_C(4028628992),	// IMAGE_STORE_V2_V2
10571     UINT64_C(4028628992),	// IMAGE_STORE_V2_V2_gfx10
10572     UINT64_C(4028628994),	// IMAGE_STORE_V2_V2_nsa_gfx10
10573     UINT64_C(4028628992),	// IMAGE_STORE_V2_V3
10574     UINT64_C(4028628992),	// IMAGE_STORE_V2_V3_gfx10
10575     UINT64_C(4028628994),	// IMAGE_STORE_V2_V3_nsa_gfx10
10576     UINT64_C(4028628992),	// IMAGE_STORE_V2_V4
10577     UINT64_C(4028628992),	// IMAGE_STORE_V2_V4_gfx10
10578     UINT64_C(4028628994),	// IMAGE_STORE_V2_V4_nsa_gfx10
10579     UINT64_C(4028628992),	// IMAGE_STORE_V3_V1
10580     UINT64_C(4028628992),	// IMAGE_STORE_V3_V1_gfx10
10581     UINT64_C(4028628992),	// IMAGE_STORE_V3_V2
10582     UINT64_C(4028628992),	// IMAGE_STORE_V3_V2_gfx10
10583     UINT64_C(4028628994),	// IMAGE_STORE_V3_V2_nsa_gfx10
10584     UINT64_C(4028628992),	// IMAGE_STORE_V3_V3
10585     UINT64_C(4028628992),	// IMAGE_STORE_V3_V3_gfx10
10586     UINT64_C(4028628994),	// IMAGE_STORE_V3_V3_nsa_gfx10
10587     UINT64_C(4028628992),	// IMAGE_STORE_V3_V4
10588     UINT64_C(4028628992),	// IMAGE_STORE_V3_V4_gfx10
10589     UINT64_C(4028628994),	// IMAGE_STORE_V3_V4_nsa_gfx10
10590     UINT64_C(4028628992),	// IMAGE_STORE_V4_V1
10591     UINT64_C(4028628992),	// IMAGE_STORE_V4_V1_gfx10
10592     UINT64_C(4028628992),	// IMAGE_STORE_V4_V2
10593     UINT64_C(4028628992),	// IMAGE_STORE_V4_V2_gfx10
10594     UINT64_C(4028628994),	// IMAGE_STORE_V4_V2_nsa_gfx10
10595     UINT64_C(4028628992),	// IMAGE_STORE_V4_V3
10596     UINT64_C(4028628992),	// IMAGE_STORE_V4_V3_gfx10
10597     UINT64_C(4028628994),	// IMAGE_STORE_V4_V3_nsa_gfx10
10598     UINT64_C(4028628992),	// IMAGE_STORE_V4_V4
10599     UINT64_C(4028628992),	// IMAGE_STORE_V4_V4_gfx10
10600     UINT64_C(4028628994),	// IMAGE_STORE_V4_V4_nsa_gfx10
10601     UINT64_C(3694411776),	// SCRATCH_LOAD_DWORDX2_SADDR_gfx10
10602     UINT64_C(3696508928),	// SCRATCH_LOAD_DWORDX2_SADDR_vi
10603     UINT64_C(35184375783243776),	// SCRATCH_LOAD_DWORDX2_gfx10
10604     UINT64_C(35747325738762240),	// SCRATCH_LOAD_DWORDX2_vi
10605     UINT64_C(3694936064),	// SCRATCH_LOAD_DWORDX3_SADDR_gfx10
10606     UINT64_C(3696771072),	// SCRATCH_LOAD_DWORDX3_SADDR_vi
10607     UINT64_C(35184375783768064),	// SCRATCH_LOAD_DWORDX3_gfx10
10608     UINT64_C(35747325739024384),	// SCRATCH_LOAD_DWORDX3_vi
10609     UINT64_C(3694673920),	// SCRATCH_LOAD_DWORDX4_SADDR_gfx10
10610     UINT64_C(3697033216),	// SCRATCH_LOAD_DWORDX4_SADDR_vi
10611     UINT64_C(35184375783505920),	// SCRATCH_LOAD_DWORDX4_gfx10
10612     UINT64_C(35747325739286528),	// SCRATCH_LOAD_DWORDX4_vi
10613     UINT64_C(3694149632),	// SCRATCH_LOAD_DWORD_SADDR_gfx10
10614     UINT64_C(3696246784),	// SCRATCH_LOAD_DWORD_SADDR_vi
10615     UINT64_C(35184375782981632),	// SCRATCH_LOAD_DWORD_gfx10
10616     UINT64_C(35747325738500096),	// SCRATCH_LOAD_DWORD_vi
10617     UINT64_C(3700178944),	// SCRATCH_LOAD_SBYTE_D16_HI_SADDR_gfx10
10618     UINT64_C(3700178944),	// SCRATCH_LOAD_SBYTE_D16_HI_SADDR_vi
10619     UINT64_C(35184375789010944),	// SCRATCH_LOAD_SBYTE_D16_HI_gfx10
10620     UINT64_C(35747325742432256),	// SCRATCH_LOAD_SBYTE_D16_HI_vi
10621     UINT64_C(3699916800),	// SCRATCH_LOAD_SBYTE_D16_SADDR_gfx10
10622     UINT64_C(3699916800),	// SCRATCH_LOAD_SBYTE_D16_SADDR_vi
10623     UINT64_C(35184375788748800),	// SCRATCH_LOAD_SBYTE_D16_gfx10
10624     UINT64_C(35747325742170112),	// SCRATCH_LOAD_SBYTE_D16_vi
10625     UINT64_C(3693363200),	// SCRATCH_LOAD_SBYTE_SADDR_gfx10
10626     UINT64_C(3695460352),	// SCRATCH_LOAD_SBYTE_SADDR_vi
10627     UINT64_C(35184375782195200),	// SCRATCH_LOAD_SBYTE_gfx10
10628     UINT64_C(35747325737713664),	// SCRATCH_LOAD_SBYTE_vi
10629     UINT64_C(3700703232),	// SCRATCH_LOAD_SHORT_D16_HI_SADDR_gfx10
10630     UINT64_C(3700703232),	// SCRATCH_LOAD_SHORT_D16_HI_SADDR_vi
10631     UINT64_C(35184375789535232),	// SCRATCH_LOAD_SHORT_D16_HI_gfx10
10632     UINT64_C(35747325742956544),	// SCRATCH_LOAD_SHORT_D16_HI_vi
10633     UINT64_C(3700441088),	// SCRATCH_LOAD_SHORT_D16_SADDR_gfx10
10634     UINT64_C(3700441088),	// SCRATCH_LOAD_SHORT_D16_SADDR_vi
10635     UINT64_C(35184375789273088),	// SCRATCH_LOAD_SHORT_D16_gfx10
10636     UINT64_C(35747325742694400),	// SCRATCH_LOAD_SHORT_D16_vi
10637     UINT64_C(3693887488),	// SCRATCH_LOAD_SSHORT_SADDR_gfx10
10638     UINT64_C(3695984640),	// SCRATCH_LOAD_SSHORT_SADDR_vi
10639     UINT64_C(35184375782719488),	// SCRATCH_LOAD_SSHORT_gfx10
10640     UINT64_C(35747325738237952),	// SCRATCH_LOAD_SSHORT_vi
10641     UINT64_C(3699654656),	// SCRATCH_LOAD_UBYTE_D16_HI_SADDR_gfx10
10642     UINT64_C(3699654656),	// SCRATCH_LOAD_UBYTE_D16_HI_SADDR_vi
10643     UINT64_C(35184375788486656),	// SCRATCH_LOAD_UBYTE_D16_HI_gfx10
10644     UINT64_C(35747325741907968),	// SCRATCH_LOAD_UBYTE_D16_HI_vi
10645     UINT64_C(3699392512),	// SCRATCH_LOAD_UBYTE_D16_SADDR_gfx10
10646     UINT64_C(3699392512),	// SCRATCH_LOAD_UBYTE_D16_SADDR_vi
10647     UINT64_C(35184375788224512),	// SCRATCH_LOAD_UBYTE_D16_gfx10
10648     UINT64_C(35747325741645824),	// SCRATCH_LOAD_UBYTE_D16_vi
10649     UINT64_C(3693101056),	// SCRATCH_LOAD_UBYTE_SADDR_gfx10
10650     UINT64_C(3695198208),	// SCRATCH_LOAD_UBYTE_SADDR_vi
10651     UINT64_C(35184375781933056),	// SCRATCH_LOAD_UBYTE_gfx10
10652     UINT64_C(35747325737451520),	// SCRATCH_LOAD_UBYTE_vi
10653     UINT64_C(3693625344),	// SCRATCH_LOAD_USHORT_SADDR_gfx10
10654     UINT64_C(3695722496),	// SCRATCH_LOAD_USHORT_SADDR_vi
10655     UINT64_C(35184375782457344),	// SCRATCH_LOAD_USHORT_gfx10
10656     UINT64_C(35747325737975808),	// SCRATCH_LOAD_USHORT_vi
10657     UINT64_C(3697557504),	// SCRATCH_STORE_BYTE_D16_HI_SADDR_gfx10
10658     UINT64_C(3697557504),	// SCRATCH_STORE_BYTE_D16_HI_SADDR_vi
10659     UINT64_C(35184375786389504),	// SCRATCH_STORE_BYTE_D16_HI_gfx10
10660     UINT64_C(35747325739810816),	// SCRATCH_STORE_BYTE_D16_HI_vi
10661     UINT64_C(3697295360),	// SCRATCH_STORE_BYTE_SADDR_gfx10
10662     UINT64_C(3697295360),	// SCRATCH_STORE_BYTE_SADDR_vi
10663     UINT64_C(35184375786127360),	// SCRATCH_STORE_BYTE_gfx10
10664     UINT64_C(35747325739548672),	// SCRATCH_STORE_BYTE_vi
10665     UINT64_C(3698606080),	// SCRATCH_STORE_DWORDX2_SADDR_gfx10
10666     UINT64_C(3698606080),	// SCRATCH_STORE_DWORDX2_SADDR_vi
10667     UINT64_C(35184375787438080),	// SCRATCH_STORE_DWORDX2_gfx10
10668     UINT64_C(35747325740859392),	// SCRATCH_STORE_DWORDX2_vi
10669     UINT64_C(3699130368),	// SCRATCH_STORE_DWORDX3_SADDR_gfx10
10670     UINT64_C(3698868224),	// SCRATCH_STORE_DWORDX3_SADDR_vi
10671     UINT64_C(35184375787962368),	// SCRATCH_STORE_DWORDX3_gfx10
10672     UINT64_C(35747325741121536),	// SCRATCH_STORE_DWORDX3_vi
10673     UINT64_C(3698868224),	// SCRATCH_STORE_DWORDX4_SADDR_gfx10
10674     UINT64_C(3699130368),	// SCRATCH_STORE_DWORDX4_SADDR_vi
10675     UINT64_C(35184375787700224),	// SCRATCH_STORE_DWORDX4_gfx10
10676     UINT64_C(35747325741383680),	// SCRATCH_STORE_DWORDX4_vi
10677     UINT64_C(3698343936),	// SCRATCH_STORE_DWORD_SADDR_gfx10
10678     UINT64_C(3698343936),	// SCRATCH_STORE_DWORD_SADDR_vi
10679     UINT64_C(35184375787175936),	// SCRATCH_STORE_DWORD_gfx10
10680     UINT64_C(35747325740597248),	// SCRATCH_STORE_DWORD_vi
10681     UINT64_C(3698081792),	// SCRATCH_STORE_SHORT_D16_HI_SADDR_gfx10
10682     UINT64_C(3698081792),	// SCRATCH_STORE_SHORT_D16_HI_SADDR_vi
10683     UINT64_C(35184375786913792),	// SCRATCH_STORE_SHORT_D16_HI_gfx10
10684     UINT64_C(35747325740335104),	// SCRATCH_STORE_SHORT_D16_HI_vi
10685     UINT64_C(3697819648),	// SCRATCH_STORE_SHORT_SADDR_gfx10
10686     UINT64_C(3697819648),	// SCRATCH_STORE_SHORT_SADDR_vi
10687     UINT64_C(35184375786651648),	// SCRATCH_STORE_SHORT_gfx10
10688     UINT64_C(35747325740072960),	// SCRATCH_STORE_SHORT_vi
10689     UINT64_C(2516582400),	// S_ABSDIFF_I32_gfx10
10690     UINT64_C(2516582400),	// S_ABSDIFF_I32_gfx6_gfx7
10691     UINT64_C(2499805184),	// S_ABSDIFF_I32_vi
10692     UINT64_C(3196072960),	// S_ABS_I32_gfx10
10693     UINT64_C(3196072960),	// S_ABS_I32_gfx6_gfx7
10694     UINT64_C(3196071936),	// S_ABS_I32_vi
10695     UINT64_C(2181038080),	// S_ADDC_U32_gfx10
10696     UINT64_C(2181038080),	// S_ADDC_U32_gfx6_gfx7
10697     UINT64_C(2181038080),	// S_ADDC_U32_vi
10698     UINT64_C(3078619136),	// S_ADDK_I32_gfx10
10699     UINT64_C(3078619136),	// S_ADDK_I32_gfx6_gfx7
10700     UINT64_C(3070230528),	// S_ADDK_I32_vi
10701     UINT64_C(2164260864),	// S_ADD_I32_gfx10
10702     UINT64_C(2164260864),	// S_ADD_I32_gfx6_gfx7
10703     UINT64_C(2164260864),	// S_ADD_I32_vi
10704     UINT64_C(2147483648),	// S_ADD_U32_gfx10
10705     UINT64_C(2147483648),	// S_ADD_U32_gfx6_gfx7
10706     UINT64_C(2147483648),	// S_ADD_U32_vi
10707     UINT64_C(3196077056),	// S_ANDN1_SAVEEXEC_B32_gfx10
10708     UINT64_C(3196073728),	// S_ANDN1_SAVEEXEC_B64_gfx10
10709     UINT64_C(3196072704),	// S_ANDN1_SAVEEXEC_B64_vi
10710     UINT64_C(3196077568),	// S_ANDN1_WREXEC_B32_gfx10
10711     UINT64_C(3196074240),	// S_ANDN1_WREXEC_B64_gfx10
10712     UINT64_C(3196073216),	// S_ANDN1_WREXEC_B64_vi
10713     UINT64_C(2315255808),	// S_ANDN2_B32_gfx10
10714     UINT64_C(2315255808),	// S_ANDN2_B32_gfx6_gfx7
10715     UINT64_C(2298478592),	// S_ANDN2_B32_vi
10716     UINT64_C(2323644416),	// S_ANDN2_B64_gfx10
10717     UINT64_C(2323644416),	// S_ANDN2_B64_gfx6_gfx7
10718     UINT64_C(2306867200),	// S_ANDN2_B64_vi
10719     UINT64_C(3196075776),	// S_ANDN2_SAVEEXEC_B32_gfx10
10720     UINT64_C(3196069632),	// S_ANDN2_SAVEEXEC_B64_gfx10
10721     UINT64_C(3196069632),	// S_ANDN2_SAVEEXEC_B64_gfx6_gfx7
10722     UINT64_C(3196068608),	// S_ANDN2_SAVEEXEC_B64_vi
10723     UINT64_C(3196077824),	// S_ANDN2_WREXEC_B32_gfx10
10724     UINT64_C(3196074496),	// S_ANDN2_WREXEC_B64_gfx10
10725     UINT64_C(3196073472),	// S_ANDN2_WREXEC_B64_vi
10726     UINT64_C(2264924160),	// S_AND_B32_gfx10
10727     UINT64_C(2264924160),	// S_AND_B32_gfx6_gfx7
10728     UINT64_C(2248146944),	// S_AND_B32_vi
10729     UINT64_C(2273312768),	// S_AND_B64_gfx10
10730     UINT64_C(2273312768),	// S_AND_B64_gfx6_gfx7
10731     UINT64_C(2256535552),	// S_AND_B64_vi
10732     UINT64_C(3196075008),	// S_AND_SAVEEXEC_B32_gfx10
10733     UINT64_C(3196068864),	// S_AND_SAVEEXEC_B64_gfx10
10734     UINT64_C(3196068864),	// S_AND_SAVEEXEC_B64_gfx6_gfx7
10735     UINT64_C(3196067840),	// S_AND_SAVEEXEC_B64_vi
10736     UINT64_C(2432696320),	// S_ASHR_I32_gfx10
10737     UINT64_C(2432696320),	// S_ASHR_I32_gfx6_gfx7
10738     UINT64_C(2415919104),	// S_ASHR_I32_vi
10739     UINT64_C(2441084928),	// S_ASHR_I64_gfx10
10740     UINT64_C(2441084928),	// S_ASHR_I64_gfx6_gfx7
10741     UINT64_C(2424307712),	// S_ASHR_I64_vi
10742     UINT64_C(18014398513585848320),	// S_ATC_PROBE_BUFFER_IMM_gfx10
10743     UINT64_C(3231580160),	// S_ATC_PROBE_BUFFER_IMM_vi
10744     UINT64_C(4103864320),	// S_ATC_PROBE_BUFFER_SGPR_gfx10
10745     UINT64_C(3231449088),	// S_ATC_PROBE_BUFFER_SGPR_vi
10746     UINT64_C(18014398513585586176),	// S_ATC_PROBE_IMM_gfx10
10747     UINT64_C(3231318016),	// S_ATC_PROBE_IMM_vi
10748     UINT64_C(4103602176),	// S_ATC_PROBE_SGPR_gfx10
10749     UINT64_C(3231186944),	// S_ATC_PROBE_SGPR_vi
10750     UINT64_C(18014398513609768960),	// S_ATOMIC_ADD_IMM_RTN_gfx10
10751     UINT64_C(3255500800),	// S_ATOMIC_ADD_IMM_RTN_vi
10752     UINT64_C(18014398513609703424),	// S_ATOMIC_ADD_IMM_gfx10
10753     UINT64_C(3255435264),	// S_ATOMIC_ADD_IMM_vi
10754     UINT64_C(4127784960),	// S_ATOMIC_ADD_SGPR_RTN_gfx10
10755     UINT64_C(3255369728),	// S_ATOMIC_ADD_SGPR_RTN_vi
10756     UINT64_C(4127719424),	// S_ATOMIC_ADD_SGPR_gfx10
10757     UINT64_C(3255304192),	// S_ATOMIC_ADD_SGPR_vi
10758     UINT64_C(18014398513618157568),	// S_ATOMIC_ADD_X2_IMM_RTN_gfx10
10759     UINT64_C(3263889408),	// S_ATOMIC_ADD_X2_IMM_RTN_vi
10760     UINT64_C(18014398513618092032),	// S_ATOMIC_ADD_X2_IMM_gfx10
10761     UINT64_C(3263823872),	// S_ATOMIC_ADD_X2_IMM_vi
10762     UINT64_C(4136173568),	// S_ATOMIC_ADD_X2_SGPR_RTN_gfx10
10763     UINT64_C(3263758336),	// S_ATOMIC_ADD_X2_SGPR_RTN_vi
10764     UINT64_C(4136108032),	// S_ATOMIC_ADD_X2_SGPR_gfx10
10765     UINT64_C(3263692800),	// S_ATOMIC_ADD_X2_SGPR_vi
10766     UINT64_C(18014398513611341824),	// S_ATOMIC_AND_IMM_RTN_gfx10
10767     UINT64_C(3257073664),	// S_ATOMIC_AND_IMM_RTN_vi
10768     UINT64_C(18014398513611276288),	// S_ATOMIC_AND_IMM_gfx10
10769     UINT64_C(3257008128),	// S_ATOMIC_AND_IMM_vi
10770     UINT64_C(4129357824),	// S_ATOMIC_AND_SGPR_RTN_gfx10
10771     UINT64_C(3256942592),	// S_ATOMIC_AND_SGPR_RTN_vi
10772     UINT64_C(4129292288),	// S_ATOMIC_AND_SGPR_gfx10
10773     UINT64_C(3256877056),	// S_ATOMIC_AND_SGPR_vi
10774     UINT64_C(18014398513619730432),	// S_ATOMIC_AND_X2_IMM_RTN_gfx10
10775     UINT64_C(3265462272),	// S_ATOMIC_AND_X2_IMM_RTN_vi
10776     UINT64_C(18014398513619664896),	// S_ATOMIC_AND_X2_IMM_gfx10
10777     UINT64_C(3265396736),	// S_ATOMIC_AND_X2_IMM_vi
10778     UINT64_C(4137746432),	// S_ATOMIC_AND_X2_SGPR_RTN_gfx10
10779     UINT64_C(3265331200),	// S_ATOMIC_AND_X2_SGPR_RTN_vi
10780     UINT64_C(4137680896),	// S_ATOMIC_AND_X2_SGPR_gfx10
10781     UINT64_C(3265265664),	// S_ATOMIC_AND_X2_SGPR_vi
10782     UINT64_C(18014398513609506816),	// S_ATOMIC_CMPSWAP_IMM_RTN_gfx10
10783     UINT64_C(3255238656),	// S_ATOMIC_CMPSWAP_IMM_RTN_vi
10784     UINT64_C(18014398513609441280),	// S_ATOMIC_CMPSWAP_IMM_gfx10
10785     UINT64_C(3255173120),	// S_ATOMIC_CMPSWAP_IMM_vi
10786     UINT64_C(4127522816),	// S_ATOMIC_CMPSWAP_SGPR_RTN_gfx10
10787     UINT64_C(3255107584),	// S_ATOMIC_CMPSWAP_SGPR_RTN_vi
10788     UINT64_C(4127457280),	// S_ATOMIC_CMPSWAP_SGPR_gfx10
10789     UINT64_C(3255042048),	// S_ATOMIC_CMPSWAP_SGPR_vi
10790     UINT64_C(18014398513617895424),	// S_ATOMIC_CMPSWAP_X2_IMM_RTN_gfx10
10791     UINT64_C(3263627264),	// S_ATOMIC_CMPSWAP_X2_IMM_RTN_vi
10792     UINT64_C(18014398513617829888),	// S_ATOMIC_CMPSWAP_X2_IMM_gfx10
10793     UINT64_C(3263561728),	// S_ATOMIC_CMPSWAP_X2_IMM_vi
10794     UINT64_C(4135911424),	// S_ATOMIC_CMPSWAP_X2_SGPR_RTN_gfx10
10795     UINT64_C(3263496192),	// S_ATOMIC_CMPSWAP_X2_SGPR_RTN_vi
10796     UINT64_C(4135845888),	// S_ATOMIC_CMPSWAP_X2_SGPR_gfx10
10797     UINT64_C(3263430656),	// S_ATOMIC_CMPSWAP_X2_SGPR_vi
10798     UINT64_C(18014398513612390400),	// S_ATOMIC_DEC_IMM_RTN_gfx10
10799     UINT64_C(3258122240),	// S_ATOMIC_DEC_IMM_RTN_vi
10800     UINT64_C(18014398513612324864),	// S_ATOMIC_DEC_IMM_gfx10
10801     UINT64_C(3258056704),	// S_ATOMIC_DEC_IMM_vi
10802     UINT64_C(4130406400),	// S_ATOMIC_DEC_SGPR_RTN_gfx10
10803     UINT64_C(3257991168),	// S_ATOMIC_DEC_SGPR_RTN_vi
10804     UINT64_C(4130340864),	// S_ATOMIC_DEC_SGPR_gfx10
10805     UINT64_C(3257925632),	// S_ATOMIC_DEC_SGPR_vi
10806     UINT64_C(18014398513620779008),	// S_ATOMIC_DEC_X2_IMM_RTN_gfx10
10807     UINT64_C(3266510848),	// S_ATOMIC_DEC_X2_IMM_RTN_vi
10808     UINT64_C(18014398513620713472),	// S_ATOMIC_DEC_X2_IMM_gfx10
10809     UINT64_C(3266445312),	// S_ATOMIC_DEC_X2_IMM_vi
10810     UINT64_C(4138795008),	// S_ATOMIC_DEC_X2_SGPR_RTN_gfx10
10811     UINT64_C(3266379776),	// S_ATOMIC_DEC_X2_SGPR_RTN_vi
10812     UINT64_C(4138729472),	// S_ATOMIC_DEC_X2_SGPR_gfx10
10813     UINT64_C(3266314240),	// S_ATOMIC_DEC_X2_SGPR_vi
10814     UINT64_C(18014398513612128256),	// S_ATOMIC_INC_IMM_RTN_gfx10
10815     UINT64_C(3257860096),	// S_ATOMIC_INC_IMM_RTN_vi
10816     UINT64_C(18014398513612062720),	// S_ATOMIC_INC_IMM_gfx10
10817     UINT64_C(3257794560),	// S_ATOMIC_INC_IMM_vi
10818     UINT64_C(4130144256),	// S_ATOMIC_INC_SGPR_RTN_gfx10
10819     UINT64_C(3257729024),	// S_ATOMIC_INC_SGPR_RTN_vi
10820     UINT64_C(4130078720),	// S_ATOMIC_INC_SGPR_gfx10
10821     UINT64_C(3257663488),	// S_ATOMIC_INC_SGPR_vi
10822     UINT64_C(18014398513620516864),	// S_ATOMIC_INC_X2_IMM_RTN_gfx10
10823     UINT64_C(3266248704),	// S_ATOMIC_INC_X2_IMM_RTN_vi
10824     UINT64_C(18014398513620451328),	// S_ATOMIC_INC_X2_IMM_gfx10
10825     UINT64_C(3266183168),	// S_ATOMIC_INC_X2_IMM_vi
10826     UINT64_C(4138532864),	// S_ATOMIC_INC_X2_SGPR_RTN_gfx10
10827     UINT64_C(3266117632),	// S_ATOMIC_INC_X2_SGPR_RTN_vi
10828     UINT64_C(4138467328),	// S_ATOMIC_INC_X2_SGPR_gfx10
10829     UINT64_C(3266052096),	// S_ATOMIC_INC_X2_SGPR_vi
10830     UINT64_C(18014398513611603968),	// S_ATOMIC_OR_IMM_RTN_gfx10
10831     UINT64_C(3257335808),	// S_ATOMIC_OR_IMM_RTN_vi
10832     UINT64_C(18014398513611538432),	// S_ATOMIC_OR_IMM_gfx10
10833     UINT64_C(3257270272),	// S_ATOMIC_OR_IMM_vi
10834     UINT64_C(4129619968),	// S_ATOMIC_OR_SGPR_RTN_gfx10
10835     UINT64_C(3257204736),	// S_ATOMIC_OR_SGPR_RTN_vi
10836     UINT64_C(4129554432),	// S_ATOMIC_OR_SGPR_gfx10
10837     UINT64_C(3257139200),	// S_ATOMIC_OR_SGPR_vi
10838     UINT64_C(18014398513619992576),	// S_ATOMIC_OR_X2_IMM_RTN_gfx10
10839     UINT64_C(3265724416),	// S_ATOMIC_OR_X2_IMM_RTN_vi
10840     UINT64_C(18014398513619927040),	// S_ATOMIC_OR_X2_IMM_gfx10
10841     UINT64_C(3265658880),	// S_ATOMIC_OR_X2_IMM_vi
10842     UINT64_C(4138008576),	// S_ATOMIC_OR_X2_SGPR_RTN_gfx10
10843     UINT64_C(3265593344),	// S_ATOMIC_OR_X2_SGPR_RTN_vi
10844     UINT64_C(4137943040),	// S_ATOMIC_OR_X2_SGPR_gfx10
10845     UINT64_C(3265527808),	// S_ATOMIC_OR_X2_SGPR_vi
10846     UINT64_C(18014398513610817536),	// S_ATOMIC_SMAX_IMM_RTN_gfx10
10847     UINT64_C(3256549376),	// S_ATOMIC_SMAX_IMM_RTN_vi
10848     UINT64_C(18014398513610752000),	// S_ATOMIC_SMAX_IMM_gfx10
10849     UINT64_C(3256483840),	// S_ATOMIC_SMAX_IMM_vi
10850     UINT64_C(4128833536),	// S_ATOMIC_SMAX_SGPR_RTN_gfx10
10851     UINT64_C(3256418304),	// S_ATOMIC_SMAX_SGPR_RTN_vi
10852     UINT64_C(4128768000),	// S_ATOMIC_SMAX_SGPR_gfx10
10853     UINT64_C(3256352768),	// S_ATOMIC_SMAX_SGPR_vi
10854     UINT64_C(18014398513619206144),	// S_ATOMIC_SMAX_X2_IMM_RTN_gfx10
10855     UINT64_C(3264937984),	// S_ATOMIC_SMAX_X2_IMM_RTN_vi
10856     UINT64_C(18014398513619140608),	// S_ATOMIC_SMAX_X2_IMM_gfx10
10857     UINT64_C(3264872448),	// S_ATOMIC_SMAX_X2_IMM_vi
10858     UINT64_C(4137222144),	// S_ATOMIC_SMAX_X2_SGPR_RTN_gfx10
10859     UINT64_C(3264806912),	// S_ATOMIC_SMAX_X2_SGPR_RTN_vi
10860     UINT64_C(4137156608),	// S_ATOMIC_SMAX_X2_SGPR_gfx10
10861     UINT64_C(3264741376),	// S_ATOMIC_SMAX_X2_SGPR_vi
10862     UINT64_C(18014398513610293248),	// S_ATOMIC_SMIN_IMM_RTN_gfx10
10863     UINT64_C(3256025088),	// S_ATOMIC_SMIN_IMM_RTN_vi
10864     UINT64_C(18014398513610227712),	// S_ATOMIC_SMIN_IMM_gfx10
10865     UINT64_C(3255959552),	// S_ATOMIC_SMIN_IMM_vi
10866     UINT64_C(4128309248),	// S_ATOMIC_SMIN_SGPR_RTN_gfx10
10867     UINT64_C(3255894016),	// S_ATOMIC_SMIN_SGPR_RTN_vi
10868     UINT64_C(4128243712),	// S_ATOMIC_SMIN_SGPR_gfx10
10869     UINT64_C(3255828480),	// S_ATOMIC_SMIN_SGPR_vi
10870     UINT64_C(18014398513618681856),	// S_ATOMIC_SMIN_X2_IMM_RTN_gfx10
10871     UINT64_C(3264413696),	// S_ATOMIC_SMIN_X2_IMM_RTN_vi
10872     UINT64_C(18014398513618616320),	// S_ATOMIC_SMIN_X2_IMM_gfx10
10873     UINT64_C(3264348160),	// S_ATOMIC_SMIN_X2_IMM_vi
10874     UINT64_C(4136697856),	// S_ATOMIC_SMIN_X2_SGPR_RTN_gfx10
10875     UINT64_C(3264282624),	// S_ATOMIC_SMIN_X2_SGPR_RTN_vi
10876     UINT64_C(4136632320),	// S_ATOMIC_SMIN_X2_SGPR_gfx10
10877     UINT64_C(3264217088),	// S_ATOMIC_SMIN_X2_SGPR_vi
10878     UINT64_C(18014398513610031104),	// S_ATOMIC_SUB_IMM_RTN_gfx10
10879     UINT64_C(3255762944),	// S_ATOMIC_SUB_IMM_RTN_vi
10880     UINT64_C(18014398513609965568),	// S_ATOMIC_SUB_IMM_gfx10
10881     UINT64_C(3255697408),	// S_ATOMIC_SUB_IMM_vi
10882     UINT64_C(4128047104),	// S_ATOMIC_SUB_SGPR_RTN_gfx10
10883     UINT64_C(3255631872),	// S_ATOMIC_SUB_SGPR_RTN_vi
10884     UINT64_C(4127981568),	// S_ATOMIC_SUB_SGPR_gfx10
10885     UINT64_C(3255566336),	// S_ATOMIC_SUB_SGPR_vi
10886     UINT64_C(18014398513618419712),	// S_ATOMIC_SUB_X2_IMM_RTN_gfx10
10887     UINT64_C(3264151552),	// S_ATOMIC_SUB_X2_IMM_RTN_vi
10888     UINT64_C(18014398513618354176),	// S_ATOMIC_SUB_X2_IMM_gfx10
10889     UINT64_C(3264086016),	// S_ATOMIC_SUB_X2_IMM_vi
10890     UINT64_C(4136435712),	// S_ATOMIC_SUB_X2_SGPR_RTN_gfx10
10891     UINT64_C(3264020480),	// S_ATOMIC_SUB_X2_SGPR_RTN_vi
10892     UINT64_C(4136370176),	// S_ATOMIC_SUB_X2_SGPR_gfx10
10893     UINT64_C(3263954944),	// S_ATOMIC_SUB_X2_SGPR_vi
10894     UINT64_C(18014398513609244672),	// S_ATOMIC_SWAP_IMM_RTN_gfx10
10895     UINT64_C(3254976512),	// S_ATOMIC_SWAP_IMM_RTN_vi
10896     UINT64_C(18014398513609179136),	// S_ATOMIC_SWAP_IMM_gfx10
10897     UINT64_C(3254910976),	// S_ATOMIC_SWAP_IMM_vi
10898     UINT64_C(4127260672),	// S_ATOMIC_SWAP_SGPR_RTN_gfx10
10899     UINT64_C(3254845440),	// S_ATOMIC_SWAP_SGPR_RTN_vi
10900     UINT64_C(4127195136),	// S_ATOMIC_SWAP_SGPR_gfx10
10901     UINT64_C(3254779904),	// S_ATOMIC_SWAP_SGPR_vi
10902     UINT64_C(18014398513617633280),	// S_ATOMIC_SWAP_X2_IMM_RTN_gfx10
10903     UINT64_C(3263365120),	// S_ATOMIC_SWAP_X2_IMM_RTN_vi
10904     UINT64_C(18014398513617567744),	// S_ATOMIC_SWAP_X2_IMM_gfx10
10905     UINT64_C(3263299584),	// S_ATOMIC_SWAP_X2_IMM_vi
10906     UINT64_C(4135649280),	// S_ATOMIC_SWAP_X2_SGPR_RTN_gfx10
10907     UINT64_C(3263234048),	// S_ATOMIC_SWAP_X2_SGPR_RTN_vi
10908     UINT64_C(4135583744),	// S_ATOMIC_SWAP_X2_SGPR_gfx10
10909     UINT64_C(3263168512),	// S_ATOMIC_SWAP_X2_SGPR_vi
10910     UINT64_C(18014398513611079680),	// S_ATOMIC_UMAX_IMM_RTN_gfx10
10911     UINT64_C(3256811520),	// S_ATOMIC_UMAX_IMM_RTN_vi
10912     UINT64_C(18014398513611014144),	// S_ATOMIC_UMAX_IMM_gfx10
10913     UINT64_C(3256745984),	// S_ATOMIC_UMAX_IMM_vi
10914     UINT64_C(4129095680),	// S_ATOMIC_UMAX_SGPR_RTN_gfx10
10915     UINT64_C(3256680448),	// S_ATOMIC_UMAX_SGPR_RTN_vi
10916     UINT64_C(4129030144),	// S_ATOMIC_UMAX_SGPR_gfx10
10917     UINT64_C(3256614912),	// S_ATOMIC_UMAX_SGPR_vi
10918     UINT64_C(18014398513619468288),	// S_ATOMIC_UMAX_X2_IMM_RTN_gfx10
10919     UINT64_C(3265200128),	// S_ATOMIC_UMAX_X2_IMM_RTN_vi
10920     UINT64_C(18014398513619402752),	// S_ATOMIC_UMAX_X2_IMM_gfx10
10921     UINT64_C(3265134592),	// S_ATOMIC_UMAX_X2_IMM_vi
10922     UINT64_C(4137484288),	// S_ATOMIC_UMAX_X2_SGPR_RTN_gfx10
10923     UINT64_C(3265069056),	// S_ATOMIC_UMAX_X2_SGPR_RTN_vi
10924     UINT64_C(4137418752),	// S_ATOMIC_UMAX_X2_SGPR_gfx10
10925     UINT64_C(3265003520),	// S_ATOMIC_UMAX_X2_SGPR_vi
10926     UINT64_C(18014398513610555392),	// S_ATOMIC_UMIN_IMM_RTN_gfx10
10927     UINT64_C(3256287232),	// S_ATOMIC_UMIN_IMM_RTN_vi
10928     UINT64_C(18014398513610489856),	// S_ATOMIC_UMIN_IMM_gfx10
10929     UINT64_C(3256221696),	// S_ATOMIC_UMIN_IMM_vi
10930     UINT64_C(4128571392),	// S_ATOMIC_UMIN_SGPR_RTN_gfx10
10931     UINT64_C(3256156160),	// S_ATOMIC_UMIN_SGPR_RTN_vi
10932     UINT64_C(4128505856),	// S_ATOMIC_UMIN_SGPR_gfx10
10933     UINT64_C(3256090624),	// S_ATOMIC_UMIN_SGPR_vi
10934     UINT64_C(18014398513618944000),	// S_ATOMIC_UMIN_X2_IMM_RTN_gfx10
10935     UINT64_C(3264675840),	// S_ATOMIC_UMIN_X2_IMM_RTN_vi
10936     UINT64_C(18014398513618878464),	// S_ATOMIC_UMIN_X2_IMM_gfx10
10937     UINT64_C(3264610304),	// S_ATOMIC_UMIN_X2_IMM_vi
10938     UINT64_C(4136960000),	// S_ATOMIC_UMIN_X2_SGPR_RTN_gfx10
10939     UINT64_C(3264544768),	// S_ATOMIC_UMIN_X2_SGPR_RTN_vi
10940     UINT64_C(4136894464),	// S_ATOMIC_UMIN_X2_SGPR_gfx10
10941     UINT64_C(3264479232),	// S_ATOMIC_UMIN_X2_SGPR_vi
10942     UINT64_C(18014398513611866112),	// S_ATOMIC_XOR_IMM_RTN_gfx10
10943     UINT64_C(3257597952),	// S_ATOMIC_XOR_IMM_RTN_vi
10944     UINT64_C(18014398513611800576),	// S_ATOMIC_XOR_IMM_gfx10
10945     UINT64_C(3257532416),	// S_ATOMIC_XOR_IMM_vi
10946     UINT64_C(4129882112),	// S_ATOMIC_XOR_SGPR_RTN_gfx10
10947     UINT64_C(3257466880),	// S_ATOMIC_XOR_SGPR_RTN_vi
10948     UINT64_C(4129816576),	// S_ATOMIC_XOR_SGPR_gfx10
10949     UINT64_C(3257401344),	// S_ATOMIC_XOR_SGPR_vi
10950     UINT64_C(18014398513620254720),	// S_ATOMIC_XOR_X2_IMM_RTN_gfx10
10951     UINT64_C(3265986560),	// S_ATOMIC_XOR_X2_IMM_RTN_vi
10952     UINT64_C(18014398513620189184),	// S_ATOMIC_XOR_X2_IMM_gfx10
10953     UINT64_C(3265921024),	// S_ATOMIC_XOR_X2_IMM_vi
10954     UINT64_C(4138270720),	// S_ATOMIC_XOR_X2_SGPR_RTN_gfx10
10955     UINT64_C(3265855488),	// S_ATOMIC_XOR_X2_SGPR_RTN_vi
10956     UINT64_C(4138205184),	// S_ATOMIC_XOR_X2_SGPR_gfx10
10957     UINT64_C(3265789952),	// S_ATOMIC_XOR_X2_SGPR_vi
10958     UINT64_C(3213492224),	// S_BARRIER
10959     UINT64_C(3196062976),	// S_BCNT0_I32_B32_gfx10
10960     UINT64_C(3196062976),	// S_BCNT0_I32_B32_gfx6_gfx7
10961     UINT64_C(3196062208),	// S_BCNT0_I32_B32_vi
10962     UINT64_C(3196063232),	// S_BCNT0_I32_B64_gfx10
10963     UINT64_C(3196063232),	// S_BCNT0_I32_B64_gfx6_gfx7
10964     UINT64_C(3196062464),	// S_BCNT0_I32_B64_vi
10965     UINT64_C(3196063488),	// S_BCNT1_I32_B32_gfx10
10966     UINT64_C(3196063488),	// S_BCNT1_I32_B32_gfx6_gfx7
10967     UINT64_C(3196062720),	// S_BCNT1_I32_B32_vi
10968     UINT64_C(3196063744),	// S_BCNT1_I32_B64_gfx10
10969     UINT64_C(3196063744),	// S_BCNT1_I32_B64_gfx6_gfx7
10970     UINT64_C(3196062976),	// S_BCNT1_I32_B64_vi
10971     UINT64_C(2483027968),	// S_BFE_I32_gfx10
10972     UINT64_C(2483027968),	// S_BFE_I32_gfx6_gfx7
10973     UINT64_C(2466250752),	// S_BFE_I32_vi
10974     UINT64_C(2499805184),	// S_BFE_I64_gfx10
10975     UINT64_C(2499805184),	// S_BFE_I64_gfx6_gfx7
10976     UINT64_C(2483027968),	// S_BFE_I64_vi
10977     UINT64_C(2474639360),	// S_BFE_U32_gfx10
10978     UINT64_C(2474639360),	// S_BFE_U32_gfx6_gfx7
10979     UINT64_C(2457862144),	// S_BFE_U32_vi
10980     UINT64_C(2491416576),	// S_BFE_U64_gfx10
10981     UINT64_C(2491416576),	// S_BFE_U64_gfx6_gfx7
10982     UINT64_C(2474639360),	// S_BFE_U64_vi
10983     UINT64_C(2449473536),	// S_BFM_B32_gfx10
10984     UINT64_C(2449473536),	// S_BFM_B32_gfx6_gfx7
10985     UINT64_C(2432696320),	// S_BFM_B32_vi
10986     UINT64_C(2457862144),	// S_BFM_B64_gfx10
10987     UINT64_C(2457862144),	// S_BFM_B64_gfx6_gfx7
10988     UINT64_C(2441084928),	// S_BFM_B64_vi
10989     UINT64_C(3205234688),	// S_BITCMP0_B32
10990     UINT64_C(3205365760),	// S_BITCMP0_B64
10991     UINT64_C(3205300224),	// S_BITCMP1_B32
10992     UINT64_C(3205431296),	// S_BITCMP1_B64
10993     UINT64_C(3196074752),	// S_BITREPLICATE_B64_B32_gfx10
10994     UINT64_C(3196073728),	// S_BITREPLICATE_B64_B32_vi
10995     UINT64_C(3196066560),	// S_BITSET0_B32_gfx10
10996     UINT64_C(3196066560),	// S_BITSET0_B32_gfx6_gfx7
10997     UINT64_C(3196065792),	// S_BITSET0_B32_vi
10998     UINT64_C(3196066816),	// S_BITSET0_B64_gfx10
10999     UINT64_C(3196066816),	// S_BITSET0_B64_gfx6_gfx7
11000     UINT64_C(3196066048),	// S_BITSET0_B64_vi
11001     UINT64_C(3196067072),	// S_BITSET1_B32_gfx10
11002     UINT64_C(3196067072),	// S_BITSET1_B32_gfx6_gfx7
11003     UINT64_C(3196066304),	// S_BITSET1_B32_vi
11004     UINT64_C(3196067328),	// S_BITSET1_B64_gfx10
11005     UINT64_C(3196067328),	// S_BITSET1_B64_gfx6_gfx7
11006     UINT64_C(3196066560),	// S_BITSET1_B64_vi
11007     UINT64_C(3212967936),	// S_BRANCH
11008     UINT64_C(13799029261476167680),	// S_BRANCH_pad_s_nop
11009     UINT64_C(3196062464),	// S_BREV_B32_gfx10
11010     UINT64_C(3196062464),	// S_BREV_B32_gfx6_gfx7
11011     UINT64_C(3196061696),	// S_BREV_B32_vi
11012     UINT64_C(3196062720),	// S_BREV_B64_gfx10
11013     UINT64_C(3196062720),	// S_BREV_B64_gfx6_gfx7
11014     UINT64_C(3196061952),	// S_BREV_B64_vi
11015     UINT64_C(18014398513592991744),	// S_BUFFER_ATOMIC_ADD_IMM_RTN_gfx10
11016     UINT64_C(3238723584),	// S_BUFFER_ATOMIC_ADD_IMM_RTN_vi
11017     UINT64_C(18014398513592926208),	// S_BUFFER_ATOMIC_ADD_IMM_gfx10
11018     UINT64_C(3238658048),	// S_BUFFER_ATOMIC_ADD_IMM_vi
11019     UINT64_C(4111007744),	// S_BUFFER_ATOMIC_ADD_SGPR_RTN_gfx10
11020     UINT64_C(3238592512),	// S_BUFFER_ATOMIC_ADD_SGPR_RTN_vi
11021     UINT64_C(4110942208),	// S_BUFFER_ATOMIC_ADD_SGPR_gfx10
11022     UINT64_C(3238526976),	// S_BUFFER_ATOMIC_ADD_SGPR_vi
11023     UINT64_C(18014398513601380352),	// S_BUFFER_ATOMIC_ADD_X2_IMM_RTN_gfx10
11024     UINT64_C(3247112192),	// S_BUFFER_ATOMIC_ADD_X2_IMM_RTN_vi
11025     UINT64_C(18014398513601314816),	// S_BUFFER_ATOMIC_ADD_X2_IMM_gfx10
11026     UINT64_C(3247046656),	// S_BUFFER_ATOMIC_ADD_X2_IMM_vi
11027     UINT64_C(4119396352),	// S_BUFFER_ATOMIC_ADD_X2_SGPR_RTN_gfx10
11028     UINT64_C(3246981120),	// S_BUFFER_ATOMIC_ADD_X2_SGPR_RTN_vi
11029     UINT64_C(4119330816),	// S_BUFFER_ATOMIC_ADD_X2_SGPR_gfx10
11030     UINT64_C(3246915584),	// S_BUFFER_ATOMIC_ADD_X2_SGPR_vi
11031     UINT64_C(18014398513594564608),	// S_BUFFER_ATOMIC_AND_IMM_RTN_gfx10
11032     UINT64_C(3240296448),	// S_BUFFER_ATOMIC_AND_IMM_RTN_vi
11033     UINT64_C(18014398513594499072),	// S_BUFFER_ATOMIC_AND_IMM_gfx10
11034     UINT64_C(3240230912),	// S_BUFFER_ATOMIC_AND_IMM_vi
11035     UINT64_C(4112580608),	// S_BUFFER_ATOMIC_AND_SGPR_RTN_gfx10
11036     UINT64_C(3240165376),	// S_BUFFER_ATOMIC_AND_SGPR_RTN_vi
11037     UINT64_C(4112515072),	// S_BUFFER_ATOMIC_AND_SGPR_gfx10
11038     UINT64_C(3240099840),	// S_BUFFER_ATOMIC_AND_SGPR_vi
11039     UINT64_C(18014398513602953216),	// S_BUFFER_ATOMIC_AND_X2_IMM_RTN_gfx10
11040     UINT64_C(3248685056),	// S_BUFFER_ATOMIC_AND_X2_IMM_RTN_vi
11041     UINT64_C(18014398513602887680),	// S_BUFFER_ATOMIC_AND_X2_IMM_gfx10
11042     UINT64_C(3248619520),	// S_BUFFER_ATOMIC_AND_X2_IMM_vi
11043     UINT64_C(4120969216),	// S_BUFFER_ATOMIC_AND_X2_SGPR_RTN_gfx10
11044     UINT64_C(3248553984),	// S_BUFFER_ATOMIC_AND_X2_SGPR_RTN_vi
11045     UINT64_C(4120903680),	// S_BUFFER_ATOMIC_AND_X2_SGPR_gfx10
11046     UINT64_C(3248488448),	// S_BUFFER_ATOMIC_AND_X2_SGPR_vi
11047     UINT64_C(18014398513592729600),	// S_BUFFER_ATOMIC_CMPSWAP_IMM_RTN_gfx10
11048     UINT64_C(3238461440),	// S_BUFFER_ATOMIC_CMPSWAP_IMM_RTN_vi
11049     UINT64_C(18014398513592664064),	// S_BUFFER_ATOMIC_CMPSWAP_IMM_gfx10
11050     UINT64_C(3238395904),	// S_BUFFER_ATOMIC_CMPSWAP_IMM_vi
11051     UINT64_C(4110745600),	// S_BUFFER_ATOMIC_CMPSWAP_SGPR_RTN_gfx10
11052     UINT64_C(3238330368),	// S_BUFFER_ATOMIC_CMPSWAP_SGPR_RTN_vi
11053     UINT64_C(4110680064),	// S_BUFFER_ATOMIC_CMPSWAP_SGPR_gfx10
11054     UINT64_C(3238264832),	// S_BUFFER_ATOMIC_CMPSWAP_SGPR_vi
11055     UINT64_C(18014398513601118208),	// S_BUFFER_ATOMIC_CMPSWAP_X2_IMM_RTN_gfx10
11056     UINT64_C(3246850048),	// S_BUFFER_ATOMIC_CMPSWAP_X2_IMM_RTN_vi
11057     UINT64_C(18014398513601052672),	// S_BUFFER_ATOMIC_CMPSWAP_X2_IMM_gfx10
11058     UINT64_C(3246784512),	// S_BUFFER_ATOMIC_CMPSWAP_X2_IMM_vi
11059     UINT64_C(4119134208),	// S_BUFFER_ATOMIC_CMPSWAP_X2_SGPR_RTN_gfx10
11060     UINT64_C(3246718976),	// S_BUFFER_ATOMIC_CMPSWAP_X2_SGPR_RTN_vi
11061     UINT64_C(4119068672),	// S_BUFFER_ATOMIC_CMPSWAP_X2_SGPR_gfx10
11062     UINT64_C(3246653440),	// S_BUFFER_ATOMIC_CMPSWAP_X2_SGPR_vi
11063     UINT64_C(18014398513595613184),	// S_BUFFER_ATOMIC_DEC_IMM_RTN_gfx10
11064     UINT64_C(3241345024),	// S_BUFFER_ATOMIC_DEC_IMM_RTN_vi
11065     UINT64_C(18014398513595547648),	// S_BUFFER_ATOMIC_DEC_IMM_gfx10
11066     UINT64_C(3241279488),	// S_BUFFER_ATOMIC_DEC_IMM_vi
11067     UINT64_C(4113629184),	// S_BUFFER_ATOMIC_DEC_SGPR_RTN_gfx10
11068     UINT64_C(3241213952),	// S_BUFFER_ATOMIC_DEC_SGPR_RTN_vi
11069     UINT64_C(4113563648),	// S_BUFFER_ATOMIC_DEC_SGPR_gfx10
11070     UINT64_C(3241148416),	// S_BUFFER_ATOMIC_DEC_SGPR_vi
11071     UINT64_C(18014398513604001792),	// S_BUFFER_ATOMIC_DEC_X2_IMM_RTN_gfx10
11072     UINT64_C(3249733632),	// S_BUFFER_ATOMIC_DEC_X2_IMM_RTN_vi
11073     UINT64_C(18014398513603936256),	// S_BUFFER_ATOMIC_DEC_X2_IMM_gfx10
11074     UINT64_C(3249668096),	// S_BUFFER_ATOMIC_DEC_X2_IMM_vi
11075     UINT64_C(4122017792),	// S_BUFFER_ATOMIC_DEC_X2_SGPR_RTN_gfx10
11076     UINT64_C(3249602560),	// S_BUFFER_ATOMIC_DEC_X2_SGPR_RTN_vi
11077     UINT64_C(4121952256),	// S_BUFFER_ATOMIC_DEC_X2_SGPR_gfx10
11078     UINT64_C(3249537024),	// S_BUFFER_ATOMIC_DEC_X2_SGPR_vi
11079     UINT64_C(18014398513595351040),	// S_BUFFER_ATOMIC_INC_IMM_RTN_gfx10
11080     UINT64_C(3241082880),	// S_BUFFER_ATOMIC_INC_IMM_RTN_vi
11081     UINT64_C(18014398513595285504),	// S_BUFFER_ATOMIC_INC_IMM_gfx10
11082     UINT64_C(3241017344),	// S_BUFFER_ATOMIC_INC_IMM_vi
11083     UINT64_C(4113367040),	// S_BUFFER_ATOMIC_INC_SGPR_RTN_gfx10
11084     UINT64_C(3240951808),	// S_BUFFER_ATOMIC_INC_SGPR_RTN_vi
11085     UINT64_C(4113301504),	// S_BUFFER_ATOMIC_INC_SGPR_gfx10
11086     UINT64_C(3240886272),	// S_BUFFER_ATOMIC_INC_SGPR_vi
11087     UINT64_C(18014398513603739648),	// S_BUFFER_ATOMIC_INC_X2_IMM_RTN_gfx10
11088     UINT64_C(3249471488),	// S_BUFFER_ATOMIC_INC_X2_IMM_RTN_vi
11089     UINT64_C(18014398513603674112),	// S_BUFFER_ATOMIC_INC_X2_IMM_gfx10
11090     UINT64_C(3249405952),	// S_BUFFER_ATOMIC_INC_X2_IMM_vi
11091     UINT64_C(4121755648),	// S_BUFFER_ATOMIC_INC_X2_SGPR_RTN_gfx10
11092     UINT64_C(3249340416),	// S_BUFFER_ATOMIC_INC_X2_SGPR_RTN_vi
11093     UINT64_C(4121690112),	// S_BUFFER_ATOMIC_INC_X2_SGPR_gfx10
11094     UINT64_C(3249274880),	// S_BUFFER_ATOMIC_INC_X2_SGPR_vi
11095     UINT64_C(18014398513594826752),	// S_BUFFER_ATOMIC_OR_IMM_RTN_gfx10
11096     UINT64_C(3240558592),	// S_BUFFER_ATOMIC_OR_IMM_RTN_vi
11097     UINT64_C(18014398513594761216),	// S_BUFFER_ATOMIC_OR_IMM_gfx10
11098     UINT64_C(3240493056),	// S_BUFFER_ATOMIC_OR_IMM_vi
11099     UINT64_C(4112842752),	// S_BUFFER_ATOMIC_OR_SGPR_RTN_gfx10
11100     UINT64_C(3240427520),	// S_BUFFER_ATOMIC_OR_SGPR_RTN_vi
11101     UINT64_C(4112777216),	// S_BUFFER_ATOMIC_OR_SGPR_gfx10
11102     UINT64_C(3240361984),	// S_BUFFER_ATOMIC_OR_SGPR_vi
11103     UINT64_C(18014398513603215360),	// S_BUFFER_ATOMIC_OR_X2_IMM_RTN_gfx10
11104     UINT64_C(3248947200),	// S_BUFFER_ATOMIC_OR_X2_IMM_RTN_vi
11105     UINT64_C(18014398513603149824),	// S_BUFFER_ATOMIC_OR_X2_IMM_gfx10
11106     UINT64_C(3248881664),	// S_BUFFER_ATOMIC_OR_X2_IMM_vi
11107     UINT64_C(4121231360),	// S_BUFFER_ATOMIC_OR_X2_SGPR_RTN_gfx10
11108     UINT64_C(3248816128),	// S_BUFFER_ATOMIC_OR_X2_SGPR_RTN_vi
11109     UINT64_C(4121165824),	// S_BUFFER_ATOMIC_OR_X2_SGPR_gfx10
11110     UINT64_C(3248750592),	// S_BUFFER_ATOMIC_OR_X2_SGPR_vi
11111     UINT64_C(18014398513594040320),	// S_BUFFER_ATOMIC_SMAX_IMM_RTN_gfx10
11112     UINT64_C(3239772160),	// S_BUFFER_ATOMIC_SMAX_IMM_RTN_vi
11113     UINT64_C(18014398513593974784),	// S_BUFFER_ATOMIC_SMAX_IMM_gfx10
11114     UINT64_C(3239706624),	// S_BUFFER_ATOMIC_SMAX_IMM_vi
11115     UINT64_C(4112056320),	// S_BUFFER_ATOMIC_SMAX_SGPR_RTN_gfx10
11116     UINT64_C(3239641088),	// S_BUFFER_ATOMIC_SMAX_SGPR_RTN_vi
11117     UINT64_C(4111990784),	// S_BUFFER_ATOMIC_SMAX_SGPR_gfx10
11118     UINT64_C(3239575552),	// S_BUFFER_ATOMIC_SMAX_SGPR_vi
11119     UINT64_C(18014398513602428928),	// S_BUFFER_ATOMIC_SMAX_X2_IMM_RTN_gfx10
11120     UINT64_C(3248160768),	// S_BUFFER_ATOMIC_SMAX_X2_IMM_RTN_vi
11121     UINT64_C(18014398513602363392),	// S_BUFFER_ATOMIC_SMAX_X2_IMM_gfx10
11122     UINT64_C(3248095232),	// S_BUFFER_ATOMIC_SMAX_X2_IMM_vi
11123     UINT64_C(4120444928),	// S_BUFFER_ATOMIC_SMAX_X2_SGPR_RTN_gfx10
11124     UINT64_C(3248029696),	// S_BUFFER_ATOMIC_SMAX_X2_SGPR_RTN_vi
11125     UINT64_C(4120379392),	// S_BUFFER_ATOMIC_SMAX_X2_SGPR_gfx10
11126     UINT64_C(3247964160),	// S_BUFFER_ATOMIC_SMAX_X2_SGPR_vi
11127     UINT64_C(18014398513593516032),	// S_BUFFER_ATOMIC_SMIN_IMM_RTN_gfx10
11128     UINT64_C(3239247872),	// S_BUFFER_ATOMIC_SMIN_IMM_RTN_vi
11129     UINT64_C(18014398513593450496),	// S_BUFFER_ATOMIC_SMIN_IMM_gfx10
11130     UINT64_C(3239182336),	// S_BUFFER_ATOMIC_SMIN_IMM_vi
11131     UINT64_C(4111532032),	// S_BUFFER_ATOMIC_SMIN_SGPR_RTN_gfx10
11132     UINT64_C(3239116800),	// S_BUFFER_ATOMIC_SMIN_SGPR_RTN_vi
11133     UINT64_C(4111466496),	// S_BUFFER_ATOMIC_SMIN_SGPR_gfx10
11134     UINT64_C(3239051264),	// S_BUFFER_ATOMIC_SMIN_SGPR_vi
11135     UINT64_C(18014398513601904640),	// S_BUFFER_ATOMIC_SMIN_X2_IMM_RTN_gfx10
11136     UINT64_C(3247636480),	// S_BUFFER_ATOMIC_SMIN_X2_IMM_RTN_vi
11137     UINT64_C(18014398513601839104),	// S_BUFFER_ATOMIC_SMIN_X2_IMM_gfx10
11138     UINT64_C(3247570944),	// S_BUFFER_ATOMIC_SMIN_X2_IMM_vi
11139     UINT64_C(4119920640),	// S_BUFFER_ATOMIC_SMIN_X2_SGPR_RTN_gfx10
11140     UINT64_C(3247505408),	// S_BUFFER_ATOMIC_SMIN_X2_SGPR_RTN_vi
11141     UINT64_C(4119855104),	// S_BUFFER_ATOMIC_SMIN_X2_SGPR_gfx10
11142     UINT64_C(3247439872),	// S_BUFFER_ATOMIC_SMIN_X2_SGPR_vi
11143     UINT64_C(18014398513593253888),	// S_BUFFER_ATOMIC_SUB_IMM_RTN_gfx10
11144     UINT64_C(3238985728),	// S_BUFFER_ATOMIC_SUB_IMM_RTN_vi
11145     UINT64_C(18014398513593188352),	// S_BUFFER_ATOMIC_SUB_IMM_gfx10
11146     UINT64_C(3238920192),	// S_BUFFER_ATOMIC_SUB_IMM_vi
11147     UINT64_C(4111269888),	// S_BUFFER_ATOMIC_SUB_SGPR_RTN_gfx10
11148     UINT64_C(3238854656),	// S_BUFFER_ATOMIC_SUB_SGPR_RTN_vi
11149     UINT64_C(4111204352),	// S_BUFFER_ATOMIC_SUB_SGPR_gfx10
11150     UINT64_C(3238789120),	// S_BUFFER_ATOMIC_SUB_SGPR_vi
11151     UINT64_C(18014398513601642496),	// S_BUFFER_ATOMIC_SUB_X2_IMM_RTN_gfx10
11152     UINT64_C(3247374336),	// S_BUFFER_ATOMIC_SUB_X2_IMM_RTN_vi
11153     UINT64_C(18014398513601576960),	// S_BUFFER_ATOMIC_SUB_X2_IMM_gfx10
11154     UINT64_C(3247308800),	// S_BUFFER_ATOMIC_SUB_X2_IMM_vi
11155     UINT64_C(4119658496),	// S_BUFFER_ATOMIC_SUB_X2_SGPR_RTN_gfx10
11156     UINT64_C(3247243264),	// S_BUFFER_ATOMIC_SUB_X2_SGPR_RTN_vi
11157     UINT64_C(4119592960),	// S_BUFFER_ATOMIC_SUB_X2_SGPR_gfx10
11158     UINT64_C(3247177728),	// S_BUFFER_ATOMIC_SUB_X2_SGPR_vi
11159     UINT64_C(18014398513592467456),	// S_BUFFER_ATOMIC_SWAP_IMM_RTN_gfx10
11160     UINT64_C(3238199296),	// S_BUFFER_ATOMIC_SWAP_IMM_RTN_vi
11161     UINT64_C(18014398513592401920),	// S_BUFFER_ATOMIC_SWAP_IMM_gfx10
11162     UINT64_C(3238133760),	// S_BUFFER_ATOMIC_SWAP_IMM_vi
11163     UINT64_C(4110483456),	// S_BUFFER_ATOMIC_SWAP_SGPR_RTN_gfx10
11164     UINT64_C(3238068224),	// S_BUFFER_ATOMIC_SWAP_SGPR_RTN_vi
11165     UINT64_C(4110417920),	// S_BUFFER_ATOMIC_SWAP_SGPR_gfx10
11166     UINT64_C(3238002688),	// S_BUFFER_ATOMIC_SWAP_SGPR_vi
11167     UINT64_C(18014398513600856064),	// S_BUFFER_ATOMIC_SWAP_X2_IMM_RTN_gfx10
11168     UINT64_C(3246587904),	// S_BUFFER_ATOMIC_SWAP_X2_IMM_RTN_vi
11169     UINT64_C(18014398513600790528),	// S_BUFFER_ATOMIC_SWAP_X2_IMM_gfx10
11170     UINT64_C(3246522368),	// S_BUFFER_ATOMIC_SWAP_X2_IMM_vi
11171     UINT64_C(4118872064),	// S_BUFFER_ATOMIC_SWAP_X2_SGPR_RTN_gfx10
11172     UINT64_C(3246456832),	// S_BUFFER_ATOMIC_SWAP_X2_SGPR_RTN_vi
11173     UINT64_C(4118806528),	// S_BUFFER_ATOMIC_SWAP_X2_SGPR_gfx10
11174     UINT64_C(3246391296),	// S_BUFFER_ATOMIC_SWAP_X2_SGPR_vi
11175     UINT64_C(18014398513594302464),	// S_BUFFER_ATOMIC_UMAX_IMM_RTN_gfx10
11176     UINT64_C(3240034304),	// S_BUFFER_ATOMIC_UMAX_IMM_RTN_vi
11177     UINT64_C(18014398513594236928),	// S_BUFFER_ATOMIC_UMAX_IMM_gfx10
11178     UINT64_C(3239968768),	// S_BUFFER_ATOMIC_UMAX_IMM_vi
11179     UINT64_C(4112318464),	// S_BUFFER_ATOMIC_UMAX_SGPR_RTN_gfx10
11180     UINT64_C(3239903232),	// S_BUFFER_ATOMIC_UMAX_SGPR_RTN_vi
11181     UINT64_C(4112252928),	// S_BUFFER_ATOMIC_UMAX_SGPR_gfx10
11182     UINT64_C(3239837696),	// S_BUFFER_ATOMIC_UMAX_SGPR_vi
11183     UINT64_C(18014398513602691072),	// S_BUFFER_ATOMIC_UMAX_X2_IMM_RTN_gfx10
11184     UINT64_C(3248422912),	// S_BUFFER_ATOMIC_UMAX_X2_IMM_RTN_vi
11185     UINT64_C(18014398513602625536),	// S_BUFFER_ATOMIC_UMAX_X2_IMM_gfx10
11186     UINT64_C(3248357376),	// S_BUFFER_ATOMIC_UMAX_X2_IMM_vi
11187     UINT64_C(4120707072),	// S_BUFFER_ATOMIC_UMAX_X2_SGPR_RTN_gfx10
11188     UINT64_C(3248291840),	// S_BUFFER_ATOMIC_UMAX_X2_SGPR_RTN_vi
11189     UINT64_C(4120641536),	// S_BUFFER_ATOMIC_UMAX_X2_SGPR_gfx10
11190     UINT64_C(3248226304),	// S_BUFFER_ATOMIC_UMAX_X2_SGPR_vi
11191     UINT64_C(18014398513593778176),	// S_BUFFER_ATOMIC_UMIN_IMM_RTN_gfx10
11192     UINT64_C(3239510016),	// S_BUFFER_ATOMIC_UMIN_IMM_RTN_vi
11193     UINT64_C(18014398513593712640),	// S_BUFFER_ATOMIC_UMIN_IMM_gfx10
11194     UINT64_C(3239444480),	// S_BUFFER_ATOMIC_UMIN_IMM_vi
11195     UINT64_C(4111794176),	// S_BUFFER_ATOMIC_UMIN_SGPR_RTN_gfx10
11196     UINT64_C(3239378944),	// S_BUFFER_ATOMIC_UMIN_SGPR_RTN_vi
11197     UINT64_C(4111728640),	// S_BUFFER_ATOMIC_UMIN_SGPR_gfx10
11198     UINT64_C(3239313408),	// S_BUFFER_ATOMIC_UMIN_SGPR_vi
11199     UINT64_C(18014398513602166784),	// S_BUFFER_ATOMIC_UMIN_X2_IMM_RTN_gfx10
11200     UINT64_C(3247898624),	// S_BUFFER_ATOMIC_UMIN_X2_IMM_RTN_vi
11201     UINT64_C(18014398513602101248),	// S_BUFFER_ATOMIC_UMIN_X2_IMM_gfx10
11202     UINT64_C(3247833088),	// S_BUFFER_ATOMIC_UMIN_X2_IMM_vi
11203     UINT64_C(4120182784),	// S_BUFFER_ATOMIC_UMIN_X2_SGPR_RTN_gfx10
11204     UINT64_C(3247767552),	// S_BUFFER_ATOMIC_UMIN_X2_SGPR_RTN_vi
11205     UINT64_C(4120117248),	// S_BUFFER_ATOMIC_UMIN_X2_SGPR_gfx10
11206     UINT64_C(3247702016),	// S_BUFFER_ATOMIC_UMIN_X2_SGPR_vi
11207     UINT64_C(18014398513595088896),	// S_BUFFER_ATOMIC_XOR_IMM_RTN_gfx10
11208     UINT64_C(3240820736),	// S_BUFFER_ATOMIC_XOR_IMM_RTN_vi
11209     UINT64_C(18014398513595023360),	// S_BUFFER_ATOMIC_XOR_IMM_gfx10
11210     UINT64_C(3240755200),	// S_BUFFER_ATOMIC_XOR_IMM_vi
11211     UINT64_C(4113104896),	// S_BUFFER_ATOMIC_XOR_SGPR_RTN_gfx10
11212     UINT64_C(3240689664),	// S_BUFFER_ATOMIC_XOR_SGPR_RTN_vi
11213     UINT64_C(4113039360),	// S_BUFFER_ATOMIC_XOR_SGPR_gfx10
11214     UINT64_C(3240624128),	// S_BUFFER_ATOMIC_XOR_SGPR_vi
11215     UINT64_C(18014398513603477504),	// S_BUFFER_ATOMIC_XOR_X2_IMM_RTN_gfx10
11216     UINT64_C(3249209344),	// S_BUFFER_ATOMIC_XOR_X2_IMM_RTN_vi
11217     UINT64_C(18014398513603411968),	// S_BUFFER_ATOMIC_XOR_X2_IMM_gfx10
11218     UINT64_C(3249143808),	// S_BUFFER_ATOMIC_XOR_X2_IMM_vi
11219     UINT64_C(4121493504),	// S_BUFFER_ATOMIC_XOR_X2_SGPR_RTN_gfx10
11220     UINT64_C(3249078272),	// S_BUFFER_ATOMIC_XOR_X2_SGPR_RTN_vi
11221     UINT64_C(4121427968),	// S_BUFFER_ATOMIC_XOR_X2_SGPR_gfx10
11222     UINT64_C(3249012736),	// S_BUFFER_ATOMIC_XOR_X2_SGPR_vi
11223     UINT64_C(3271557375),	// S_BUFFER_LOAD_DWORDX16_IMM_ci
11224     UINT64_C(18014398513578770432),	// S_BUFFER_LOAD_DWORDX16_IMM_gfx10
11225     UINT64_C(3271557376),	// S_BUFFER_LOAD_DWORDX16_IMM_si
11226     UINT64_C(3224502272),	// S_BUFFER_LOAD_DWORDX16_IMM_vi
11227     UINT64_C(4096786432),	// S_BUFFER_LOAD_DWORDX16_SGPR_gfx10
11228     UINT64_C(3271557120),	// S_BUFFER_LOAD_DWORDX16_SGPR_si
11229     UINT64_C(3224371200),	// S_BUFFER_LOAD_DWORDX16_SGPR_vi
11230     UINT64_C(3258974463),	// S_BUFFER_LOAD_DWORDX2_IMM_ci
11231     UINT64_C(18014398513577984000),	// S_BUFFER_LOAD_DWORDX2_IMM_gfx10
11232     UINT64_C(3258974464),	// S_BUFFER_LOAD_DWORDX2_IMM_si
11233     UINT64_C(3223715840),	// S_BUFFER_LOAD_DWORDX2_IMM_vi
11234     UINT64_C(4096000000),	// S_BUFFER_LOAD_DWORDX2_SGPR_gfx10
11235     UINT64_C(3258974208),	// S_BUFFER_LOAD_DWORDX2_SGPR_si
11236     UINT64_C(3223584768),	// S_BUFFER_LOAD_DWORDX2_SGPR_vi
11237     UINT64_C(3263168767),	// S_BUFFER_LOAD_DWORDX4_IMM_ci
11238     UINT64_C(18014398513578246144),	// S_BUFFER_LOAD_DWORDX4_IMM_gfx10
11239     UINT64_C(3263168768),	// S_BUFFER_LOAD_DWORDX4_IMM_si
11240     UINT64_C(3223977984),	// S_BUFFER_LOAD_DWORDX4_IMM_vi
11241     UINT64_C(4096262144),	// S_BUFFER_LOAD_DWORDX4_SGPR_gfx10
11242     UINT64_C(3263168512),	// S_BUFFER_LOAD_DWORDX4_SGPR_si
11243     UINT64_C(3223846912),	// S_BUFFER_LOAD_DWORDX4_SGPR_vi
11244     UINT64_C(3267363071),	// S_BUFFER_LOAD_DWORDX8_IMM_ci
11245     UINT64_C(18014398513578508288),	// S_BUFFER_LOAD_DWORDX8_IMM_gfx10
11246     UINT64_C(3267363072),	// S_BUFFER_LOAD_DWORDX8_IMM_si
11247     UINT64_C(3224240128),	// S_BUFFER_LOAD_DWORDX8_IMM_vi
11248     UINT64_C(4096524288),	// S_BUFFER_LOAD_DWORDX8_SGPR_gfx10
11249     UINT64_C(3267362816),	// S_BUFFER_LOAD_DWORDX8_SGPR_si
11250     UINT64_C(3224109056),	// S_BUFFER_LOAD_DWORDX8_SGPR_vi
11251     UINT64_C(3254780159),	// S_BUFFER_LOAD_DWORD_IMM_ci
11252     UINT64_C(18014398513577721856),	// S_BUFFER_LOAD_DWORD_IMM_gfx10
11253     UINT64_C(3254780160),	// S_BUFFER_LOAD_DWORD_IMM_si
11254     UINT64_C(3223453696),	// S_BUFFER_LOAD_DWORD_IMM_vi
11255     UINT64_C(4095737856),	// S_BUFFER_LOAD_DWORD_SGPR_gfx10
11256     UINT64_C(3254779904),	// S_BUFFER_LOAD_DWORD_SGPR_si
11257     UINT64_C(3223322624),	// S_BUFFER_LOAD_DWORD_SGPR_vi
11258     UINT64_C(18014398513582178304),	// S_BUFFER_STORE_DWORDX2_IMM_gfx10
11259     UINT64_C(3227910144),	// S_BUFFER_STORE_DWORDX2_IMM_vi
11260     UINT64_C(4100194304),	// S_BUFFER_STORE_DWORDX2_SGPR_gfx10
11261     UINT64_C(3227779072),	// S_BUFFER_STORE_DWORDX2_SGPR_vi
11262     UINT64_C(18014398513582440448),	// S_BUFFER_STORE_DWORDX4_IMM_gfx10
11263     UINT64_C(3228172288),	// S_BUFFER_STORE_DWORDX4_IMM_vi
11264     UINT64_C(4100456448),	// S_BUFFER_STORE_DWORDX4_SGPR_gfx10
11265     UINT64_C(3228041216),	// S_BUFFER_STORE_DWORDX4_SGPR_vi
11266     UINT64_C(18014398513581916160),	// S_BUFFER_STORE_DWORD_IMM_gfx10
11267     UINT64_C(3227648000),	// S_BUFFER_STORE_DWORD_IMM_vi
11268     UINT64_C(4099932160),	// S_BUFFER_STORE_DWORD_SGPR_gfx10
11269     UINT64_C(3227516928),	// S_BUFFER_STORE_DWORD_SGPR_vi
11270     UINT64_C(3137339392),	// S_CALL_B64_gfx10
11271     UINT64_C(3128950784),	// S_CALL_B64_vi
11272     UINT64_C(3214344192),	// S_CBRANCH_CDBGSYS
11273     UINT64_C(3214540800),	// S_CBRANCH_CDBGSYS_AND_USER
11274     UINT64_C(13799029261477740544),	// S_CBRANCH_CDBGSYS_AND_USER_pad_s_nop
11275     UINT64_C(3214475264),	// S_CBRANCH_CDBGSYS_OR_USER
11276     UINT64_C(13799029261477675008),	// S_CBRANCH_CDBGSYS_OR_USER_pad_s_nop
11277     UINT64_C(13799029261477543936),	// S_CBRANCH_CDBGSYS_pad_s_nop
11278     UINT64_C(3214409728),	// S_CBRANCH_CDBGUSER
11279     UINT64_C(13799029261477609472),	// S_CBRANCH_CDBGUSER_pad_s_nop
11280     UINT64_C(3213426688),	// S_CBRANCH_EXECNZ
11281     UINT64_C(13799029261476626432),	// S_CBRANCH_EXECNZ_pad_s_nop
11282     UINT64_C(3213361152),	// S_CBRANCH_EXECZ
11283     UINT64_C(13799029261476560896),	// S_CBRANCH_EXECZ_pad_s_nop
11284     UINT64_C(2508193792),	// S_CBRANCH_G_FORK_gfx6_gfx7
11285     UINT64_C(2491416576),	// S_CBRANCH_G_FORK_vi
11286     UINT64_C(3095396352),	// S_CBRANCH_I_FORK_gfx6_gfx7
11287     UINT64_C(3087007744),	// S_CBRANCH_I_FORK_vi
11288     UINT64_C(3196072448),	// S_CBRANCH_JOIN_gfx6_gfx7
11289     UINT64_C(3196071424),	// S_CBRANCH_JOIN_vi
11290     UINT64_C(3213099008),	// S_CBRANCH_SCC0
11291     UINT64_C(13799029261476298752),	// S_CBRANCH_SCC0_pad_s_nop
11292     UINT64_C(3213164544),	// S_CBRANCH_SCC1
11293     UINT64_C(13799029261476364288),	// S_CBRANCH_SCC1_pad_s_nop
11294     UINT64_C(3213295616),	// S_CBRANCH_VCCNZ
11295     UINT64_C(13799029261476495360),	// S_CBRANCH_VCCNZ_pad_s_nop
11296     UINT64_C(3213230080),	// S_CBRANCH_VCCZ
11297     UINT64_C(13799029261476429824),	// S_CBRANCH_VCCZ_pad_s_nop
11298     UINT64_C(3214999552),	// S_CLAUSE
11299     UINT64_C(2969567232),	// S_CMOVK_I32_gfx10
11300     UINT64_C(2969567232),	// S_CMOVK_I32_gfx6_gfx7
11301     UINT64_C(2961178624),	// S_CMOVK_I32_vi
11302     UINT64_C(3196060928),	// S_CMOV_B32_gfx10
11303     UINT64_C(3196060928),	// S_CMOV_B32_gfx6_gfx7
11304     UINT64_C(3196060160),	// S_CMOV_B32_vi
11305     UINT64_C(3196061184),	// S_CMOV_B64_gfx10
11306     UINT64_C(3196061184),	// S_CMOV_B64_gfx6_gfx7
11307     UINT64_C(3196060416),	// S_CMOV_B64_vi
11308     UINT64_C(2977955840),	// S_CMPK_EQ_I32_gfx10
11309     UINT64_C(2977955840),	// S_CMPK_EQ_I32_gfx6_gfx7
11310     UINT64_C(2969567232),	// S_CMPK_EQ_I32_vi
11311     UINT64_C(3028287488),	// S_CMPK_EQ_U32_gfx10
11312     UINT64_C(3028287488),	// S_CMPK_EQ_U32_gfx6_gfx7
11313     UINT64_C(3019898880),	// S_CMPK_EQ_U32_vi
11314     UINT64_C(3003121664),	// S_CMPK_GE_I32_gfx10
11315     UINT64_C(3003121664),	// S_CMPK_GE_I32_gfx6_gfx7
11316     UINT64_C(2994733056),	// S_CMPK_GE_I32_vi
11317     UINT64_C(3053453312),	// S_CMPK_GE_U32_gfx10
11318     UINT64_C(3053453312),	// S_CMPK_GE_U32_gfx6_gfx7
11319     UINT64_C(3045064704),	// S_CMPK_GE_U32_vi
11320     UINT64_C(2994733056),	// S_CMPK_GT_I32_gfx10
11321     UINT64_C(2994733056),	// S_CMPK_GT_I32_gfx6_gfx7
11322     UINT64_C(2986344448),	// S_CMPK_GT_I32_vi
11323     UINT64_C(3045064704),	// S_CMPK_GT_U32_gfx10
11324     UINT64_C(3045064704),	// S_CMPK_GT_U32_gfx6_gfx7
11325     UINT64_C(3036676096),	// S_CMPK_GT_U32_vi
11326     UINT64_C(3019898880),	// S_CMPK_LE_I32_gfx10
11327     UINT64_C(3019898880),	// S_CMPK_LE_I32_gfx6_gfx7
11328     UINT64_C(3011510272),	// S_CMPK_LE_I32_vi
11329     UINT64_C(3070230528),	// S_CMPK_LE_U32_gfx10
11330     UINT64_C(3070230528),	// S_CMPK_LE_U32_gfx6_gfx7
11331     UINT64_C(3061841920),	// S_CMPK_LE_U32_vi
11332     UINT64_C(2986344448),	// S_CMPK_LG_I32_gfx10
11333     UINT64_C(2986344448),	// S_CMPK_LG_I32_gfx6_gfx7
11334     UINT64_C(2977955840),	// S_CMPK_LG_I32_vi
11335     UINT64_C(3036676096),	// S_CMPK_LG_U32_gfx10
11336     UINT64_C(3036676096),	// S_CMPK_LG_U32_gfx6_gfx7
11337     UINT64_C(3028287488),	// S_CMPK_LG_U32_vi
11338     UINT64_C(3011510272),	// S_CMPK_LT_I32_gfx10
11339     UINT64_C(3011510272),	// S_CMPK_LT_I32_gfx6_gfx7
11340     UINT64_C(3003121664),	// S_CMPK_LT_I32_vi
11341     UINT64_C(3061841920),	// S_CMPK_LT_U32_gfx10
11342     UINT64_C(3061841920),	// S_CMPK_LT_U32_gfx6_gfx7
11343     UINT64_C(3053453312),	// S_CMPK_LT_U32_vi
11344     UINT64_C(3204448256),	// S_CMP_EQ_I32
11345     UINT64_C(3204841472),	// S_CMP_EQ_U32
11346     UINT64_C(3205627904),	// S_CMP_EQ_U64
11347     UINT64_C(3204644864),	// S_CMP_GE_I32
11348     UINT64_C(3205038080),	// S_CMP_GE_U32
11349     UINT64_C(3204579328),	// S_CMP_GT_I32
11350     UINT64_C(3204972544),	// S_CMP_GT_U32
11351     UINT64_C(3204775936),	// S_CMP_LE_I32
11352     UINT64_C(3205169152),	// S_CMP_LE_U32
11353     UINT64_C(3204513792),	// S_CMP_LG_I32
11354     UINT64_C(3204907008),	// S_CMP_LG_U32
11355     UINT64_C(3205693440),	// S_CMP_LG_U64
11356     UINT64_C(3204710400),	// S_CMP_LT_I32
11357     UINT64_C(3205103616),	// S_CMP_LT_U32
11358     UINT64_C(3214868480),	// S_CODE_END
11359     UINT64_C(2231369728),	// S_CSELECT_B32_gfx10
11360     UINT64_C(2231369728),	// S_CSELECT_B32_gfx6_gfx7
11361     UINT64_C(2231369728),	// S_CSELECT_B32_vi
11362     UINT64_C(2239758336),	// S_CSELECT_B64_gfx10
11363     UINT64_C(2239758336),	// S_CSELECT_B64_gfx6_gfx7
11364     UINT64_C(2239758336),	// S_CSELECT_B64_vi
11365     UINT64_C(18014398513586110464),	// S_DCACHE_DISCARD_IMM_gfx10
11366     UINT64_C(3231842304),	// S_DCACHE_DISCARD_IMM_vi
11367     UINT64_C(4104126464),	// S_DCACHE_DISCARD_SGPR_gfx10
11368     UINT64_C(3231711232),	// S_DCACHE_DISCARD_SGPR_vi
11369     UINT64_C(18014398513586372608),	// S_DCACHE_DISCARD_X2_IMM_gfx10
11370     UINT64_C(3232104448),	// S_DCACHE_DISCARD_X2_IMM_vi
11371     UINT64_C(4104388608),	// S_DCACHE_DISCARD_X2_SGPR_gfx10
11372     UINT64_C(3231973376),	// S_DCACHE_DISCARD_X2_SGPR_vi
11373     UINT64_C(3342860288),	// S_DCACHE_INV_VOL_ci
11374     UINT64_C(3230138368),	// S_DCACHE_INV_VOL_vi
11375     UINT64_C(4102029312),	// S_DCACHE_INV_gfx10
11376     UINT64_C(3351248896),	// S_DCACHE_INV_si
11377     UINT64_C(3229614080),	// S_DCACHE_INV_vi
11378     UINT64_C(3230400512),	// S_DCACHE_WB_VOL_vi
11379     UINT64_C(4102291456),	// S_DCACHE_WB_gfx10
11380     UINT64_C(3229876224),	// S_DCACHE_WB_vi
11381     UINT64_C(3214213120),	// S_DECPERFLEVEL
11382     UINT64_C(3215261696),	// S_DENORM_MODE
11383     UINT64_C(3212902400),	// S_ENDPGM
11384     UINT64_C(3214802944),	// S_ENDPGM_ORDERED_PS_DONE
11385     UINT64_C(3214606336),	// S_ENDPGM_SAVED
11386     UINT64_C(3196064000),	// S_FF0_I32_B32_gfx10
11387     UINT64_C(3196064000),	// S_FF0_I32_B32_gfx6_gfx7
11388     UINT64_C(3196063232),	// S_FF0_I32_B32_vi
11389     UINT64_C(3196064256),	// S_FF0_I32_B64_gfx10
11390     UINT64_C(3196064256),	// S_FF0_I32_B64_gfx6_gfx7
11391     UINT64_C(3196063488),	// S_FF0_I32_B64_vi
11392     UINT64_C(3196064512),	// S_FF1_I32_B32_gfx10
11393     UINT64_C(3196064512),	// S_FF1_I32_B32_gfx6_gfx7
11394     UINT64_C(3196063744),	// S_FF1_I32_B32_vi
11395     UINT64_C(3196064768),	// S_FF1_I32_B64_gfx10
11396     UINT64_C(3196064768),	// S_FF1_I32_B64_gfx6_gfx7
11397     UINT64_C(3196064000),	// S_FF1_I32_B64_vi
11398     UINT64_C(3196065024),	// S_FLBIT_I32_B32_gfx10
11399     UINT64_C(3196065024),	// S_FLBIT_I32_B32_gfx6_gfx7
11400     UINT64_C(3196064256),	// S_FLBIT_I32_B32_vi
11401     UINT64_C(3196065280),	// S_FLBIT_I32_B64_gfx10
11402     UINT64_C(3196065280),	// S_FLBIT_I32_B64_gfx6_gfx7
11403     UINT64_C(3196064512),	// S_FLBIT_I32_B64_vi
11404     UINT64_C(3196065792),	// S_FLBIT_I32_I64_gfx10
11405     UINT64_C(3196065792),	// S_FLBIT_I32_I64_gfx6_gfx7
11406     UINT64_C(3196065024),	// S_FLBIT_I32_I64_vi
11407     UINT64_C(3196065536),	// S_FLBIT_I32_gfx10
11408     UINT64_C(3196065536),	// S_FLBIT_I32_gfx6_gfx7
11409     UINT64_C(3196064768),	// S_FLBIT_I32_vi
11410     UINT64_C(3196067584),	// S_GETPC_B64_gfx10
11411     UINT64_C(3196067584),	// S_GETPC_B64_gfx6_gfx7
11412     UINT64_C(3196066816),	// S_GETPC_B64_vi
11413     UINT64_C(3103784960),	// S_GETREG_B32_gfx10
11414     UINT64_C(3103784960),	// S_GETREG_B32_gfx6_gfx7
11415     UINT64_C(3095396352),	// S_GETREG_B32_vi
11416     UINT64_C(4104650752),	// S_GET_WAVEID_IN_WORKGROUP_gfx10
11417     UINT64_C(4101767168),	// S_GL1_INV_gfx10
11418     UINT64_C(3214082048),	// S_ICACHE_INV
11419     UINT64_C(3214147584),	// S_INCPERFLEVEL
11420     UINT64_C(3214934016),	// S_INST_PREFETCH
11421     UINT64_C(3238002943),	// S_LOAD_DWORDX16_IMM_ci
11422     UINT64_C(18014398513576673280),	// S_LOAD_DWORDX16_IMM_gfx10
11423     UINT64_C(3238002944),	// S_LOAD_DWORDX16_IMM_si
11424     UINT64_C(3222405120),	// S_LOAD_DWORDX16_IMM_vi
11425     UINT64_C(4094689280),	// S_LOAD_DWORDX16_SGPR_gfx10
11426     UINT64_C(3238002688),	// S_LOAD_DWORDX16_SGPR_si
11427     UINT64_C(3222274048),	// S_LOAD_DWORDX16_SGPR_vi
11428     UINT64_C(3225420031),	// S_LOAD_DWORDX2_IMM_ci
11429     UINT64_C(18014398513575886848),	// S_LOAD_DWORDX2_IMM_gfx10
11430     UINT64_C(3225420032),	// S_LOAD_DWORDX2_IMM_si
11431     UINT64_C(3221618688),	// S_LOAD_DWORDX2_IMM_vi
11432     UINT64_C(4093902848),	// S_LOAD_DWORDX2_SGPR_gfx10
11433     UINT64_C(3225419776),	// S_LOAD_DWORDX2_SGPR_si
11434     UINT64_C(3221487616),	// S_LOAD_DWORDX2_SGPR_vi
11435     UINT64_C(3229614335),	// S_LOAD_DWORDX4_IMM_ci
11436     UINT64_C(18014398513576148992),	// S_LOAD_DWORDX4_IMM_gfx10
11437     UINT64_C(3229614336),	// S_LOAD_DWORDX4_IMM_si
11438     UINT64_C(3221880832),	// S_LOAD_DWORDX4_IMM_vi
11439     UINT64_C(4094164992),	// S_LOAD_DWORDX4_SGPR_gfx10
11440     UINT64_C(3229614080),	// S_LOAD_DWORDX4_SGPR_si
11441     UINT64_C(3221749760),	// S_LOAD_DWORDX4_SGPR_vi
11442     UINT64_C(3233808639),	// S_LOAD_DWORDX8_IMM_ci
11443     UINT64_C(18014398513576411136),	// S_LOAD_DWORDX8_IMM_gfx10
11444     UINT64_C(3233808640),	// S_LOAD_DWORDX8_IMM_si
11445     UINT64_C(3222142976),	// S_LOAD_DWORDX8_IMM_vi
11446     UINT64_C(4094427136),	// S_LOAD_DWORDX8_SGPR_gfx10
11447     UINT64_C(3233808384),	// S_LOAD_DWORDX8_SGPR_si
11448     UINT64_C(3222011904),	// S_LOAD_DWORDX8_SGPR_vi
11449     UINT64_C(3221225727),	// S_LOAD_DWORD_IMM_ci
11450     UINT64_C(18014398513575624704),	// S_LOAD_DWORD_IMM_gfx10
11451     UINT64_C(3221225728),	// S_LOAD_DWORD_IMM_si
11452     UINT64_C(3221356544),	// S_LOAD_DWORD_IMM_vi
11453     UINT64_C(4093640704),	// S_LOAD_DWORD_SGPR_gfx10
11454     UINT64_C(3221225472),	// S_LOAD_DWORD_SGPR_si
11455     UINT64_C(3221225472),	// S_LOAD_DWORD_SGPR_vi
11456     UINT64_C(2533359616),	// S_LSHL1_ADD_U32_gfx10
11457     UINT64_C(2533359616),	// S_LSHL1_ADD_U32_vi
11458     UINT64_C(2541748224),	// S_LSHL2_ADD_U32_gfx10
11459     UINT64_C(2541748224),	// S_LSHL2_ADD_U32_vi
11460     UINT64_C(2550136832),	// S_LSHL3_ADD_U32_gfx10
11461     UINT64_C(2550136832),	// S_LSHL3_ADD_U32_vi
11462     UINT64_C(2558525440),	// S_LSHL4_ADD_U32_gfx10
11463     UINT64_C(2558525440),	// S_LSHL4_ADD_U32_vi
11464     UINT64_C(2399141888),	// S_LSHL_B32_gfx10
11465     UINT64_C(2399141888),	// S_LSHL_B32_gfx6_gfx7
11466     UINT64_C(2382364672),	// S_LSHL_B32_vi
11467     UINT64_C(2407530496),	// S_LSHL_B64_gfx10
11468     UINT64_C(2407530496),	// S_LSHL_B64_gfx6_gfx7
11469     UINT64_C(2390753280),	// S_LSHL_B64_vi
11470     UINT64_C(2415919104),	// S_LSHR_B32_gfx10
11471     UINT64_C(2415919104),	// S_LSHR_B32_gfx6_gfx7
11472     UINT64_C(2399141888),	// S_LSHR_B32_vi
11473     UINT64_C(2424307712),	// S_LSHR_B64_gfx10
11474     UINT64_C(2424307712),	// S_LSHR_B64_gfx6_gfx7
11475     UINT64_C(2407530496),	// S_LSHR_B64_vi
11476     UINT64_C(2214592512),	// S_MAX_I32_gfx10
11477     UINT64_C(2214592512),	// S_MAX_I32_gfx6_gfx7
11478     UINT64_C(2214592512),	// S_MAX_I32_vi
11479     UINT64_C(2222981120),	// S_MAX_U32_gfx10
11480     UINT64_C(2222981120),	// S_MAX_U32_gfx6_gfx7
11481     UINT64_C(2222981120),	// S_MAX_U32_vi
11482     UINT64_C(4103340032),	// S_MEMREALTIME_gfx10
11483     UINT64_C(3230924800),	// S_MEMREALTIME_vi
11484     UINT64_C(4103077888),	// S_MEMTIME_gfx10
11485     UINT64_C(3347054592),	// S_MEMTIME_si
11486     UINT64_C(3230662656),	// S_MEMTIME_vi
11487     UINT64_C(2197815296),	// S_MIN_I32_gfx10
11488     UINT64_C(2197815296),	// S_MIN_I32_gfx6_gfx7
11489     UINT64_C(2197815296),	// S_MIN_I32_vi
11490     UINT64_C(2206203904),	// S_MIN_U32_gfx10
11491     UINT64_C(2206203904),	// S_MIN_U32_gfx6_gfx7
11492     UINT64_C(2206203904),	// S_MIN_U32_vi
11493     UINT64_C(2952790016),	// S_MOVK_I32_gfx10
11494     UINT64_C(2952790016),	// S_MOVK_I32_gfx6_gfx7
11495     UINT64_C(2952790016),	// S_MOVK_I32_vi
11496     UINT64_C(3196071936),	// S_MOVRELD_B32_gfx10
11497     UINT64_C(3196071936),	// S_MOVRELD_B32_gfx6_gfx7
11498     UINT64_C(3196070912),	// S_MOVRELD_B32_vi
11499     UINT64_C(3196072192),	// S_MOVRELD_B64_gfx10
11500     UINT64_C(3196072192),	// S_MOVRELD_B64_gfx6_gfx7
11501     UINT64_C(3196071168),	// S_MOVRELD_B64_vi
11502     UINT64_C(3196078336),	// S_MOVRELSD_2_B32_gfx10
11503     UINT64_C(3196071424),	// S_MOVRELS_B32_gfx10
11504     UINT64_C(3196071424),	// S_MOVRELS_B32_gfx6_gfx7
11505     UINT64_C(3196070400),	// S_MOVRELS_B32_vi
11506     UINT64_C(3196071680),	// S_MOVRELS_B64_gfx10
11507     UINT64_C(3196071680),	// S_MOVRELS_B64_gfx6_gfx7
11508     UINT64_C(3196070656),	// S_MOVRELS_B64_vi
11509     UINT64_C(3196060416),	// S_MOV_B32_gfx10
11510     UINT64_C(3196060416),	// S_MOV_B32_gfx6_gfx7
11511     UINT64_C(3196059648),	// S_MOV_B32_vi
11512     UINT64_C(3196060672),	// S_MOV_B64_gfx10
11513     UINT64_C(3196060672),	// S_MOV_B64_gfx6_gfx7
11514     UINT64_C(3196059904),	// S_MOV_B64_vi
11515     UINT64_C(3196073216),	// S_MOV_FED_B32_gfx10
11516     UINT64_C(3196073216),	// S_MOV_FED_B32_gfx6_gfx7
11517     UINT64_C(3196072192),	// S_MOV_FED_B32_vi
11518     UINT64_C(3196072704),	// S_MOV_REGRD_B32_gfx6_gfx7
11519     UINT64_C(3196071680),	// S_MOV_REGRD_B32_vi
11520     UINT64_C(3087007744),	// S_MULK_I32_gfx10
11521     UINT64_C(3087007744),	// S_MULK_I32_gfx6_gfx7
11522     UINT64_C(3078619136),	// S_MULK_I32_vi
11523     UINT64_C(2600468480),	// S_MUL_HI_I32_gfx10
11524     UINT64_C(2524971008),	// S_MUL_HI_I32_vi
11525     UINT64_C(2592079872),	// S_MUL_HI_U32_gfx10
11526     UINT64_C(2516582400),	// S_MUL_HI_U32_vi
11527     UINT64_C(2466250752),	// S_MUL_I32_gfx10
11528     UINT64_C(2466250752),	// S_MUL_I32_gfx6_gfx7
11529     UINT64_C(2449473536),	// S_MUL_I32_vi
11530     UINT64_C(2348810240),	// S_NAND_B32_gfx10
11531     UINT64_C(2348810240),	// S_NAND_B32_gfx6_gfx7
11532     UINT64_C(2332033024),	// S_NAND_B32_vi
11533     UINT64_C(2357198848),	// S_NAND_B64_gfx10
11534     UINT64_C(2357198848),	// S_NAND_B64_gfx6_gfx7
11535     UINT64_C(2340421632),	// S_NAND_B64_vi
11536     UINT64_C(3196076288),	// S_NAND_SAVEEXEC_B32_gfx10
11537     UINT64_C(3196070144),	// S_NAND_SAVEEXEC_B64_gfx10
11538     UINT64_C(3196070144),	// S_NAND_SAVEEXEC_B64_gfx6_gfx7
11539     UINT64_C(3196069120),	// S_NAND_SAVEEXEC_B64_vi
11540     UINT64_C(3212836864),	// S_NOP
11541     UINT64_C(2365587456),	// S_NOR_B32_gfx10
11542     UINT64_C(2365587456),	// S_NOR_B32_gfx6_gfx7
11543     UINT64_C(2348810240),	// S_NOR_B32_vi
11544     UINT64_C(2373976064),	// S_NOR_B64_gfx10
11545     UINT64_C(2373976064),	// S_NOR_B64_gfx6_gfx7
11546     UINT64_C(2357198848),	// S_NOR_B64_vi
11547     UINT64_C(3196076544),	// S_NOR_SAVEEXEC_B32_gfx10
11548     UINT64_C(3196070400),	// S_NOR_SAVEEXEC_B64_gfx10
11549     UINT64_C(3196070400),	// S_NOR_SAVEEXEC_B64_gfx6_gfx7
11550     UINT64_C(3196069376),	// S_NOR_SAVEEXEC_B64_vi
11551     UINT64_C(3196061440),	// S_NOT_B32_gfx10
11552     UINT64_C(3196061440),	// S_NOT_B32_gfx6_gfx7
11553     UINT64_C(3196060672),	// S_NOT_B32_vi
11554     UINT64_C(3196061696),	// S_NOT_B64_gfx10
11555     UINT64_C(3196061696),	// S_NOT_B64_gfx6_gfx7
11556     UINT64_C(3196060928),	// S_NOT_B64_vi
11557     UINT64_C(3196077312),	// S_ORN1_SAVEEXEC_B32_gfx10
11558     UINT64_C(3196073984),	// S_ORN1_SAVEEXEC_B64_gfx10
11559     UINT64_C(3196072960),	// S_ORN1_SAVEEXEC_B64_vi
11560     UINT64_C(2332033024),	// S_ORN2_B32_gfx10
11561     UINT64_C(2332033024),	// S_ORN2_B32_gfx6_gfx7
11562     UINT64_C(2315255808),	// S_ORN2_B32_vi
11563     UINT64_C(2340421632),	// S_ORN2_B64_gfx10
11564     UINT64_C(2340421632),	// S_ORN2_B64_gfx6_gfx7
11565     UINT64_C(2323644416),	// S_ORN2_B64_vi
11566     UINT64_C(3196076032),	// S_ORN2_SAVEEXEC_B32_gfx10
11567     UINT64_C(3196069888),	// S_ORN2_SAVEEXEC_B64_gfx10
11568     UINT64_C(3196069888),	// S_ORN2_SAVEEXEC_B64_gfx6_gfx7
11569     UINT64_C(3196068864),	// S_ORN2_SAVEEXEC_B64_vi
11570     UINT64_C(2281701376),	// S_OR_B32_gfx10
11571     UINT64_C(2281701376),	// S_OR_B32_gfx6_gfx7
11572     UINT64_C(2264924160),	// S_OR_B32_vi
11573     UINT64_C(2290089984),	// S_OR_B64_gfx10
11574     UINT64_C(2290089984),	// S_OR_B64_gfx6_gfx7
11575     UINT64_C(2273312768),	// S_OR_B64_vi
11576     UINT64_C(3196075264),	// S_OR_SAVEEXEC_B32_gfx10
11577     UINT64_C(3196069120),	// S_OR_SAVEEXEC_B64_gfx10
11578     UINT64_C(3196069120),	// S_OR_SAVEEXEC_B64_gfx6_gfx7
11579     UINT64_C(3196068096),	// S_OR_SAVEEXEC_B64_vi
11580     UINT64_C(2583691264),	// S_PACK_HH_B32_B16_gfx10
11581     UINT64_C(2583691264),	// S_PACK_HH_B32_B16_vi
11582     UINT64_C(2575302656),	// S_PACK_LH_B32_B16_gfx10
11583     UINT64_C(2575302656),	// S_PACK_LH_B32_B16_vi
11584     UINT64_C(2566914048),	// S_PACK_LL_B32_B16_gfx10
11585     UINT64_C(2566914048),	// S_PACK_LL_B32_B16_vi
11586     UINT64_C(3196070912),	// S_QUADMASK_B32_gfx10
11587     UINT64_C(3196070912),	// S_QUADMASK_B32_gfx6_gfx7
11588     UINT64_C(3196069888),	// S_QUADMASK_B32_vi
11589     UINT64_C(3196071168),	// S_QUADMASK_B64_gfx10
11590     UINT64_C(3196071168),	// S_QUADMASK_B64_gfx6_gfx7
11591     UINT64_C(3196070144),	// S_QUADMASK_B64_vi
11592     UINT64_C(3196068352),	// S_RFE_B64_gfx10
11593     UINT64_C(3196068352),	// S_RFE_B64_gfx6_gfx7
11594     UINT64_C(3196067584),	// S_RFE_B64_vi
11595     UINT64_C(2508193792),	// S_RFE_RESTORE_B64_vi
11596     UINT64_C(3215196160),	// S_ROUND_MODE
11597     UINT64_C(18014398513577197568),	// S_SCRATCH_LOAD_DWORDX2_IMM_gfx10
11598     UINT64_C(3222929408),	// S_SCRATCH_LOAD_DWORDX2_IMM_vi
11599     UINT64_C(4095213568),	// S_SCRATCH_LOAD_DWORDX2_SGPR_gfx10
11600     UINT64_C(3222798336),	// S_SCRATCH_LOAD_DWORDX2_SGPR_vi
11601     UINT64_C(18014398513577459712),	// S_SCRATCH_LOAD_DWORDX4_IMM_gfx10
11602     UINT64_C(3223191552),	// S_SCRATCH_LOAD_DWORDX4_IMM_vi
11603     UINT64_C(4095475712),	// S_SCRATCH_LOAD_DWORDX4_SGPR_gfx10
11604     UINT64_C(3223060480),	// S_SCRATCH_LOAD_DWORDX4_SGPR_vi
11605     UINT64_C(18014398513576935424),	// S_SCRATCH_LOAD_DWORD_IMM_gfx10
11606     UINT64_C(3222667264),	// S_SCRATCH_LOAD_DWORD_IMM_vi
11607     UINT64_C(4094951424),	// S_SCRATCH_LOAD_DWORD_SGPR_gfx10
11608     UINT64_C(3222536192),	// S_SCRATCH_LOAD_DWORD_SGPR_vi
11609     UINT64_C(18014398513581391872),	// S_SCRATCH_STORE_DWORDX2_IMM_gfx10
11610     UINT64_C(3227123712),	// S_SCRATCH_STORE_DWORDX2_IMM_vi
11611     UINT64_C(4099407872),	// S_SCRATCH_STORE_DWORDX2_SGPR_gfx10
11612     UINT64_C(3226992640),	// S_SCRATCH_STORE_DWORDX2_SGPR_vi
11613     UINT64_C(18014398513581654016),	// S_SCRATCH_STORE_DWORDX4_IMM_gfx10
11614     UINT64_C(3227385856),	// S_SCRATCH_STORE_DWORDX4_IMM_vi
11615     UINT64_C(4099670016),	// S_SCRATCH_STORE_DWORDX4_SGPR_gfx10
11616     UINT64_C(3227254784),	// S_SCRATCH_STORE_DWORDX4_SGPR_vi
11617     UINT64_C(18014398513581129728),	// S_SCRATCH_STORE_DWORD_IMM_gfx10
11618     UINT64_C(3226861568),	// S_SCRATCH_STORE_DWORD_IMM_vi
11619     UINT64_C(4099145728),	// S_SCRATCH_STORE_DWORD_SGPR_gfx10
11620     UINT64_C(3226730496),	// S_SCRATCH_STORE_DWORD_SGPR_vi
11621     UINT64_C(3213885440),	// S_SENDMSG
11622     UINT64_C(3213950976),	// S_SENDMSGHALT
11623     UINT64_C(3213688832),	// S_SETHALT
11624     UINT64_C(3213557760),	// S_SETKILL
11625     UINT64_C(3196067840),	// S_SETPC_B64_gfx10
11626     UINT64_C(3196067840),	// S_SETPC_B64_gfx6_gfx7
11627     UINT64_C(3196067072),	// S_SETPC_B64_vi
11628     UINT64_C(3213819904),	// S_SETPRIO
11629     UINT64_C(3112173568),	// S_SETREG_B32_gfx10
11630     UINT64_C(3112173568),	// S_SETREG_B32_gfx6_gfx7
11631     UINT64_C(3103784960),	// S_SETREG_B32_vi
11632     UINT64_C(3128950784),	// S_SETREG_IMM32_B32_gfx10
11633     UINT64_C(3128950784),	// S_SETREG_IMM32_B32_gfx6_gfx7
11634     UINT64_C(3120562176),	// S_SETREG_IMM32_B32_vi
11635     UINT64_C(3205496832),	// S_SETVSKIP
11636     UINT64_C(3196072448),	// S_SET_GPR_IDX_IDX_vi
11637     UINT64_C(3214737408),	// S_SET_GPR_IDX_MODE
11638     UINT64_C(3214671872),	// S_SET_GPR_IDX_OFF
11639     UINT64_C(3205562368),	// S_SET_GPR_IDX_ON
11640     UINT64_C(3196066304),	// S_SEXT_I32_I16_gfx10
11641     UINT64_C(3196066304),	// S_SEXT_I32_I16_gfx6_gfx7
11642     UINT64_C(3196065536),	// S_SEXT_I32_I16_vi
11643     UINT64_C(3196066048),	// S_SEXT_I32_I8_gfx10
11644     UINT64_C(3196066048),	// S_SEXT_I32_I8_gfx6_gfx7
11645     UINT64_C(3196065280),	// S_SEXT_I32_I8_vi
11646     UINT64_C(3213754368),	// S_SLEEP
11647     UINT64_C(18014398513580081152),	// S_STORE_DWORDX2_IMM_gfx10
11648     UINT64_C(3225812992),	// S_STORE_DWORDX2_IMM_vi
11649     UINT64_C(4098097152),	// S_STORE_DWORDX2_SGPR_gfx10
11650     UINT64_C(3225681920),	// S_STORE_DWORDX2_SGPR_vi
11651     UINT64_C(18014398513580343296),	// S_STORE_DWORDX4_IMM_gfx10
11652     UINT64_C(3226075136),	// S_STORE_DWORDX4_IMM_vi
11653     UINT64_C(4098359296),	// S_STORE_DWORDX4_SGPR_gfx10
11654     UINT64_C(3225944064),	// S_STORE_DWORDX4_SGPR_vi
11655     UINT64_C(18014398513579819008),	// S_STORE_DWORD_IMM_gfx10
11656     UINT64_C(3225550848),	// S_STORE_DWORD_IMM_vi
11657     UINT64_C(4097835008),	// S_STORE_DWORD_SGPR_gfx10
11658     UINT64_C(3225419776),	// S_STORE_DWORD_SGPR_vi
11659     UINT64_C(2189426688),	// S_SUBB_U32_gfx10
11660     UINT64_C(2189426688),	// S_SUBB_U32_gfx6_gfx7
11661     UINT64_C(2189426688),	// S_SUBB_U32_vi
11662     UINT64_C(3179282432),	// S_SUBVECTOR_LOOP_BEGIN_gfx10
11663     UINT64_C(3187671040),	// S_SUBVECTOR_LOOP_END_gfx10
11664     UINT64_C(2172649472),	// S_SUB_I32_gfx10
11665     UINT64_C(2172649472),	// S_SUB_I32_gfx6_gfx7
11666     UINT64_C(2172649472),	// S_SUB_I32_vi
11667     UINT64_C(2155872256),	// S_SUB_U32_gfx10
11668     UINT64_C(2155872256),	// S_SUB_U32_gfx6_gfx7
11669     UINT64_C(2155872256),	// S_SUB_U32_vi
11670     UINT64_C(3196068096),	// S_SWAPPC_B64_gfx10
11671     UINT64_C(3196068096),	// S_SWAPPC_B64_gfx6_gfx7
11672     UINT64_C(3196067328),	// S_SWAPPC_B64_vi
11673     UINT64_C(3214016512),	// S_TRAP
11674     UINT64_C(3214278656),	// S_TTRACEDATA
11675     UINT64_C(3215458304),	// S_TTRACEDATA_IMM
11676     UINT64_C(2961178624),	// S_VERSION_gfx10
11677     UINT64_C(3213623296),	// S_WAITCNT
11678     UINT64_C(3215130624),	// S_WAITCNT_DEPCTR
11679     UINT64_C(3162505216),	// S_WAITCNT_EXPCNT_gfx10
11680     UINT64_C(3215065088),	// S_WAITCNT_IDLE
11681     UINT64_C(3170893824),	// S_WAITCNT_LGKMCNT_gfx10
11682     UINT64_C(3154116608),	// S_WAITCNT_VMCNT_gfx10
11683     UINT64_C(3145728000),	// S_WAITCNT_VSCNT_gfx10
11684     UINT64_C(3213033472),	// S_WAKEUP
11685     UINT64_C(3196061952),	// S_WQM_B32_gfx10
11686     UINT64_C(3196061952),	// S_WQM_B32_gfx6_gfx7
11687     UINT64_C(3196061184),	// S_WQM_B32_vi
11688     UINT64_C(3196062208),	// S_WQM_B64_gfx10
11689     UINT64_C(3196062208),	// S_WQM_B64_gfx6_gfx7
11690     UINT64_C(3196061440),	// S_WQM_B64_vi
11691     UINT64_C(2382364672),	// S_XNOR_B32_gfx10
11692     UINT64_C(2382364672),	// S_XNOR_B32_gfx6_gfx7
11693     UINT64_C(2365587456),	// S_XNOR_B32_vi
11694     UINT64_C(2390753280),	// S_XNOR_B64_gfx10
11695     UINT64_C(2390753280),	// S_XNOR_B64_gfx6_gfx7
11696     UINT64_C(2373976064),	// S_XNOR_B64_vi
11697     UINT64_C(3196076800),	// S_XNOR_SAVEEXEC_B32_gfx10
11698     UINT64_C(3196070656),	// S_XNOR_SAVEEXEC_B64_gfx10
11699     UINT64_C(3196070656),	// S_XNOR_SAVEEXEC_B64_gfx6_gfx7
11700     UINT64_C(3196069632),	// S_XNOR_SAVEEXEC_B64_vi
11701     UINT64_C(2298478592),	// S_XOR_B32_gfx10
11702     UINT64_C(2298478592),	// S_XOR_B32_gfx6_gfx7
11703     UINT64_C(2281701376),	// S_XOR_B32_vi
11704     UINT64_C(2306867200),	// S_XOR_B64_gfx10
11705     UINT64_C(2306867200),	// S_XOR_B64_gfx6_gfx7
11706     UINT64_C(2290089984),	// S_XOR_B64_vi
11707     UINT64_C(3196075520),	// S_XOR_SAVEEXEC_B32_gfx10
11708     UINT64_C(3196069376),	// S_XOR_SAVEEXEC_B64_gfx10
11709     UINT64_C(3196069376),	// S_XOR_SAVEEXEC_B64_gfx6_gfx7
11710     UINT64_C(3196068352),	// S_XOR_SAVEEXEC_B64_vi
11711     UINT64_C(9007203147264000),	// TBUFFER_LOAD_FORMAT_D16_XYZW_BOTHEN_gfx10
11712     UINT64_C(3892686848),	// TBUFFER_LOAD_FORMAT_D16_XYZW_BOTHEN_vi
11713     UINT64_C(9007203147259904),	// TBUFFER_LOAD_FORMAT_D16_XYZW_IDXEN_gfx10
11714     UINT64_C(3892682752),	// TBUFFER_LOAD_FORMAT_D16_XYZW_IDXEN_vi
11715     UINT64_C(9007203147255808),	// TBUFFER_LOAD_FORMAT_D16_XYZW_OFFEN_gfx10
11716     UINT64_C(3892678656),	// TBUFFER_LOAD_FORMAT_D16_XYZW_OFFEN_vi
11717     UINT64_C(9007203147251712),	// TBUFFER_LOAD_FORMAT_D16_XYZW_OFFSET_gfx10
11718     UINT64_C(3892674560),	// TBUFFER_LOAD_FORMAT_D16_XYZW_OFFSET_vi
11719     UINT64_C(3892686848),	// TBUFFER_LOAD_FORMAT_D16_XYZW_gfx80_BOTHEN_gfx80
11720     UINT64_C(3892682752),	// TBUFFER_LOAD_FORMAT_D16_XYZW_gfx80_IDXEN_gfx80
11721     UINT64_C(3892678656),	// TBUFFER_LOAD_FORMAT_D16_XYZW_gfx80_OFFEN_gfx80
11722     UINT64_C(3892674560),	// TBUFFER_LOAD_FORMAT_D16_XYZW_gfx80_OFFSET_gfx80
11723     UINT64_C(9007203147198464),	// TBUFFER_LOAD_FORMAT_D16_XYZ_BOTHEN_gfx10
11724     UINT64_C(3892654080),	// TBUFFER_LOAD_FORMAT_D16_XYZ_BOTHEN_vi
11725     UINT64_C(9007203147194368),	// TBUFFER_LOAD_FORMAT_D16_XYZ_IDXEN_gfx10
11726     UINT64_C(3892649984),	// TBUFFER_LOAD_FORMAT_D16_XYZ_IDXEN_vi
11727     UINT64_C(9007203147190272),	// TBUFFER_LOAD_FORMAT_D16_XYZ_OFFEN_gfx10
11728     UINT64_C(3892645888),	// TBUFFER_LOAD_FORMAT_D16_XYZ_OFFEN_vi
11729     UINT64_C(9007203147186176),	// TBUFFER_LOAD_FORMAT_D16_XYZ_OFFSET_gfx10
11730     UINT64_C(3892641792),	// TBUFFER_LOAD_FORMAT_D16_XYZ_OFFSET_vi
11731     UINT64_C(3892654080),	// TBUFFER_LOAD_FORMAT_D16_XYZ_gfx80_BOTHEN_gfx80
11732     UINT64_C(3892649984),	// TBUFFER_LOAD_FORMAT_D16_XYZ_gfx80_IDXEN_gfx80
11733     UINT64_C(3892645888),	// TBUFFER_LOAD_FORMAT_D16_XYZ_gfx80_OFFEN_gfx80
11734     UINT64_C(3892641792),	// TBUFFER_LOAD_FORMAT_D16_XYZ_gfx80_OFFSET_gfx80
11735     UINT64_C(9007203147132928),	// TBUFFER_LOAD_FORMAT_D16_XY_BOTHEN_gfx10
11736     UINT64_C(3892621312),	// TBUFFER_LOAD_FORMAT_D16_XY_BOTHEN_vi
11737     UINT64_C(9007203147128832),	// TBUFFER_LOAD_FORMAT_D16_XY_IDXEN_gfx10
11738     UINT64_C(3892617216),	// TBUFFER_LOAD_FORMAT_D16_XY_IDXEN_vi
11739     UINT64_C(9007203147124736),	// TBUFFER_LOAD_FORMAT_D16_XY_OFFEN_gfx10
11740     UINT64_C(3892613120),	// TBUFFER_LOAD_FORMAT_D16_XY_OFFEN_vi
11741     UINT64_C(9007203147120640),	// TBUFFER_LOAD_FORMAT_D16_XY_OFFSET_gfx10
11742     UINT64_C(3892609024),	// TBUFFER_LOAD_FORMAT_D16_XY_OFFSET_vi
11743     UINT64_C(3892621312),	// TBUFFER_LOAD_FORMAT_D16_XY_gfx80_BOTHEN_gfx80
11744     UINT64_C(3892617216),	// TBUFFER_LOAD_FORMAT_D16_XY_gfx80_IDXEN_gfx80
11745     UINT64_C(3892613120),	// TBUFFER_LOAD_FORMAT_D16_XY_gfx80_OFFEN_gfx80
11746     UINT64_C(3892609024),	// TBUFFER_LOAD_FORMAT_D16_XY_gfx80_OFFSET_gfx80
11747     UINT64_C(9007203147067392),	// TBUFFER_LOAD_FORMAT_D16_X_BOTHEN_gfx10
11748     UINT64_C(3892588544),	// TBUFFER_LOAD_FORMAT_D16_X_BOTHEN_vi
11749     UINT64_C(9007203147063296),	// TBUFFER_LOAD_FORMAT_D16_X_IDXEN_gfx10
11750     UINT64_C(3892584448),	// TBUFFER_LOAD_FORMAT_D16_X_IDXEN_vi
11751     UINT64_C(9007203147059200),	// TBUFFER_LOAD_FORMAT_D16_X_OFFEN_gfx10
11752     UINT64_C(3892580352),	// TBUFFER_LOAD_FORMAT_D16_X_OFFEN_vi
11753     UINT64_C(9007203147055104),	// TBUFFER_LOAD_FORMAT_D16_X_OFFSET_gfx10
11754     UINT64_C(3892576256),	// TBUFFER_LOAD_FORMAT_D16_X_OFFSET_vi
11755     UINT64_C(3892588544),	// TBUFFER_LOAD_FORMAT_D16_X_gfx80_BOTHEN_gfx80
11756     UINT64_C(3892584448),	// TBUFFER_LOAD_FORMAT_D16_X_gfx80_IDXEN_gfx80
11757     UINT64_C(3892580352),	// TBUFFER_LOAD_FORMAT_D16_X_gfx80_OFFEN_gfx80
11758     UINT64_C(3892576256),	// TBUFFER_LOAD_FORMAT_D16_X_gfx80_OFFSET_gfx80
11759     UINT64_C(3892543488),	// TBUFFER_LOAD_FORMAT_XYZW_ADDR64_gfx6_gfx7
11760     UINT64_C(3892523008),	// TBUFFER_LOAD_FORMAT_XYZW_BOTHEN_gfx10
11761     UINT64_C(3892523008),	// TBUFFER_LOAD_FORMAT_XYZW_BOTHEN_gfx6_gfx7
11762     UINT64_C(3892424704),	// TBUFFER_LOAD_FORMAT_XYZW_BOTHEN_vi
11763     UINT64_C(3892518912),	// TBUFFER_LOAD_FORMAT_XYZW_IDXEN_gfx10
11764     UINT64_C(3892518912),	// TBUFFER_LOAD_FORMAT_XYZW_IDXEN_gfx6_gfx7
11765     UINT64_C(3892420608),	// TBUFFER_LOAD_FORMAT_XYZW_IDXEN_vi
11766     UINT64_C(3892514816),	// TBUFFER_LOAD_FORMAT_XYZW_OFFEN_gfx10
11767     UINT64_C(3892514816),	// TBUFFER_LOAD_FORMAT_XYZW_OFFEN_gfx6_gfx7
11768     UINT64_C(3892416512),	// TBUFFER_LOAD_FORMAT_XYZW_OFFEN_vi
11769     UINT64_C(3892510720),	// TBUFFER_LOAD_FORMAT_XYZW_OFFSET_gfx10
11770     UINT64_C(3892510720),	// TBUFFER_LOAD_FORMAT_XYZW_OFFSET_gfx6_gfx7
11771     UINT64_C(3892412416),	// TBUFFER_LOAD_FORMAT_XYZW_OFFSET_vi
11772     UINT64_C(3892477952),	// TBUFFER_LOAD_FORMAT_XYZ_ADDR64_gfx6_gfx7
11773     UINT64_C(3892457472),	// TBUFFER_LOAD_FORMAT_XYZ_BOTHEN_gfx10
11774     UINT64_C(3892457472),	// TBUFFER_LOAD_FORMAT_XYZ_BOTHEN_gfx6_gfx7
11775     UINT64_C(3892391936),	// TBUFFER_LOAD_FORMAT_XYZ_BOTHEN_vi
11776     UINT64_C(3892453376),	// TBUFFER_LOAD_FORMAT_XYZ_IDXEN_gfx10
11777     UINT64_C(3892453376),	// TBUFFER_LOAD_FORMAT_XYZ_IDXEN_gfx6_gfx7
11778     UINT64_C(3892387840),	// TBUFFER_LOAD_FORMAT_XYZ_IDXEN_vi
11779     UINT64_C(3892449280),	// TBUFFER_LOAD_FORMAT_XYZ_OFFEN_gfx10
11780     UINT64_C(3892449280),	// TBUFFER_LOAD_FORMAT_XYZ_OFFEN_gfx6_gfx7
11781     UINT64_C(3892383744),	// TBUFFER_LOAD_FORMAT_XYZ_OFFEN_vi
11782     UINT64_C(3892445184),	// TBUFFER_LOAD_FORMAT_XYZ_OFFSET_gfx10
11783     UINT64_C(3892445184),	// TBUFFER_LOAD_FORMAT_XYZ_OFFSET_gfx6_gfx7
11784     UINT64_C(3892379648),	// TBUFFER_LOAD_FORMAT_XYZ_OFFSET_vi
11785     UINT64_C(3892412416),	// TBUFFER_LOAD_FORMAT_XY_ADDR64_gfx6_gfx7
11786     UINT64_C(3892391936),	// TBUFFER_LOAD_FORMAT_XY_BOTHEN_gfx10
11787     UINT64_C(3892391936),	// TBUFFER_LOAD_FORMAT_XY_BOTHEN_gfx6_gfx7
11788     UINT64_C(3892359168),	// TBUFFER_LOAD_FORMAT_XY_BOTHEN_vi
11789     UINT64_C(3892387840),	// TBUFFER_LOAD_FORMAT_XY_IDXEN_gfx10
11790     UINT64_C(3892387840),	// TBUFFER_LOAD_FORMAT_XY_IDXEN_gfx6_gfx7
11791     UINT64_C(3892355072),	// TBUFFER_LOAD_FORMAT_XY_IDXEN_vi
11792     UINT64_C(3892383744),	// TBUFFER_LOAD_FORMAT_XY_OFFEN_gfx10
11793     UINT64_C(3892383744),	// TBUFFER_LOAD_FORMAT_XY_OFFEN_gfx6_gfx7
11794     UINT64_C(3892350976),	// TBUFFER_LOAD_FORMAT_XY_OFFEN_vi
11795     UINT64_C(3892379648),	// TBUFFER_LOAD_FORMAT_XY_OFFSET_gfx10
11796     UINT64_C(3892379648),	// TBUFFER_LOAD_FORMAT_XY_OFFSET_gfx6_gfx7
11797     UINT64_C(3892346880),	// TBUFFER_LOAD_FORMAT_XY_OFFSET_vi
11798     UINT64_C(3892346880),	// TBUFFER_LOAD_FORMAT_X_ADDR64_gfx6_gfx7
11799     UINT64_C(3892326400),	// TBUFFER_LOAD_FORMAT_X_BOTHEN_gfx10
11800     UINT64_C(3892326400),	// TBUFFER_LOAD_FORMAT_X_BOTHEN_gfx6_gfx7
11801     UINT64_C(3892326400),	// TBUFFER_LOAD_FORMAT_X_BOTHEN_vi
11802     UINT64_C(3892322304),	// TBUFFER_LOAD_FORMAT_X_IDXEN_gfx10
11803     UINT64_C(3892322304),	// TBUFFER_LOAD_FORMAT_X_IDXEN_gfx6_gfx7
11804     UINT64_C(3892322304),	// TBUFFER_LOAD_FORMAT_X_IDXEN_vi
11805     UINT64_C(3892318208),	// TBUFFER_LOAD_FORMAT_X_OFFEN_gfx10
11806     UINT64_C(3892318208),	// TBUFFER_LOAD_FORMAT_X_OFFEN_gfx6_gfx7
11807     UINT64_C(3892318208),	// TBUFFER_LOAD_FORMAT_X_OFFEN_vi
11808     UINT64_C(3892314112),	// TBUFFER_LOAD_FORMAT_X_OFFSET_gfx10
11809     UINT64_C(3892314112),	// TBUFFER_LOAD_FORMAT_X_OFFSET_gfx6_gfx7
11810     UINT64_C(3892314112),	// TBUFFER_LOAD_FORMAT_X_OFFSET_vi
11811     UINT64_C(9007203147526144),	// TBUFFER_STORE_FORMAT_D16_XYZW_BOTHEN_gfx10
11812     UINT64_C(3892817920),	// TBUFFER_STORE_FORMAT_D16_XYZW_BOTHEN_vi
11813     UINT64_C(9007203147522048),	// TBUFFER_STORE_FORMAT_D16_XYZW_IDXEN_gfx10
11814     UINT64_C(3892813824),	// TBUFFER_STORE_FORMAT_D16_XYZW_IDXEN_vi
11815     UINT64_C(9007203147517952),	// TBUFFER_STORE_FORMAT_D16_XYZW_OFFEN_gfx10
11816     UINT64_C(3892809728),	// TBUFFER_STORE_FORMAT_D16_XYZW_OFFEN_vi
11817     UINT64_C(9007203147513856),	// TBUFFER_STORE_FORMAT_D16_XYZW_OFFSET_gfx10
11818     UINT64_C(3892805632),	// TBUFFER_STORE_FORMAT_D16_XYZW_OFFSET_vi
11819     UINT64_C(3892817920),	// TBUFFER_STORE_FORMAT_D16_XYZW_gfx80_BOTHEN_gfx80
11820     UINT64_C(3892813824),	// TBUFFER_STORE_FORMAT_D16_XYZW_gfx80_IDXEN_gfx80
11821     UINT64_C(3892809728),	// TBUFFER_STORE_FORMAT_D16_XYZW_gfx80_OFFEN_gfx80
11822     UINT64_C(3892805632),	// TBUFFER_STORE_FORMAT_D16_XYZW_gfx80_OFFSET_gfx80
11823     UINT64_C(9007203147460608),	// TBUFFER_STORE_FORMAT_D16_XYZ_BOTHEN_gfx10
11824     UINT64_C(3892785152),	// TBUFFER_STORE_FORMAT_D16_XYZ_BOTHEN_vi
11825     UINT64_C(9007203147456512),	// TBUFFER_STORE_FORMAT_D16_XYZ_IDXEN_gfx10
11826     UINT64_C(3892781056),	// TBUFFER_STORE_FORMAT_D16_XYZ_IDXEN_vi
11827     UINT64_C(9007203147452416),	// TBUFFER_STORE_FORMAT_D16_XYZ_OFFEN_gfx10
11828     UINT64_C(3892776960),	// TBUFFER_STORE_FORMAT_D16_XYZ_OFFEN_vi
11829     UINT64_C(9007203147448320),	// TBUFFER_STORE_FORMAT_D16_XYZ_OFFSET_gfx10
11830     UINT64_C(3892772864),	// TBUFFER_STORE_FORMAT_D16_XYZ_OFFSET_vi
11831     UINT64_C(3892785152),	// TBUFFER_STORE_FORMAT_D16_XYZ_gfx80_BOTHEN_gfx80
11832     UINT64_C(3892781056),	// TBUFFER_STORE_FORMAT_D16_XYZ_gfx80_IDXEN_gfx80
11833     UINT64_C(3892776960),	// TBUFFER_STORE_FORMAT_D16_XYZ_gfx80_OFFEN_gfx80
11834     UINT64_C(3892772864),	// TBUFFER_STORE_FORMAT_D16_XYZ_gfx80_OFFSET_gfx80
11835     UINT64_C(9007203147395072),	// TBUFFER_STORE_FORMAT_D16_XY_BOTHEN_gfx10
11836     UINT64_C(3892752384),	// TBUFFER_STORE_FORMAT_D16_XY_BOTHEN_vi
11837     UINT64_C(9007203147390976),	// TBUFFER_STORE_FORMAT_D16_XY_IDXEN_gfx10
11838     UINT64_C(3892748288),	// TBUFFER_STORE_FORMAT_D16_XY_IDXEN_vi
11839     UINT64_C(9007203147386880),	// TBUFFER_STORE_FORMAT_D16_XY_OFFEN_gfx10
11840     UINT64_C(3892744192),	// TBUFFER_STORE_FORMAT_D16_XY_OFFEN_vi
11841     UINT64_C(9007203147382784),	// TBUFFER_STORE_FORMAT_D16_XY_OFFSET_gfx10
11842     UINT64_C(3892740096),	// TBUFFER_STORE_FORMAT_D16_XY_OFFSET_vi
11843     UINT64_C(3892752384),	// TBUFFER_STORE_FORMAT_D16_XY_gfx80_BOTHEN_gfx80
11844     UINT64_C(3892748288),	// TBUFFER_STORE_FORMAT_D16_XY_gfx80_IDXEN_gfx80
11845     UINT64_C(3892744192),	// TBUFFER_STORE_FORMAT_D16_XY_gfx80_OFFEN_gfx80
11846     UINT64_C(3892740096),	// TBUFFER_STORE_FORMAT_D16_XY_gfx80_OFFSET_gfx80
11847     UINT64_C(9007203147329536),	// TBUFFER_STORE_FORMAT_D16_X_BOTHEN_gfx10
11848     UINT64_C(3892719616),	// TBUFFER_STORE_FORMAT_D16_X_BOTHEN_vi
11849     UINT64_C(9007203147325440),	// TBUFFER_STORE_FORMAT_D16_X_IDXEN_gfx10
11850     UINT64_C(3892715520),	// TBUFFER_STORE_FORMAT_D16_X_IDXEN_vi
11851     UINT64_C(9007203147321344),	// TBUFFER_STORE_FORMAT_D16_X_OFFEN_gfx10
11852     UINT64_C(3892711424),	// TBUFFER_STORE_FORMAT_D16_X_OFFEN_vi
11853     UINT64_C(9007203147317248),	// TBUFFER_STORE_FORMAT_D16_X_OFFSET_gfx10
11854     UINT64_C(3892707328),	// TBUFFER_STORE_FORMAT_D16_X_OFFSET_vi
11855     UINT64_C(3892719616),	// TBUFFER_STORE_FORMAT_D16_X_gfx80_BOTHEN_gfx80
11856     UINT64_C(3892715520),	// TBUFFER_STORE_FORMAT_D16_X_gfx80_IDXEN_gfx80
11857     UINT64_C(3892711424),	// TBUFFER_STORE_FORMAT_D16_X_gfx80_OFFEN_gfx80
11858     UINT64_C(3892707328),	// TBUFFER_STORE_FORMAT_D16_X_gfx80_OFFSET_gfx80
11859     UINT64_C(3892805632),	// TBUFFER_STORE_FORMAT_XYZW_ADDR64_gfx6_gfx7
11860     UINT64_C(3892785152),	// TBUFFER_STORE_FORMAT_XYZW_BOTHEN_gfx10
11861     UINT64_C(3892785152),	// TBUFFER_STORE_FORMAT_XYZW_BOTHEN_gfx6_gfx7
11862     UINT64_C(3892555776),	// TBUFFER_STORE_FORMAT_XYZW_BOTHEN_vi
11863     UINT64_C(3892781056),	// TBUFFER_STORE_FORMAT_XYZW_IDXEN_gfx10
11864     UINT64_C(3892781056),	// TBUFFER_STORE_FORMAT_XYZW_IDXEN_gfx6_gfx7
11865     UINT64_C(3892551680),	// TBUFFER_STORE_FORMAT_XYZW_IDXEN_vi
11866     UINT64_C(3892776960),	// TBUFFER_STORE_FORMAT_XYZW_OFFEN_gfx10
11867     UINT64_C(3892776960),	// TBUFFER_STORE_FORMAT_XYZW_OFFEN_gfx6_gfx7
11868     UINT64_C(3892547584),	// TBUFFER_STORE_FORMAT_XYZW_OFFEN_vi
11869     UINT64_C(3892772864),	// TBUFFER_STORE_FORMAT_XYZW_OFFSET_gfx10
11870     UINT64_C(3892772864),	// TBUFFER_STORE_FORMAT_XYZW_OFFSET_gfx6_gfx7
11871     UINT64_C(3892543488),	// TBUFFER_STORE_FORMAT_XYZW_OFFSET_vi
11872     UINT64_C(3892740096),	// TBUFFER_STORE_FORMAT_XYZ_ADDR64_gfx6_gfx7
11873     UINT64_C(3892719616),	// TBUFFER_STORE_FORMAT_XYZ_BOTHEN_gfx10
11874     UINT64_C(3892719616),	// TBUFFER_STORE_FORMAT_XYZ_BOTHEN_gfx6_gfx7
11875     UINT64_C(3892523008),	// TBUFFER_STORE_FORMAT_XYZ_BOTHEN_vi
11876     UINT64_C(3892715520),	// TBUFFER_STORE_FORMAT_XYZ_IDXEN_gfx10
11877     UINT64_C(3892715520),	// TBUFFER_STORE_FORMAT_XYZ_IDXEN_gfx6_gfx7
11878     UINT64_C(3892518912),	// TBUFFER_STORE_FORMAT_XYZ_IDXEN_vi
11879     UINT64_C(3892711424),	// TBUFFER_STORE_FORMAT_XYZ_OFFEN_gfx10
11880     UINT64_C(3892711424),	// TBUFFER_STORE_FORMAT_XYZ_OFFEN_gfx6_gfx7
11881     UINT64_C(3892514816),	// TBUFFER_STORE_FORMAT_XYZ_OFFEN_vi
11882     UINT64_C(3892707328),	// TBUFFER_STORE_FORMAT_XYZ_OFFSET_gfx10
11883     UINT64_C(3892707328),	// TBUFFER_STORE_FORMAT_XYZ_OFFSET_gfx6_gfx7
11884     UINT64_C(3892510720),	// TBUFFER_STORE_FORMAT_XYZ_OFFSET_vi
11885     UINT64_C(3892674560),	// TBUFFER_STORE_FORMAT_XY_ADDR64_gfx6_gfx7
11886     UINT64_C(3892654080),	// TBUFFER_STORE_FORMAT_XY_BOTHEN_gfx10
11887     UINT64_C(3892654080),	// TBUFFER_STORE_FORMAT_XY_BOTHEN_gfx6_gfx7
11888     UINT64_C(3892490240),	// TBUFFER_STORE_FORMAT_XY_BOTHEN_vi
11889     UINT64_C(3892649984),	// TBUFFER_STORE_FORMAT_XY_IDXEN_gfx10
11890     UINT64_C(3892649984),	// TBUFFER_STORE_FORMAT_XY_IDXEN_gfx6_gfx7
11891     UINT64_C(3892486144),	// TBUFFER_STORE_FORMAT_XY_IDXEN_vi
11892     UINT64_C(3892645888),	// TBUFFER_STORE_FORMAT_XY_OFFEN_gfx10
11893     UINT64_C(3892645888),	// TBUFFER_STORE_FORMAT_XY_OFFEN_gfx6_gfx7
11894     UINT64_C(3892482048),	// TBUFFER_STORE_FORMAT_XY_OFFEN_vi
11895     UINT64_C(3892641792),	// TBUFFER_STORE_FORMAT_XY_OFFSET_gfx10
11896     UINT64_C(3892641792),	// TBUFFER_STORE_FORMAT_XY_OFFSET_gfx6_gfx7
11897     UINT64_C(3892477952),	// TBUFFER_STORE_FORMAT_XY_OFFSET_vi
11898     UINT64_C(3892609024),	// TBUFFER_STORE_FORMAT_X_ADDR64_gfx6_gfx7
11899     UINT64_C(3892588544),	// TBUFFER_STORE_FORMAT_X_BOTHEN_gfx10
11900     UINT64_C(3892588544),	// TBUFFER_STORE_FORMAT_X_BOTHEN_gfx6_gfx7
11901     UINT64_C(3892457472),	// TBUFFER_STORE_FORMAT_X_BOTHEN_vi
11902     UINT64_C(3892584448),	// TBUFFER_STORE_FORMAT_X_IDXEN_gfx10
11903     UINT64_C(3892584448),	// TBUFFER_STORE_FORMAT_X_IDXEN_gfx6_gfx7
11904     UINT64_C(3892453376),	// TBUFFER_STORE_FORMAT_X_IDXEN_vi
11905     UINT64_C(3892580352),	// TBUFFER_STORE_FORMAT_X_OFFEN_gfx10
11906     UINT64_C(3892580352),	// TBUFFER_STORE_FORMAT_X_OFFEN_gfx6_gfx7
11907     UINT64_C(3892449280),	// TBUFFER_STORE_FORMAT_X_OFFEN_vi
11908     UINT64_C(3892576256),	// TBUFFER_STORE_FORMAT_X_OFFSET_gfx10
11909     UINT64_C(3892576256),	// TBUFFER_STORE_FORMAT_X_OFFSET_gfx6_gfx7
11910     UINT64_C(3892445184),	// TBUFFER_STORE_FORMAT_X_OFFSET_vi
11911     UINT64_C(3554148352),	// V_ACCVGPR_READ_B32_vi
11912     UINT64_C(3554213888),	// V_ACCVGPR_WRITE_B32_vi
11913     UINT64_C(3614244864),	// V_ADD3_U32_gfx10
11914     UINT64_C(3523149824),	// V_ADD3_U32_vi
11915     UINT64_C(939524346),	// V_ADDC_CO_U32_dpp_gfx9
11916     UINT64_C(939524096),	// V_ADDC_CO_U32_e32_gfx9
11917     UINT64_C(3508273152),	// V_ADDC_CO_U32_e64_gfx9
11918     UINT64_C(939524345),	// V_ADDC_CO_U32_sdwa_gfx9
11919     UINT64_C(939524346),	// V_ADDC_U32_dpp_vi
11920     UINT64_C(1342177280),	// V_ADDC_U32_e32_gfx6_gfx7
11921     UINT64_C(939524096),	// V_ADDC_U32_e32_vi
11922     UINT64_C(3528458240),	// V_ADDC_U32_e64_gfx6_gfx7
11923     UINT64_C(3508273152),	// V_ADDC_U32_e64_vi
11924     UINT64_C(939524345),	// V_ADDC_U32_sdwa_vi
11925     UINT64_C(1342177280),	// V_ADD_CO_CI_U32_dpp8_gfx10
11926     UINT64_C(1342177280),	// V_ADD_CO_CI_U32_dpp8_w32_gfx10
11927     UINT64_C(1342177280),	// V_ADD_CO_CI_U32_dpp8_w64_gfx10
11928     UINT64_C(1342177530),	// V_ADD_CO_CI_U32_dpp_gfx10
11929     UINT64_C(1342177530),	// V_ADD_CO_CI_U32_dpp_w32_gfx10
11930     UINT64_C(1342177530),	// V_ADD_CO_CI_U32_dpp_w64_gfx10
11931     UINT64_C(1342177280),	// V_ADD_CO_CI_U32_e32_gfx10
11932     UINT64_C(3576168448),	// V_ADD_CO_CI_U32_e64_gfx10
11933     UINT64_C(1342177529),	// V_ADD_CO_CI_U32_sdwa_gfx10
11934     UINT64_C(1342177529),	// V_ADD_CO_CI_U32_sdwa_w32_gfx10
11935     UINT64_C(1342177529),	// V_ADD_CO_CI_U32_sdwa_w64_gfx10
11936     UINT64_C(838861050),	// V_ADD_CO_U32_dpp_gfx9
11937     UINT64_C(838860800),	// V_ADD_CO_U32_e32_gfx9
11938     UINT64_C(3608084480),	// V_ADD_CO_U32_e64_gfx10
11939     UINT64_C(3508076544),	// V_ADD_CO_U32_e64_gfx9
11940     UINT64_C(838861049),	// V_ADD_CO_U32_sdwa_gfx9
11941     UINT64_C(1677721600),	// V_ADD_F16_dpp8_gfx10
11942     UINT64_C(1677721850),	// V_ADD_F16_dpp_gfx10
11943     UINT64_C(1040187642),	// V_ADD_F16_dpp_vi
11944     UINT64_C(1677721600),	// V_ADD_F16_e32_gfx10
11945     UINT64_C(1040187392),	// V_ADD_F16_e32_vi
11946     UINT64_C(3576823808),	// V_ADD_F16_e64_gfx10
11947     UINT64_C(3508469760),	// V_ADD_F16_e64_vi
11948     UINT64_C(1677721849),	// V_ADD_F16_sdwa_gfx10
11949     UINT64_C(1040187641),	// V_ADD_F16_sdwa_gfx9
11950     UINT64_C(1040187641),	// V_ADD_F16_sdwa_vi
11951     UINT64_C(100663296),	// V_ADD_F32_dpp8_gfx10
11952     UINT64_C(100663546),	// V_ADD_F32_dpp_gfx10
11953     UINT64_C(33554682),	// V_ADD_F32_dpp_vi
11954     UINT64_C(100663296),	// V_ADD_F32_e32_gfx10
11955     UINT64_C(100663296),	// V_ADD_F32_e32_gfx6_gfx7
11956     UINT64_C(33554432),	// V_ADD_F32_e32_vi
11957     UINT64_C(3573743616),	// V_ADD_F32_e64_gfx10
11958     UINT64_C(3523608576),	// V_ADD_F32_e64_gfx6_gfx7
11959     UINT64_C(3506503680),	// V_ADD_F32_e64_vi
11960     UINT64_C(100663545),	// V_ADD_F32_sdwa_gfx10
11961     UINT64_C(33554681),	// V_ADD_F32_sdwa_gfx9
11962     UINT64_C(33554681),	// V_ADD_F32_sdwa_vi
11963     UINT64_C(3580100608),	// V_ADD_F64_gfx10
11964     UINT64_C(3536322560),	// V_ADD_F64_gfx6_gfx7
11965     UINT64_C(3531603968),	// V_ADD_F64_vi
11966     UINT64_C(3533570048),	// V_ADD_I16_vi
11967     UINT64_C(1241513984),	// V_ADD_I32_e32_gfx6_gfx7
11968     UINT64_C(3528065024),	// V_ADD_I32_e64_gfx6_gfx7
11969     UINT64_C(3533438976),	// V_ADD_I32_gfx9_gfx9
11970     UINT64_C(3611754496),	// V_ADD_LSHL_U32_gfx10
11971     UINT64_C(3523084288),	// V_ADD_LSHL_U32_vi
11972     UINT64_C(3607953408),	// V_ADD_NC_I16_gfx10
11973     UINT64_C(3615424512),	// V_ADD_NC_I32_gfx10
11974     UINT64_C(3607298048),	// V_ADD_NC_U16_gfx10
11975     UINT64_C(1241513984),	// V_ADD_NC_U32_dpp8_gfx10
11976     UINT64_C(1241514234),	// V_ADD_NC_U32_dpp_gfx10
11977     UINT64_C(1241513984),	// V_ADD_NC_U32_e32_gfx10
11978     UINT64_C(3575971840),	// V_ADD_NC_U32_e64_gfx10
11979     UINT64_C(1241514233),	// V_ADD_NC_U32_sdwa_gfx10
11980     UINT64_C(1275068666),	// V_ADD_U16_dpp_vi
11981     UINT64_C(1275068416),	// V_ADD_U16_e32_vi
11982     UINT64_C(3508928512),	// V_ADD_U16_e64_vi
11983     UINT64_C(1275068665),	// V_ADD_U16_sdwa_gfx9
11984     UINT64_C(1275068665),	// V_ADD_U16_sdwa_vi
11985     UINT64_C(1744830714),	// V_ADD_U32_dpp_gfx9
11986     UINT64_C(838861050),	// V_ADD_U32_dpp_vi
11987     UINT64_C(1744830464),	// V_ADD_U32_e32_gfx9
11988     UINT64_C(838860800),	// V_ADD_U32_e32_vi
11989     UINT64_C(3509846016),	// V_ADD_U32_e64_gfx9
11990     UINT64_C(3508076544),	// V_ADD_U32_e64_vi
11991     UINT64_C(1744830713),	// V_ADD_U32_sdwa_gfx9
11992     UINT64_C(838861049),	// V_ADD_U32_sdwa_vi
11993     UINT64_C(3578658816),	// V_ALIGNBIT_B32_gfx10
11994     UINT64_C(3533438976),	// V_ALIGNBIT_B32_gfx6_gfx7
11995     UINT64_C(3519938560),	// V_ALIGNBIT_B32_vi
11996     UINT64_C(3578724352),	// V_ALIGNBYTE_B32_gfx10
11997     UINT64_C(3533570048),	// V_ALIGNBYTE_B32_gfx6_gfx7
11998     UINT64_C(3520004096),	// V_ALIGNBYTE_B32_vi
11999     UINT64_C(905969664),	// V_AND_B32_dpp8_gfx10
12000     UINT64_C(905969914),	// V_AND_B32_dpp_gfx10
12001     UINT64_C(637534458),	// V_AND_B32_dpp_vi
12002     UINT64_C(905969664),	// V_AND_B32_e32_gfx10
12003     UINT64_C(905969664),	// V_AND_B32_e32_gfx6_gfx7
12004     UINT64_C(637534208),	// V_AND_B32_e32_vi
12005     UINT64_C(3575316480),	// V_AND_B32_e64_gfx10
12006     UINT64_C(3526754304),	// V_AND_B32_e64_gfx6_gfx7
12007     UINT64_C(3507683328),	// V_AND_B32_e64_vi
12008     UINT64_C(905969913),	// V_AND_B32_sdwa_gfx10
12009     UINT64_C(637534457),	// V_AND_B32_sdwa_gfx9
12010     UINT64_C(637534457),	// V_AND_B32_sdwa_vi
12011     UINT64_C(3614507008),	// V_AND_OR_B32_gfx10
12012     UINT64_C(3523280896),	// V_AND_OR_B32_vi
12013     UINT64_C(1476395258),	// V_ASHRREV_I16_dpp_vi
12014     UINT64_C(1476395008),	// V_ASHRREV_I16_e32_vi
12015     UINT64_C(3509321728),	// V_ASHRREV_I16_e64_vi
12016     UINT64_C(3607625728),	// V_ASHRREV_I16_gfx10
12017     UINT64_C(1476395257),	// V_ASHRREV_I16_sdwa_gfx9
12018     UINT64_C(1476395257),	// V_ASHRREV_I16_sdwa_vi
12019     UINT64_C(805306368),	// V_ASHRREV_I32_dpp8_gfx10
12020     UINT64_C(805306618),	// V_ASHRREV_I32_dpp_gfx10
12021     UINT64_C(570425594),	// V_ASHRREV_I32_dpp_vi
12022     UINT64_C(805306368),	// V_ASHRREV_I32_e32_gfx10
12023     UINT64_C(805306368),	// V_ASHRREV_I32_e32_gfx6_gfx7
12024     UINT64_C(570425344),	// V_ASHRREV_I32_e32_vi
12025     UINT64_C(3575119872),	// V_ASHRREV_I32_e64_gfx10
12026     UINT64_C(3526361088),	// V_ASHRREV_I32_e64_gfx6_gfx7
12027     UINT64_C(3507552256),	// V_ASHRREV_I32_e64_vi
12028     UINT64_C(805306617),	// V_ASHRREV_I32_sdwa_gfx10
12029     UINT64_C(570425593),	// V_ASHRREV_I32_sdwa_gfx9
12030     UINT64_C(570425593),	// V_ASHRREV_I32_sdwa_vi
12031     UINT64_C(3607166976),	// V_ASHRREV_I64_gfx10
12032     UINT64_C(3532718080),	// V_ASHRREV_I64_vi
12033     UINT64_C(771751936),	// V_ASHR_I32_e32_gfx6_gfx7
12034     UINT64_C(3526230016),	// V_ASHR_I32_e64_gfx6_gfx7
12035     UINT64_C(3536191488),	// V_ASHR_I64_gfx6_gfx7
12036     UINT64_C(1140850688),	// V_BCNT_U32_B32_e32_gfx6_gfx7
12037     UINT64_C(3613655040),	// V_BCNT_U32_B32_e64_gfx10
12038     UINT64_C(3527671808),	// V_BCNT_U32_B32_e64_gfx6_gfx7
12039     UINT64_C(3532324864),	// V_BCNT_U32_B32_e64_vi
12040     UINT64_C(3578331136),	// V_BFE_I32_gfx10
12041     UINT64_C(3532783616),	// V_BFE_I32_gfx6_gfx7
12042     UINT64_C(3519610880),	// V_BFE_I32_vi
12043     UINT64_C(3578265600),	// V_BFE_U32_gfx10
12044     UINT64_C(3532652544),	// V_BFE_U32_gfx6_gfx7
12045     UINT64_C(3519545344),	// V_BFE_U32_vi
12046     UINT64_C(3578396672),	// V_BFI_B32_gfx10
12047     UINT64_C(3532914688),	// V_BFI_B32_gfx6_gfx7
12048     UINT64_C(3519676416),	// V_BFI_B32_vi
12049     UINT64_C(1006632960),	// V_BFM_B32_e32_gfx6_gfx7
12050     UINT64_C(3613589504),	// V_BFM_B32_e64_gfx10
12051     UINT64_C(3527147520),	// V_BFM_B32_e64_gfx6_gfx7
12052     UINT64_C(3532849152),	// V_BFM_B32_e64_vi
12053     UINT64_C(2113957888),	// V_BFREV_B32_dpp8_gfx10
12054     UINT64_C(2113958138),	// V_BFREV_B32_dpp_gfx10
12055     UINT64_C(2113951994),	// V_BFREV_B32_dpp_vi
12056     UINT64_C(2113957888),	// V_BFREV_B32_e32_gfx10
12057     UINT64_C(2113957888),	// V_BFREV_B32_e32_gfx6_gfx7
12058     UINT64_C(2113951744),	// V_BFREV_B32_e32_vi
12059     UINT64_C(3585605632),	// V_BFREV_B32_e64_gfx10
12060     UINT64_C(3547332608),	// V_BFREV_B32_e64_gfx6_gfx7
12061     UINT64_C(3513516032),	// V_BFREV_B32_e64_vi
12062     UINT64_C(2113958137),	// V_BFREV_B32_sdwa_gfx10
12063     UINT64_C(2113951993),	// V_BFREV_B32_sdwa_gfx9
12064     UINT64_C(2113951993),	// V_BFREV_B32_sdwa_vi
12065     UINT64_C(2113976320),	// V_CEIL_F16_dpp8_gfx10
12066     UINT64_C(2113976570),	// V_CEIL_F16_dpp_gfx10
12067     UINT64_C(2113964794),	// V_CEIL_F16_dpp_vi
12068     UINT64_C(2113976320),	// V_CEIL_F16_e32_gfx10
12069     UINT64_C(2113964544),	// V_CEIL_F16_e32_vi
12070     UINT64_C(3587964928),	// V_CEIL_F16_e64_gfx10
12071     UINT64_C(3515154432),	// V_CEIL_F16_e64_vi
12072     UINT64_C(2113976569),	// V_CEIL_F16_sdwa_gfx10
12073     UINT64_C(2113964793),	// V_CEIL_F16_sdwa_gfx9
12074     UINT64_C(2113964793),	// V_CEIL_F16_sdwa_vi
12075     UINT64_C(2113946624),	// V_CEIL_F32_dpp8_gfx10
12076     UINT64_C(2113946874),	// V_CEIL_F32_dpp_gfx10
12077     UINT64_C(2113944314),	// V_CEIL_F32_dpp_vi
12078     UINT64_C(2113946624),	// V_CEIL_F32_e32_gfx10
12079     UINT64_C(2113946624),	// V_CEIL_F32_e32_gfx6_gfx7
12080     UINT64_C(2113944064),	// V_CEIL_F32_e32_vi
12081     UINT64_C(3584163840),	// V_CEIL_F32_e64_gfx10
12082     UINT64_C(3544449024),	// V_CEIL_F32_e64_gfx6_gfx7
12083     UINT64_C(3512532992),	// V_CEIL_F32_e64_vi
12084     UINT64_C(2113946873),	// V_CEIL_F32_sdwa_gfx10
12085     UINT64_C(2113944313),	// V_CEIL_F32_sdwa_gfx9
12086     UINT64_C(2113944313),	// V_CEIL_F32_sdwa_vi
12087     UINT64_C(2113941504),	// V_CEIL_F64_e32_gfx10
12088     UINT64_C(2113941504),	// V_CEIL_F64_e32_gfx7
12089     UINT64_C(2113941504),	// V_CEIL_F64_e32_vi
12090     UINT64_C(3583508480),	// V_CEIL_F64_e64_gfx10
12091     UINT64_C(3543138304),	// V_CEIL_F64_e64_gfx7
12092     UINT64_C(3512205312),	// V_CEIL_F64_e64_vi
12093     UINT64_C(2113962496),	// V_CLREXCP_e32_gfx10
12094     UINT64_C(2113962496),	// V_CLREXCP_e32_gfx6_gfx7
12095     UINT64_C(2113956352),	// V_CLREXCP_e32_vi
12096     UINT64_C(3586195456),	// V_CLREXCP_e64_gfx10
12097     UINT64_C(3548512256),	// V_CLREXCP_e64_gfx6_gfx7
12098     UINT64_C(3514105856),	// V_CLREXCP_e64_vi
12099     UINT64_C(2091122688),	// V_CMPSX_EQ_F32_e32_gfx6_gfx7
12100     UINT64_C(3500408832),	// V_CMPSX_EQ_F32_e64_gfx6_gfx7
12101     UINT64_C(2095316992),	// V_CMPSX_EQ_F64_e32_gfx6_gfx7
12102     UINT64_C(3504603136),	// V_CMPSX_EQ_F64_e64_gfx6_gfx7
12103     UINT64_C(2090860544),	// V_CMPSX_F_F32_e32_gfx6_gfx7
12104     UINT64_C(3500146688),	// V_CMPSX_F_F32_e64_gfx6_gfx7
12105     UINT64_C(2095054848),	// V_CMPSX_F_F64_e32_gfx6_gfx7
12106     UINT64_C(3504340992),	// V_CMPSX_F_F64_e64_gfx6_gfx7
12107     UINT64_C(2091646976),	// V_CMPSX_GE_F32_e32_gfx6_gfx7
12108     UINT64_C(3500933120),	// V_CMPSX_GE_F32_e64_gfx6_gfx7
12109     UINT64_C(2095841280),	// V_CMPSX_GE_F64_e32_gfx6_gfx7
12110     UINT64_C(3505127424),	// V_CMPSX_GE_F64_e64_gfx6_gfx7
12111     UINT64_C(2091384832),	// V_CMPSX_GT_F32_e32_gfx6_gfx7
12112     UINT64_C(3500670976),	// V_CMPSX_GT_F32_e64_gfx6_gfx7
12113     UINT64_C(2095579136),	// V_CMPSX_GT_F64_e32_gfx6_gfx7
12114     UINT64_C(3504865280),	// V_CMPSX_GT_F64_e64_gfx6_gfx7
12115     UINT64_C(2091253760),	// V_CMPSX_LE_F32_e32_gfx6_gfx7
12116     UINT64_C(3500539904),	// V_CMPSX_LE_F32_e64_gfx6_gfx7
12117     UINT64_C(2095448064),	// V_CMPSX_LE_F64_e32_gfx6_gfx7
12118     UINT64_C(3504734208),	// V_CMPSX_LE_F64_e64_gfx6_gfx7
12119     UINT64_C(2091515904),	// V_CMPSX_LG_F32_e32_gfx6_gfx7
12120     UINT64_C(3500802048),	// V_CMPSX_LG_F32_e64_gfx6_gfx7
12121     UINT64_C(2095710208),	// V_CMPSX_LG_F64_e32_gfx6_gfx7
12122     UINT64_C(3504996352),	// V_CMPSX_LG_F64_e64_gfx6_gfx7
12123     UINT64_C(2090991616),	// V_CMPSX_LT_F32_e32_gfx6_gfx7
12124     UINT64_C(3500277760),	// V_CMPSX_LT_F32_e64_gfx6_gfx7
12125     UINT64_C(2095185920),	// V_CMPSX_LT_F64_e32_gfx6_gfx7
12126     UINT64_C(3504472064),	// V_CMPSX_LT_F64_e64_gfx6_gfx7
12127     UINT64_C(2092564480),	// V_CMPSX_NEQ_F32_e32_gfx6_gfx7
12128     UINT64_C(3501850624),	// V_CMPSX_NEQ_F32_e64_gfx6_gfx7
12129     UINT64_C(2096758784),	// V_CMPSX_NEQ_F64_e32_gfx6_gfx7
12130     UINT64_C(3506044928),	// V_CMPSX_NEQ_F64_e64_gfx6_gfx7
12131     UINT64_C(2092040192),	// V_CMPSX_NGE_F32_e32_gfx6_gfx7
12132     UINT64_C(3501326336),	// V_CMPSX_NGE_F32_e64_gfx6_gfx7
12133     UINT64_C(2096234496),	// V_CMPSX_NGE_F64_e32_gfx6_gfx7
12134     UINT64_C(3505520640),	// V_CMPSX_NGE_F64_e64_gfx6_gfx7
12135     UINT64_C(2092302336),	// V_CMPSX_NGT_F32_e32_gfx6_gfx7
12136     UINT64_C(3501588480),	// V_CMPSX_NGT_F32_e64_gfx6_gfx7
12137     UINT64_C(2096496640),	// V_CMPSX_NGT_F64_e32_gfx6_gfx7
12138     UINT64_C(3505782784),	// V_CMPSX_NGT_F64_e64_gfx6_gfx7
12139     UINT64_C(2092433408),	// V_CMPSX_NLE_F32_e32_gfx6_gfx7
12140     UINT64_C(3501719552),	// V_CMPSX_NLE_F32_e64_gfx6_gfx7
12141     UINT64_C(2096627712),	// V_CMPSX_NLE_F64_e32_gfx6_gfx7
12142     UINT64_C(3505913856),	// V_CMPSX_NLE_F64_e64_gfx6_gfx7
12143     UINT64_C(2092171264),	// V_CMPSX_NLG_F32_e32_gfx6_gfx7
12144     UINT64_C(3501457408),	// V_CMPSX_NLG_F32_e64_gfx6_gfx7
12145     UINT64_C(2096365568),	// V_CMPSX_NLG_F64_e32_gfx6_gfx7
12146     UINT64_C(3505651712),	// V_CMPSX_NLG_F64_e64_gfx6_gfx7
12147     UINT64_C(2092695552),	// V_CMPSX_NLT_F32_e32_gfx6_gfx7
12148     UINT64_C(3501981696),	// V_CMPSX_NLT_F32_e64_gfx6_gfx7
12149     UINT64_C(2096889856),	// V_CMPSX_NLT_F64_e32_gfx6_gfx7
12150     UINT64_C(3506176000),	// V_CMPSX_NLT_F64_e64_gfx6_gfx7
12151     UINT64_C(2091778048),	// V_CMPSX_O_F32_e32_gfx6_gfx7
12152     UINT64_C(3501064192),	// V_CMPSX_O_F32_e64_gfx6_gfx7
12153     UINT64_C(2095972352),	// V_CMPSX_O_F64_e32_gfx6_gfx7
12154     UINT64_C(3505258496),	// V_CMPSX_O_F64_e64_gfx6_gfx7
12155     UINT64_C(2092826624),	// V_CMPSX_TRU_F32_e32_gfx6_gfx7
12156     UINT64_C(3502112768),	// V_CMPSX_TRU_F32_e64_gfx6_gfx7
12157     UINT64_C(2097020928),	// V_CMPSX_TRU_F64_e32_gfx6_gfx7
12158     UINT64_C(3506307072),	// V_CMPSX_TRU_F64_e64_gfx6_gfx7
12159     UINT64_C(2091909120),	// V_CMPSX_U_F32_e32_gfx6_gfx7
12160     UINT64_C(3501195264),	// V_CMPSX_U_F32_e64_gfx6_gfx7
12161     UINT64_C(2096103424),	// V_CMPSX_U_F64_e32_gfx6_gfx7
12162     UINT64_C(3505389568),	// V_CMPSX_U_F64_e64_gfx6_gfx7
12163     UINT64_C(2089025536),	// V_CMPS_EQ_F32_e32_gfx6_gfx7
12164     UINT64_C(3498311680),	// V_CMPS_EQ_F32_e64_gfx6_gfx7
12165     UINT64_C(2093219840),	// V_CMPS_EQ_F64_e32_gfx6_gfx7
12166     UINT64_C(3502505984),	// V_CMPS_EQ_F64_e64_gfx6_gfx7
12167     UINT64_C(2088763392),	// V_CMPS_F_F32_e32_gfx6_gfx7
12168     UINT64_C(3498049536),	// V_CMPS_F_F32_e64_gfx6_gfx7
12169     UINT64_C(2092957696),	// V_CMPS_F_F64_e32_gfx6_gfx7
12170     UINT64_C(3502243840),	// V_CMPS_F_F64_e64_gfx6_gfx7
12171     UINT64_C(2089549824),	// V_CMPS_GE_F32_e32_gfx6_gfx7
12172     UINT64_C(3498835968),	// V_CMPS_GE_F32_e64_gfx6_gfx7
12173     UINT64_C(2093744128),	// V_CMPS_GE_F64_e32_gfx6_gfx7
12174     UINT64_C(3503030272),	// V_CMPS_GE_F64_e64_gfx6_gfx7
12175     UINT64_C(2089287680),	// V_CMPS_GT_F32_e32_gfx6_gfx7
12176     UINT64_C(3498573824),	// V_CMPS_GT_F32_e64_gfx6_gfx7
12177     UINT64_C(2093481984),	// V_CMPS_GT_F64_e32_gfx6_gfx7
12178     UINT64_C(3502768128),	// V_CMPS_GT_F64_e64_gfx6_gfx7
12179     UINT64_C(2089156608),	// V_CMPS_LE_F32_e32_gfx6_gfx7
12180     UINT64_C(3498442752),	// V_CMPS_LE_F32_e64_gfx6_gfx7
12181     UINT64_C(2093350912),	// V_CMPS_LE_F64_e32_gfx6_gfx7
12182     UINT64_C(3502637056),	// V_CMPS_LE_F64_e64_gfx6_gfx7
12183     UINT64_C(2089418752),	// V_CMPS_LG_F32_e32_gfx6_gfx7
12184     UINT64_C(3498704896),	// V_CMPS_LG_F32_e64_gfx6_gfx7
12185     UINT64_C(2093613056),	// V_CMPS_LG_F64_e32_gfx6_gfx7
12186     UINT64_C(3502899200),	// V_CMPS_LG_F64_e64_gfx6_gfx7
12187     UINT64_C(2088894464),	// V_CMPS_LT_F32_e32_gfx6_gfx7
12188     UINT64_C(3498180608),	// V_CMPS_LT_F32_e64_gfx6_gfx7
12189     UINT64_C(2093088768),	// V_CMPS_LT_F64_e32_gfx6_gfx7
12190     UINT64_C(3502374912),	// V_CMPS_LT_F64_e64_gfx6_gfx7
12191     UINT64_C(2090467328),	// V_CMPS_NEQ_F32_e32_gfx6_gfx7
12192     UINT64_C(3499753472),	// V_CMPS_NEQ_F32_e64_gfx6_gfx7
12193     UINT64_C(2094661632),	// V_CMPS_NEQ_F64_e32_gfx6_gfx7
12194     UINT64_C(3503947776),	// V_CMPS_NEQ_F64_e64_gfx6_gfx7
12195     UINT64_C(2089943040),	// V_CMPS_NGE_F32_e32_gfx6_gfx7
12196     UINT64_C(3499229184),	// V_CMPS_NGE_F32_e64_gfx6_gfx7
12197     UINT64_C(2094137344),	// V_CMPS_NGE_F64_e32_gfx6_gfx7
12198     UINT64_C(3503423488),	// V_CMPS_NGE_F64_e64_gfx6_gfx7
12199     UINT64_C(2090205184),	// V_CMPS_NGT_F32_e32_gfx6_gfx7
12200     UINT64_C(3499491328),	// V_CMPS_NGT_F32_e64_gfx6_gfx7
12201     UINT64_C(2094399488),	// V_CMPS_NGT_F64_e32_gfx6_gfx7
12202     UINT64_C(3503685632),	// V_CMPS_NGT_F64_e64_gfx6_gfx7
12203     UINT64_C(2090336256),	// V_CMPS_NLE_F32_e32_gfx6_gfx7
12204     UINT64_C(3499622400),	// V_CMPS_NLE_F32_e64_gfx6_gfx7
12205     UINT64_C(2094530560),	// V_CMPS_NLE_F64_e32_gfx6_gfx7
12206     UINT64_C(3503816704),	// V_CMPS_NLE_F64_e64_gfx6_gfx7
12207     UINT64_C(2090074112),	// V_CMPS_NLG_F32_e32_gfx6_gfx7
12208     UINT64_C(3499360256),	// V_CMPS_NLG_F32_e64_gfx6_gfx7
12209     UINT64_C(2094268416),	// V_CMPS_NLG_F64_e32_gfx6_gfx7
12210     UINT64_C(3503554560),	// V_CMPS_NLG_F64_e64_gfx6_gfx7
12211     UINT64_C(2090598400),	// V_CMPS_NLT_F32_e32_gfx6_gfx7
12212     UINT64_C(3499884544),	// V_CMPS_NLT_F32_e64_gfx6_gfx7
12213     UINT64_C(2094792704),	// V_CMPS_NLT_F64_e32_gfx6_gfx7
12214     UINT64_C(3504078848),	// V_CMPS_NLT_F64_e64_gfx6_gfx7
12215     UINT64_C(2089680896),	// V_CMPS_O_F32_e32_gfx6_gfx7
12216     UINT64_C(3498967040),	// V_CMPS_O_F32_e64_gfx6_gfx7
12217     UINT64_C(2093875200),	// V_CMPS_O_F64_e32_gfx6_gfx7
12218     UINT64_C(3503161344),	// V_CMPS_O_F64_e64_gfx6_gfx7
12219     UINT64_C(2090729472),	// V_CMPS_TRU_F32_e32_gfx6_gfx7
12220     UINT64_C(3500015616),	// V_CMPS_TRU_F32_e64_gfx6_gfx7
12221     UINT64_C(2094923776),	// V_CMPS_TRU_F64_e32_gfx6_gfx7
12222     UINT64_C(3504209920),	// V_CMPS_TRU_F64_e64_gfx6_gfx7
12223     UINT64_C(2089811968),	// V_CMPS_U_F32_e32_gfx6_gfx7
12224     UINT64_C(3499098112),	// V_CMPS_U_F32_e64_gfx6_gfx7
12225     UINT64_C(2094006272),	// V_CMPS_U_F64_e32_gfx6_gfx7
12226     UINT64_C(3503292416),	// V_CMPS_U_F64_e64_gfx6_gfx7
12227     UINT64_C(2101215232),	// V_CMPX_CLASS_F16_e32_gfx10
12228     UINT64_C(2083127296),	// V_CMPX_CLASS_F16_e32_vi
12229     UINT64_C(3567190016),	// V_CMPX_CLASS_F16_e64_gfx10
12230     UINT64_C(3491037184),	// V_CMPX_CLASS_F16_e64_vi
12231     UINT64_C(2101215481),	// V_CMPX_CLASS_F16_sdwa_gfx10
12232     UINT64_C(2083127545),	// V_CMPX_CLASS_F16_sdwa_gfx9
12233     UINT64_C(2083127545),	// V_CMPX_CLASS_F16_sdwa_vi
12234     UINT64_C(2100297728),	// V_CMPX_CLASS_F32_e32_gfx10
12235     UINT64_C(2100297728),	// V_CMPX_CLASS_F32_e32_gfx6_gfx7
12236     UINT64_C(2082603008),	// V_CMPX_CLASS_F32_e32_vi
12237     UINT64_C(3566731264),	// V_CMPX_CLASS_F32_e64_gfx10
12238     UINT64_C(3509583872),	// V_CMPX_CLASS_F32_e64_gfx6_gfx7
12239     UINT64_C(3490775040),	// V_CMPX_CLASS_F32_e64_vi
12240     UINT64_C(2100297977),	// V_CMPX_CLASS_F32_sdwa_gfx10
12241     UINT64_C(2082603257),	// V_CMPX_CLASS_F32_sdwa_gfx9
12242     UINT64_C(2082603257),	// V_CMPX_CLASS_F32_sdwa_vi
12243     UINT64_C(2104492032),	// V_CMPX_CLASS_F64_e32_gfx10
12244     UINT64_C(2104492032),	// V_CMPX_CLASS_F64_e32_gfx6_gfx7
12245     UINT64_C(2082865152),	// V_CMPX_CLASS_F64_e32_vi
12246     UINT64_C(3568828416),	// V_CMPX_CLASS_F64_e64_gfx10
12247     UINT64_C(3513778176),	// V_CMPX_CLASS_F64_e64_gfx6_gfx7
12248     UINT64_C(3490906112),	// V_CMPX_CLASS_F64_e64_vi
12249     UINT64_C(2108948480),	// V_CMPX_EQ_F16_e32_gfx10
12250     UINT64_C(2086928384),	// V_CMPX_EQ_F16_e32_vi
12251     UINT64_C(3571056640),	// V_CMPX_EQ_F16_e64_gfx10
12252     UINT64_C(3492937728),	// V_CMPX_EQ_F16_e64_vi
12253     UINT64_C(2108948729),	// V_CMPX_EQ_F16_sdwa_gfx10
12254     UINT64_C(2086928633),	// V_CMPX_EQ_F16_sdwa_gfx9
12255     UINT64_C(2086928633),	// V_CMPX_EQ_F16_sdwa_vi
12256     UINT64_C(2082734080),	// V_CMPX_EQ_F32_e32_gfx10
12257     UINT64_C(2082734080),	// V_CMPX_EQ_F32_e32_gfx6_gfx7
12258     UINT64_C(2091122688),	// V_CMPX_EQ_F32_e32_vi
12259     UINT64_C(3557949440),	// V_CMPX_EQ_F32_e64_gfx10
12260     UINT64_C(3492020224),	// V_CMPX_EQ_F32_e64_gfx6_gfx7
12261     UINT64_C(3495034880),	// V_CMPX_EQ_F32_e64_vi
12262     UINT64_C(2082734329),	// V_CMPX_EQ_F32_sdwa_gfx10
12263     UINT64_C(2091122937),	// V_CMPX_EQ_F32_sdwa_gfx9
12264     UINT64_C(2091122937),	// V_CMPX_EQ_F32_sdwa_vi
12265     UINT64_C(2086928384),	// V_CMPX_EQ_F64_e32_gfx10
12266     UINT64_C(2086928384),	// V_CMPX_EQ_F64_e32_gfx6_gfx7
12267     UINT64_C(2095316992),	// V_CMPX_EQ_F64_e32_vi
12268     UINT64_C(3560046592),	// V_CMPX_EQ_F64_e64_gfx10
12269     UINT64_C(3496214528),	// V_CMPX_EQ_F64_e64_gfx6_gfx7
12270     UINT64_C(3497132032),	// V_CMPX_EQ_F64_e64_vi
12271     UINT64_C(2100559872),	// V_CMPX_EQ_I16_e32_gfx10
12272     UINT64_C(2103705600),	// V_CMPX_EQ_I16_e32_vi
12273     UINT64_C(3566862336),	// V_CMPX_EQ_I16_e64_gfx10
12274     UINT64_C(3501326336),	// V_CMPX_EQ_I16_e64_vi
12275     UINT64_C(2100560121),	// V_CMPX_EQ_I16_sdwa_gfx10
12276     UINT64_C(2103705849),	// V_CMPX_EQ_I16_sdwa_gfx9
12277     UINT64_C(2103705849),	// V_CMPX_EQ_I16_sdwa_vi
12278     UINT64_C(2099511296),	// V_CMPX_EQ_I32_e32_gfx10
12279     UINT64_C(2099511296),	// V_CMPX_EQ_I32_e32_gfx6_gfx7
12280     UINT64_C(2107899904),	// V_CMPX_EQ_I32_e32_vi
12281     UINT64_C(3566338048),	// V_CMPX_EQ_I32_e64_gfx10
12282     UINT64_C(3508797440),	// V_CMPX_EQ_I32_e64_gfx6_gfx7
12283     UINT64_C(3503423488),	// V_CMPX_EQ_I32_e64_vi
12284     UINT64_C(2099511545),	// V_CMPX_EQ_I32_sdwa_gfx10
12285     UINT64_C(2107900153),	// V_CMPX_EQ_I32_sdwa_gfx9
12286     UINT64_C(2107900153),	// V_CMPX_EQ_I32_sdwa_vi
12287     UINT64_C(2103705600),	// V_CMPX_EQ_I64_e32_gfx10
12288     UINT64_C(2103705600),	// V_CMPX_EQ_I64_e32_gfx6_gfx7
12289     UINT64_C(2112094208),	// V_CMPX_EQ_I64_e32_vi
12290     UINT64_C(3568435200),	// V_CMPX_EQ_I64_e64_gfx10
12291     UINT64_C(3512991744),	// V_CMPX_EQ_I64_e64_gfx6_gfx7
12292     UINT64_C(3505520640),	// V_CMPX_EQ_I64_e64_vi
12293     UINT64_C(2104754176),	// V_CMPX_EQ_U16_e32_gfx10
12294     UINT64_C(2104754176),	// V_CMPX_EQ_U16_e32_vi
12295     UINT64_C(3568959488),	// V_CMPX_EQ_U16_e64_gfx10
12296     UINT64_C(3501850624),	// V_CMPX_EQ_U16_e64_vi
12297     UINT64_C(2104754425),	// V_CMPX_EQ_U16_sdwa_gfx10
12298     UINT64_C(2104754425),	// V_CMPX_EQ_U16_sdwa_gfx9
12299     UINT64_C(2104754425),	// V_CMPX_EQ_U16_sdwa_vi
12300     UINT64_C(2107899904),	// V_CMPX_EQ_U32_e32_gfx10
12301     UINT64_C(2107899904),	// V_CMPX_EQ_U32_e32_gfx6_gfx7
12302     UINT64_C(2108948480),	// V_CMPX_EQ_U32_e32_vi
12303     UINT64_C(3570532352),	// V_CMPX_EQ_U32_e64_gfx10
12304     UINT64_C(3517186048),	// V_CMPX_EQ_U32_e64_gfx6_gfx7
12305     UINT64_C(3503947776),	// V_CMPX_EQ_U32_e64_vi
12306     UINT64_C(2107900153),	// V_CMPX_EQ_U32_sdwa_gfx10
12307     UINT64_C(2108948729),	// V_CMPX_EQ_U32_sdwa_gfx9
12308     UINT64_C(2108948729),	// V_CMPX_EQ_U32_sdwa_vi
12309     UINT64_C(2112094208),	// V_CMPX_EQ_U64_e32_gfx10
12310     UINT64_C(2112094208),	// V_CMPX_EQ_U64_e32_gfx6_gfx7
12311     UINT64_C(2113142784),	// V_CMPX_EQ_U64_e32_vi
12312     UINT64_C(3572629504),	// V_CMPX_EQ_U64_e64_gfx10
12313     UINT64_C(3521380352),	// V_CMPX_EQ_U64_e64_gfx6_gfx7
12314     UINT64_C(3506044928),	// V_CMPX_EQ_U64_e64_vi
12315     UINT64_C(2108686336),	// V_CMPX_F_F16_e32_gfx10
12316     UINT64_C(2086666240),	// V_CMPX_F_F16_e32_vi
12317     UINT64_C(3570925568),	// V_CMPX_F_F16_e64_gfx10
12318     UINT64_C(3492806656),	// V_CMPX_F_F16_e64_vi
12319     UINT64_C(2108686585),	// V_CMPX_F_F16_sdwa_gfx10
12320     UINT64_C(2086666489),	// V_CMPX_F_F16_sdwa_gfx9
12321     UINT64_C(2086666489),	// V_CMPX_F_F16_sdwa_vi
12322     UINT64_C(2082471936),	// V_CMPX_F_F32_e32_gfx10
12323     UINT64_C(2082471936),	// V_CMPX_F_F32_e32_gfx6_gfx7
12324     UINT64_C(2090860544),	// V_CMPX_F_F32_e32_vi
12325     UINT64_C(3557818368),	// V_CMPX_F_F32_e64_gfx10
12326     UINT64_C(3491758080),	// V_CMPX_F_F32_e64_gfx6_gfx7
12327     UINT64_C(3494903808),	// V_CMPX_F_F32_e64_vi
12328     UINT64_C(2082472185),	// V_CMPX_F_F32_sdwa_gfx10
12329     UINT64_C(2090860793),	// V_CMPX_F_F32_sdwa_gfx9
12330     UINT64_C(2090860793),	// V_CMPX_F_F32_sdwa_vi
12331     UINT64_C(2086666240),	// V_CMPX_F_F64_e32_gfx10
12332     UINT64_C(2086666240),	// V_CMPX_F_F64_e32_gfx6_gfx7
12333     UINT64_C(2095054848),	// V_CMPX_F_F64_e32_vi
12334     UINT64_C(3559915520),	// V_CMPX_F_F64_e64_gfx10
12335     UINT64_C(3495952384),	// V_CMPX_F_F64_e64_gfx6_gfx7
12336     UINT64_C(3497000960),	// V_CMPX_F_F64_e64_vi
12337     UINT64_C(2103443456),	// V_CMPX_F_I16_e32_vi
12338     UINT64_C(3501195264),	// V_CMPX_F_I16_e64_vi
12339     UINT64_C(2103443705),	// V_CMPX_F_I16_sdwa_gfx9
12340     UINT64_C(2103443705),	// V_CMPX_F_I16_sdwa_vi
12341     UINT64_C(2099249152),	// V_CMPX_F_I32_e32_gfx10
12342     UINT64_C(2099249152),	// V_CMPX_F_I32_e32_gfx6_gfx7
12343     UINT64_C(2107637760),	// V_CMPX_F_I32_e32_vi
12344     UINT64_C(3566206976),	// V_CMPX_F_I32_e64_gfx10
12345     UINT64_C(3508535296),	// V_CMPX_F_I32_e64_gfx6_gfx7
12346     UINT64_C(3503292416),	// V_CMPX_F_I32_e64_vi
12347     UINT64_C(2099249401),	// V_CMPX_F_I32_sdwa_gfx10
12348     UINT64_C(2107638009),	// V_CMPX_F_I32_sdwa_gfx9
12349     UINT64_C(2107638009),	// V_CMPX_F_I32_sdwa_vi
12350     UINT64_C(2103443456),	// V_CMPX_F_I64_e32_gfx10
12351     UINT64_C(2103443456),	// V_CMPX_F_I64_e32_gfx6_gfx7
12352     UINT64_C(2111832064),	// V_CMPX_F_I64_e32_vi
12353     UINT64_C(3568304128),	// V_CMPX_F_I64_e64_gfx10
12354     UINT64_C(3512729600),	// V_CMPX_F_I64_e64_gfx6_gfx7
12355     UINT64_C(3505389568),	// V_CMPX_F_I64_e64_vi
12356     UINT64_C(2104492032),	// V_CMPX_F_U16_e32_vi
12357     UINT64_C(3501719552),	// V_CMPX_F_U16_e64_vi
12358     UINT64_C(2104492281),	// V_CMPX_F_U16_sdwa_gfx9
12359     UINT64_C(2104492281),	// V_CMPX_F_U16_sdwa_vi
12360     UINT64_C(2107637760),	// V_CMPX_F_U32_e32_gfx10
12361     UINT64_C(2107637760),	// V_CMPX_F_U32_e32_gfx6_gfx7
12362     UINT64_C(2108686336),	// V_CMPX_F_U32_e32_vi
12363     UINT64_C(3570401280),	// V_CMPX_F_U32_e64_gfx10
12364     UINT64_C(3516923904),	// V_CMPX_F_U32_e64_gfx6_gfx7
12365     UINT64_C(3503816704),	// V_CMPX_F_U32_e64_vi
12366     UINT64_C(2107638009),	// V_CMPX_F_U32_sdwa_gfx10
12367     UINT64_C(2108686585),	// V_CMPX_F_U32_sdwa_gfx9
12368     UINT64_C(2108686585),	// V_CMPX_F_U32_sdwa_vi
12369     UINT64_C(2111832064),	// V_CMPX_F_U64_e32_gfx10
12370     UINT64_C(2111832064),	// V_CMPX_F_U64_e32_gfx6_gfx7
12371     UINT64_C(2112880640),	// V_CMPX_F_U64_e32_vi
12372     UINT64_C(3572498432),	// V_CMPX_F_U64_e64_gfx10
12373     UINT64_C(3521118208),	// V_CMPX_F_U64_e64_gfx6_gfx7
12374     UINT64_C(3505913856),	// V_CMPX_F_U64_e64_vi
12375     UINT64_C(2109472768),	// V_CMPX_GE_F16_e32_gfx10
12376     UINT64_C(2087452672),	// V_CMPX_GE_F16_e32_vi
12377     UINT64_C(3571318784),	// V_CMPX_GE_F16_e64_gfx10
12378     UINT64_C(3493199872),	// V_CMPX_GE_F16_e64_vi
12379     UINT64_C(2109473017),	// V_CMPX_GE_F16_sdwa_gfx10
12380     UINT64_C(2087452921),	// V_CMPX_GE_F16_sdwa_gfx9
12381     UINT64_C(2087452921),	// V_CMPX_GE_F16_sdwa_vi
12382     UINT64_C(2083258368),	// V_CMPX_GE_F32_e32_gfx10
12383     UINT64_C(2083258368),	// V_CMPX_GE_F32_e32_gfx6_gfx7
12384     UINT64_C(2091646976),	// V_CMPX_GE_F32_e32_vi
12385     UINT64_C(3558211584),	// V_CMPX_GE_F32_e64_gfx10
12386     UINT64_C(3492544512),	// V_CMPX_GE_F32_e64_gfx6_gfx7
12387     UINT64_C(3495297024),	// V_CMPX_GE_F32_e64_vi
12388     UINT64_C(2083258617),	// V_CMPX_GE_F32_sdwa_gfx10
12389     UINT64_C(2091647225),	// V_CMPX_GE_F32_sdwa_gfx9
12390     UINT64_C(2091647225),	// V_CMPX_GE_F32_sdwa_vi
12391     UINT64_C(2087452672),	// V_CMPX_GE_F64_e32_gfx10
12392     UINT64_C(2087452672),	// V_CMPX_GE_F64_e32_gfx6_gfx7
12393     UINT64_C(2095841280),	// V_CMPX_GE_F64_e32_vi
12394     UINT64_C(3560308736),	// V_CMPX_GE_F64_e64_gfx10
12395     UINT64_C(3496738816),	// V_CMPX_GE_F64_e64_gfx6_gfx7
12396     UINT64_C(3497394176),	// V_CMPX_GE_F64_e64_vi
12397     UINT64_C(2101084160),	// V_CMPX_GE_I16_e32_gfx10
12398     UINT64_C(2104229888),	// V_CMPX_GE_I16_e32_vi
12399     UINT64_C(3567124480),	// V_CMPX_GE_I16_e64_gfx10
12400     UINT64_C(3501588480),	// V_CMPX_GE_I16_e64_vi
12401     UINT64_C(2101084409),	// V_CMPX_GE_I16_sdwa_gfx10
12402     UINT64_C(2104230137),	// V_CMPX_GE_I16_sdwa_gfx9
12403     UINT64_C(2104230137),	// V_CMPX_GE_I16_sdwa_vi
12404     UINT64_C(2100035584),	// V_CMPX_GE_I32_e32_gfx10
12405     UINT64_C(2100035584),	// V_CMPX_GE_I32_e32_gfx6_gfx7
12406     UINT64_C(2108424192),	// V_CMPX_GE_I32_e32_vi
12407     UINT64_C(3566600192),	// V_CMPX_GE_I32_e64_gfx10
12408     UINT64_C(3509321728),	// V_CMPX_GE_I32_e64_gfx6_gfx7
12409     UINT64_C(3503685632),	// V_CMPX_GE_I32_e64_vi
12410     UINT64_C(2100035833),	// V_CMPX_GE_I32_sdwa_gfx10
12411     UINT64_C(2108424441),	// V_CMPX_GE_I32_sdwa_gfx9
12412     UINT64_C(2108424441),	// V_CMPX_GE_I32_sdwa_vi
12413     UINT64_C(2104229888),	// V_CMPX_GE_I64_e32_gfx10
12414     UINT64_C(2104229888),	// V_CMPX_GE_I64_e32_gfx6_gfx7
12415     UINT64_C(2112618496),	// V_CMPX_GE_I64_e32_vi
12416     UINT64_C(3568697344),	// V_CMPX_GE_I64_e64_gfx10
12417     UINT64_C(3513516032),	// V_CMPX_GE_I64_e64_gfx6_gfx7
12418     UINT64_C(3505782784),	// V_CMPX_GE_I64_e64_vi
12419     UINT64_C(2105278464),	// V_CMPX_GE_U16_e32_gfx10
12420     UINT64_C(2105278464),	// V_CMPX_GE_U16_e32_vi
12421     UINT64_C(3569221632),	// V_CMPX_GE_U16_e64_gfx10
12422     UINT64_C(3502112768),	// V_CMPX_GE_U16_e64_vi
12423     UINT64_C(2105278713),	// V_CMPX_GE_U16_sdwa_gfx10
12424     UINT64_C(2105278713),	// V_CMPX_GE_U16_sdwa_gfx9
12425     UINT64_C(2105278713),	// V_CMPX_GE_U16_sdwa_vi
12426     UINT64_C(2108424192),	// V_CMPX_GE_U32_e32_gfx10
12427     UINT64_C(2108424192),	// V_CMPX_GE_U32_e32_gfx6_gfx7
12428     UINT64_C(2109472768),	// V_CMPX_GE_U32_e32_vi
12429     UINT64_C(3570794496),	// V_CMPX_GE_U32_e64_gfx10
12430     UINT64_C(3517710336),	// V_CMPX_GE_U32_e64_gfx6_gfx7
12431     UINT64_C(3504209920),	// V_CMPX_GE_U32_e64_vi
12432     UINT64_C(2108424441),	// V_CMPX_GE_U32_sdwa_gfx10
12433     UINT64_C(2109473017),	// V_CMPX_GE_U32_sdwa_gfx9
12434     UINT64_C(2109473017),	// V_CMPX_GE_U32_sdwa_vi
12435     UINT64_C(2112618496),	// V_CMPX_GE_U64_e32_gfx10
12436     UINT64_C(2112618496),	// V_CMPX_GE_U64_e32_gfx6_gfx7
12437     UINT64_C(2113667072),	// V_CMPX_GE_U64_e32_vi
12438     UINT64_C(3572891648),	// V_CMPX_GE_U64_e64_gfx10
12439     UINT64_C(3521904640),	// V_CMPX_GE_U64_e64_gfx6_gfx7
12440     UINT64_C(3506307072),	// V_CMPX_GE_U64_e64_vi
12441     UINT64_C(2109210624),	// V_CMPX_GT_F16_e32_gfx10
12442     UINT64_C(2087190528),	// V_CMPX_GT_F16_e32_vi
12443     UINT64_C(3571187712),	// V_CMPX_GT_F16_e64_gfx10
12444     UINT64_C(3493068800),	// V_CMPX_GT_F16_e64_vi
12445     UINT64_C(2109210873),	// V_CMPX_GT_F16_sdwa_gfx10
12446     UINT64_C(2087190777),	// V_CMPX_GT_F16_sdwa_gfx9
12447     UINT64_C(2087190777),	// V_CMPX_GT_F16_sdwa_vi
12448     UINT64_C(2082996224),	// V_CMPX_GT_F32_e32_gfx10
12449     UINT64_C(2082996224),	// V_CMPX_GT_F32_e32_gfx6_gfx7
12450     UINT64_C(2091384832),	// V_CMPX_GT_F32_e32_vi
12451     UINT64_C(3558080512),	// V_CMPX_GT_F32_e64_gfx10
12452     UINT64_C(3492282368),	// V_CMPX_GT_F32_e64_gfx6_gfx7
12453     UINT64_C(3495165952),	// V_CMPX_GT_F32_e64_vi
12454     UINT64_C(2082996473),	// V_CMPX_GT_F32_sdwa_gfx10
12455     UINT64_C(2091385081),	// V_CMPX_GT_F32_sdwa_gfx9
12456     UINT64_C(2091385081),	// V_CMPX_GT_F32_sdwa_vi
12457     UINT64_C(2087190528),	// V_CMPX_GT_F64_e32_gfx10
12458     UINT64_C(2087190528),	// V_CMPX_GT_F64_e32_gfx6_gfx7
12459     UINT64_C(2095579136),	// V_CMPX_GT_F64_e32_vi
12460     UINT64_C(3560177664),	// V_CMPX_GT_F64_e64_gfx10
12461     UINT64_C(3496476672),	// V_CMPX_GT_F64_e64_gfx6_gfx7
12462     UINT64_C(3497263104),	// V_CMPX_GT_F64_e64_vi
12463     UINT64_C(2100822016),	// V_CMPX_GT_I16_e32_gfx10
12464     UINT64_C(2103967744),	// V_CMPX_GT_I16_e32_vi
12465     UINT64_C(3566993408),	// V_CMPX_GT_I16_e64_gfx10
12466     UINT64_C(3501457408),	// V_CMPX_GT_I16_e64_vi
12467     UINT64_C(2100822265),	// V_CMPX_GT_I16_sdwa_gfx10
12468     UINT64_C(2103967993),	// V_CMPX_GT_I16_sdwa_gfx9
12469     UINT64_C(2103967993),	// V_CMPX_GT_I16_sdwa_vi
12470     UINT64_C(2099773440),	// V_CMPX_GT_I32_e32_gfx10
12471     UINT64_C(2099773440),	// V_CMPX_GT_I32_e32_gfx6_gfx7
12472     UINT64_C(2108162048),	// V_CMPX_GT_I32_e32_vi
12473     UINT64_C(3566469120),	// V_CMPX_GT_I32_e64_gfx10
12474     UINT64_C(3509059584),	// V_CMPX_GT_I32_e64_gfx6_gfx7
12475     UINT64_C(3503554560),	// V_CMPX_GT_I32_e64_vi
12476     UINT64_C(2099773689),	// V_CMPX_GT_I32_sdwa_gfx10
12477     UINT64_C(2108162297),	// V_CMPX_GT_I32_sdwa_gfx9
12478     UINT64_C(2108162297),	// V_CMPX_GT_I32_sdwa_vi
12479     UINT64_C(2103967744),	// V_CMPX_GT_I64_e32_gfx10
12480     UINT64_C(2103967744),	// V_CMPX_GT_I64_e32_gfx6_gfx7
12481     UINT64_C(2112356352),	// V_CMPX_GT_I64_e32_vi
12482     UINT64_C(3568566272),	// V_CMPX_GT_I64_e64_gfx10
12483     UINT64_C(3513253888),	// V_CMPX_GT_I64_e64_gfx6_gfx7
12484     UINT64_C(3505651712),	// V_CMPX_GT_I64_e64_vi
12485     UINT64_C(2105016320),	// V_CMPX_GT_U16_e32_gfx10
12486     UINT64_C(2105016320),	// V_CMPX_GT_U16_e32_vi
12487     UINT64_C(3569090560),	// V_CMPX_GT_U16_e64_gfx10
12488     UINT64_C(3501981696),	// V_CMPX_GT_U16_e64_vi
12489     UINT64_C(2105016569),	// V_CMPX_GT_U16_sdwa_gfx10
12490     UINT64_C(2105016569),	// V_CMPX_GT_U16_sdwa_gfx9
12491     UINT64_C(2105016569),	// V_CMPX_GT_U16_sdwa_vi
12492     UINT64_C(2108162048),	// V_CMPX_GT_U32_e32_gfx10
12493     UINT64_C(2108162048),	// V_CMPX_GT_U32_e32_gfx6_gfx7
12494     UINT64_C(2109210624),	// V_CMPX_GT_U32_e32_vi
12495     UINT64_C(3570663424),	// V_CMPX_GT_U32_e64_gfx10
12496     UINT64_C(3517448192),	// V_CMPX_GT_U32_e64_gfx6_gfx7
12497     UINT64_C(3504078848),	// V_CMPX_GT_U32_e64_vi
12498     UINT64_C(2108162297),	// V_CMPX_GT_U32_sdwa_gfx10
12499     UINT64_C(2109210873),	// V_CMPX_GT_U32_sdwa_gfx9
12500     UINT64_C(2109210873),	// V_CMPX_GT_U32_sdwa_vi
12501     UINT64_C(2112356352),	// V_CMPX_GT_U64_e32_gfx10
12502     UINT64_C(2112356352),	// V_CMPX_GT_U64_e32_gfx6_gfx7
12503     UINT64_C(2113404928),	// V_CMPX_GT_U64_e32_vi
12504     UINT64_C(3572760576),	// V_CMPX_GT_U64_e64_gfx10
12505     UINT64_C(3521642496),	// V_CMPX_GT_U64_e64_gfx6_gfx7
12506     UINT64_C(3506176000),	// V_CMPX_GT_U64_e64_vi
12507     UINT64_C(2109079552),	// V_CMPX_LE_F16_e32_gfx10
12508     UINT64_C(2087059456),	// V_CMPX_LE_F16_e32_vi
12509     UINT64_C(3571122176),	// V_CMPX_LE_F16_e64_gfx10
12510     UINT64_C(3493003264),	// V_CMPX_LE_F16_e64_vi
12511     UINT64_C(2109079801),	// V_CMPX_LE_F16_sdwa_gfx10
12512     UINT64_C(2087059705),	// V_CMPX_LE_F16_sdwa_gfx9
12513     UINT64_C(2087059705),	// V_CMPX_LE_F16_sdwa_vi
12514     UINT64_C(2082865152),	// V_CMPX_LE_F32_e32_gfx10
12515     UINT64_C(2082865152),	// V_CMPX_LE_F32_e32_gfx6_gfx7
12516     UINT64_C(2091253760),	// V_CMPX_LE_F32_e32_vi
12517     UINT64_C(3558014976),	// V_CMPX_LE_F32_e64_gfx10
12518     UINT64_C(3492151296),	// V_CMPX_LE_F32_e64_gfx6_gfx7
12519     UINT64_C(3495100416),	// V_CMPX_LE_F32_e64_vi
12520     UINT64_C(2082865401),	// V_CMPX_LE_F32_sdwa_gfx10
12521     UINT64_C(2091254009),	// V_CMPX_LE_F32_sdwa_gfx9
12522     UINT64_C(2091254009),	// V_CMPX_LE_F32_sdwa_vi
12523     UINT64_C(2087059456),	// V_CMPX_LE_F64_e32_gfx10
12524     UINT64_C(2087059456),	// V_CMPX_LE_F64_e32_gfx6_gfx7
12525     UINT64_C(2095448064),	// V_CMPX_LE_F64_e32_vi
12526     UINT64_C(3560112128),	// V_CMPX_LE_F64_e64_gfx10
12527     UINT64_C(3496345600),	// V_CMPX_LE_F64_e64_gfx6_gfx7
12528     UINT64_C(3497197568),	// V_CMPX_LE_F64_e64_vi
12529     UINT64_C(2100690944),	// V_CMPX_LE_I16_e32_gfx10
12530     UINT64_C(2103836672),	// V_CMPX_LE_I16_e32_vi
12531     UINT64_C(3566927872),	// V_CMPX_LE_I16_e64_gfx10
12532     UINT64_C(3501391872),	// V_CMPX_LE_I16_e64_vi
12533     UINT64_C(2100691193),	// V_CMPX_LE_I16_sdwa_gfx10
12534     UINT64_C(2103836921),	// V_CMPX_LE_I16_sdwa_gfx9
12535     UINT64_C(2103836921),	// V_CMPX_LE_I16_sdwa_vi
12536     UINT64_C(2099642368),	// V_CMPX_LE_I32_e32_gfx10
12537     UINT64_C(2099642368),	// V_CMPX_LE_I32_e32_gfx6_gfx7
12538     UINT64_C(2108030976),	// V_CMPX_LE_I32_e32_vi
12539     UINT64_C(3566403584),	// V_CMPX_LE_I32_e64_gfx10
12540     UINT64_C(3508928512),	// V_CMPX_LE_I32_e64_gfx6_gfx7
12541     UINT64_C(3503489024),	// V_CMPX_LE_I32_e64_vi
12542     UINT64_C(2099642617),	// V_CMPX_LE_I32_sdwa_gfx10
12543     UINT64_C(2108031225),	// V_CMPX_LE_I32_sdwa_gfx9
12544     UINT64_C(2108031225),	// V_CMPX_LE_I32_sdwa_vi
12545     UINT64_C(2103836672),	// V_CMPX_LE_I64_e32_gfx10
12546     UINT64_C(2103836672),	// V_CMPX_LE_I64_e32_gfx6_gfx7
12547     UINT64_C(2112225280),	// V_CMPX_LE_I64_e32_vi
12548     UINT64_C(3568500736),	// V_CMPX_LE_I64_e64_gfx10
12549     UINT64_C(3513122816),	// V_CMPX_LE_I64_e64_gfx6_gfx7
12550     UINT64_C(3505586176),	// V_CMPX_LE_I64_e64_vi
12551     UINT64_C(2104885248),	// V_CMPX_LE_U16_e32_gfx10
12552     UINT64_C(2104885248),	// V_CMPX_LE_U16_e32_vi
12553     UINT64_C(3569025024),	// V_CMPX_LE_U16_e64_gfx10
12554     UINT64_C(3501916160),	// V_CMPX_LE_U16_e64_vi
12555     UINT64_C(2104885497),	// V_CMPX_LE_U16_sdwa_gfx10
12556     UINT64_C(2104885497),	// V_CMPX_LE_U16_sdwa_gfx9
12557     UINT64_C(2104885497),	// V_CMPX_LE_U16_sdwa_vi
12558     UINT64_C(2108030976),	// V_CMPX_LE_U32_e32_gfx10
12559     UINT64_C(2108030976),	// V_CMPX_LE_U32_e32_gfx6_gfx7
12560     UINT64_C(2109079552),	// V_CMPX_LE_U32_e32_vi
12561     UINT64_C(3570597888),	// V_CMPX_LE_U32_e64_gfx10
12562     UINT64_C(3517317120),	// V_CMPX_LE_U32_e64_gfx6_gfx7
12563     UINT64_C(3504013312),	// V_CMPX_LE_U32_e64_vi
12564     UINT64_C(2108031225),	// V_CMPX_LE_U32_sdwa_gfx10
12565     UINT64_C(2109079801),	// V_CMPX_LE_U32_sdwa_gfx9
12566     UINT64_C(2109079801),	// V_CMPX_LE_U32_sdwa_vi
12567     UINT64_C(2112225280),	// V_CMPX_LE_U64_e32_gfx10
12568     UINT64_C(2112225280),	// V_CMPX_LE_U64_e32_gfx6_gfx7
12569     UINT64_C(2113273856),	// V_CMPX_LE_U64_e32_vi
12570     UINT64_C(3572695040),	// V_CMPX_LE_U64_e64_gfx10
12571     UINT64_C(3521511424),	// V_CMPX_LE_U64_e64_gfx6_gfx7
12572     UINT64_C(3506110464),	// V_CMPX_LE_U64_e64_vi
12573     UINT64_C(2109341696),	// V_CMPX_LG_F16_e32_gfx10
12574     UINT64_C(2087321600),	// V_CMPX_LG_F16_e32_vi
12575     UINT64_C(3571253248),	// V_CMPX_LG_F16_e64_gfx10
12576     UINT64_C(3493134336),	// V_CMPX_LG_F16_e64_vi
12577     UINT64_C(2109341945),	// V_CMPX_LG_F16_sdwa_gfx10
12578     UINT64_C(2087321849),	// V_CMPX_LG_F16_sdwa_gfx9
12579     UINT64_C(2087321849),	// V_CMPX_LG_F16_sdwa_vi
12580     UINT64_C(2083127296),	// V_CMPX_LG_F32_e32_gfx10
12581     UINT64_C(2083127296),	// V_CMPX_LG_F32_e32_gfx6_gfx7
12582     UINT64_C(2091515904),	// V_CMPX_LG_F32_e32_vi
12583     UINT64_C(3558146048),	// V_CMPX_LG_F32_e64_gfx10
12584     UINT64_C(3492413440),	// V_CMPX_LG_F32_e64_gfx6_gfx7
12585     UINT64_C(3495231488),	// V_CMPX_LG_F32_e64_vi
12586     UINT64_C(2083127545),	// V_CMPX_LG_F32_sdwa_gfx10
12587     UINT64_C(2091516153),	// V_CMPX_LG_F32_sdwa_gfx9
12588     UINT64_C(2091516153),	// V_CMPX_LG_F32_sdwa_vi
12589     UINT64_C(2087321600),	// V_CMPX_LG_F64_e32_gfx10
12590     UINT64_C(2087321600),	// V_CMPX_LG_F64_e32_gfx6_gfx7
12591     UINT64_C(2095710208),	// V_CMPX_LG_F64_e32_vi
12592     UINT64_C(3560243200),	// V_CMPX_LG_F64_e64_gfx10
12593     UINT64_C(3496607744),	// V_CMPX_LG_F64_e64_gfx6_gfx7
12594     UINT64_C(3497328640),	// V_CMPX_LG_F64_e64_vi
12595     UINT64_C(2108817408),	// V_CMPX_LT_F16_e32_gfx10
12596     UINT64_C(2086797312),	// V_CMPX_LT_F16_e32_vi
12597     UINT64_C(3570991104),	// V_CMPX_LT_F16_e64_gfx10
12598     UINT64_C(3492872192),	// V_CMPX_LT_F16_e64_vi
12599     UINT64_C(2108817657),	// V_CMPX_LT_F16_sdwa_gfx10
12600     UINT64_C(2086797561),	// V_CMPX_LT_F16_sdwa_gfx9
12601     UINT64_C(2086797561),	// V_CMPX_LT_F16_sdwa_vi
12602     UINT64_C(2082603008),	// V_CMPX_LT_F32_e32_gfx10
12603     UINT64_C(2082603008),	// V_CMPX_LT_F32_e32_gfx6_gfx7
12604     UINT64_C(2090991616),	// V_CMPX_LT_F32_e32_vi
12605     UINT64_C(3557883904),	// V_CMPX_LT_F32_e64_gfx10
12606     UINT64_C(3491889152),	// V_CMPX_LT_F32_e64_gfx6_gfx7
12607     UINT64_C(3494969344),	// V_CMPX_LT_F32_e64_vi
12608     UINT64_C(2082603257),	// V_CMPX_LT_F32_sdwa_gfx10
12609     UINT64_C(2090991865),	// V_CMPX_LT_F32_sdwa_gfx9
12610     UINT64_C(2090991865),	// V_CMPX_LT_F32_sdwa_vi
12611     UINT64_C(2086797312),	// V_CMPX_LT_F64_e32_gfx10
12612     UINT64_C(2086797312),	// V_CMPX_LT_F64_e32_gfx6_gfx7
12613     UINT64_C(2095185920),	// V_CMPX_LT_F64_e32_vi
12614     UINT64_C(3559981056),	// V_CMPX_LT_F64_e64_gfx10
12615     UINT64_C(3496083456),	// V_CMPX_LT_F64_e64_gfx6_gfx7
12616     UINT64_C(3497066496),	// V_CMPX_LT_F64_e64_vi
12617     UINT64_C(2100428800),	// V_CMPX_LT_I16_e32_gfx10
12618     UINT64_C(2103574528),	// V_CMPX_LT_I16_e32_vi
12619     UINT64_C(3566796800),	// V_CMPX_LT_I16_e64_gfx10
12620     UINT64_C(3501260800),	// V_CMPX_LT_I16_e64_vi
12621     UINT64_C(2100429049),	// V_CMPX_LT_I16_sdwa_gfx10
12622     UINT64_C(2103574777),	// V_CMPX_LT_I16_sdwa_gfx9
12623     UINT64_C(2103574777),	// V_CMPX_LT_I16_sdwa_vi
12624     UINT64_C(2099380224),	// V_CMPX_LT_I32_e32_gfx10
12625     UINT64_C(2099380224),	// V_CMPX_LT_I32_e32_gfx6_gfx7
12626     UINT64_C(2107768832),	// V_CMPX_LT_I32_e32_vi
12627     UINT64_C(3566272512),	// V_CMPX_LT_I32_e64_gfx10
12628     UINT64_C(3508666368),	// V_CMPX_LT_I32_e64_gfx6_gfx7
12629     UINT64_C(3503357952),	// V_CMPX_LT_I32_e64_vi
12630     UINT64_C(2099380473),	// V_CMPX_LT_I32_sdwa_gfx10
12631     UINT64_C(2107769081),	// V_CMPX_LT_I32_sdwa_gfx9
12632     UINT64_C(2107769081),	// V_CMPX_LT_I32_sdwa_vi
12633     UINT64_C(2103574528),	// V_CMPX_LT_I64_e32_gfx10
12634     UINT64_C(2103574528),	// V_CMPX_LT_I64_e32_gfx6_gfx7
12635     UINT64_C(2111963136),	// V_CMPX_LT_I64_e32_vi
12636     UINT64_C(3568369664),	// V_CMPX_LT_I64_e64_gfx10
12637     UINT64_C(3512860672),	// V_CMPX_LT_I64_e64_gfx6_gfx7
12638     UINT64_C(3505455104),	// V_CMPX_LT_I64_e64_vi
12639     UINT64_C(2104623104),	// V_CMPX_LT_U16_e32_gfx10
12640     UINT64_C(2104623104),	// V_CMPX_LT_U16_e32_vi
12641     UINT64_C(3568893952),	// V_CMPX_LT_U16_e64_gfx10
12642     UINT64_C(3501785088),	// V_CMPX_LT_U16_e64_vi
12643     UINT64_C(2104623353),	// V_CMPX_LT_U16_sdwa_gfx10
12644     UINT64_C(2104623353),	// V_CMPX_LT_U16_sdwa_gfx9
12645     UINT64_C(2104623353),	// V_CMPX_LT_U16_sdwa_vi
12646     UINT64_C(2107768832),	// V_CMPX_LT_U32_e32_gfx10
12647     UINT64_C(2107768832),	// V_CMPX_LT_U32_e32_gfx6_gfx7
12648     UINT64_C(2108817408),	// V_CMPX_LT_U32_e32_vi
12649     UINT64_C(3570466816),	// V_CMPX_LT_U32_e64_gfx10
12650     UINT64_C(3517054976),	// V_CMPX_LT_U32_e64_gfx6_gfx7
12651     UINT64_C(3503882240),	// V_CMPX_LT_U32_e64_vi
12652     UINT64_C(2107769081),	// V_CMPX_LT_U32_sdwa_gfx10
12653     UINT64_C(2108817657),	// V_CMPX_LT_U32_sdwa_gfx9
12654     UINT64_C(2108817657),	// V_CMPX_LT_U32_sdwa_vi
12655     UINT64_C(2111963136),	// V_CMPX_LT_U64_e32_gfx10
12656     UINT64_C(2111963136),	// V_CMPX_LT_U64_e32_gfx6_gfx7
12657     UINT64_C(2113011712),	// V_CMPX_LT_U64_e32_vi
12658     UINT64_C(3572563968),	// V_CMPX_LT_U64_e64_gfx10
12659     UINT64_C(3521249280),	// V_CMPX_LT_U64_e64_gfx6_gfx7
12660     UINT64_C(3505979392),	// V_CMPX_LT_U64_e64_vi
12661     UINT64_C(2113536000),	// V_CMPX_NEQ_F16_e32_gfx10
12662     UINT64_C(2088370176),	// V_CMPX_NEQ_F16_e32_vi
12663     UINT64_C(3573350400),	// V_CMPX_NEQ_F16_e64_gfx10
12664     UINT64_C(3493658624),	// V_CMPX_NEQ_F16_e64_vi
12665     UINT64_C(2113536249),	// V_CMPX_NEQ_F16_sdwa_gfx10
12666     UINT64_C(2088370425),	// V_CMPX_NEQ_F16_sdwa_gfx9
12667     UINT64_C(2088370425),	// V_CMPX_NEQ_F16_sdwa_vi
12668     UINT64_C(2084175872),	// V_CMPX_NEQ_F32_e32_gfx10
12669     UINT64_C(2084175872),	// V_CMPX_NEQ_F32_e32_gfx6_gfx7
12670     UINT64_C(2092564480),	// V_CMPX_NEQ_F32_e32_vi
12671     UINT64_C(3558670336),	// V_CMPX_NEQ_F32_e64_gfx10
12672     UINT64_C(3493462016),	// V_CMPX_NEQ_F32_e64_gfx6_gfx7
12673     UINT64_C(3495755776),	// V_CMPX_NEQ_F32_e64_vi
12674     UINT64_C(2084176121),	// V_CMPX_NEQ_F32_sdwa_gfx10
12675     UINT64_C(2092564729),	// V_CMPX_NEQ_F32_sdwa_gfx9
12676     UINT64_C(2092564729),	// V_CMPX_NEQ_F32_sdwa_vi
12677     UINT64_C(2088370176),	// V_CMPX_NEQ_F64_e32_gfx10
12678     UINT64_C(2088370176),	// V_CMPX_NEQ_F64_e32_gfx6_gfx7
12679     UINT64_C(2096758784),	// V_CMPX_NEQ_F64_e32_vi
12680     UINT64_C(3560767488),	// V_CMPX_NEQ_F64_e64_gfx10
12681     UINT64_C(3497656320),	// V_CMPX_NEQ_F64_e64_gfx6_gfx7
12682     UINT64_C(3497852928),	// V_CMPX_NEQ_F64_e64_vi
12683     UINT64_C(2100953088),	// V_CMPX_NE_I16_e32_gfx10
12684     UINT64_C(2104098816),	// V_CMPX_NE_I16_e32_vi
12685     UINT64_C(3567058944),	// V_CMPX_NE_I16_e64_gfx10
12686     UINT64_C(3501522944),	// V_CMPX_NE_I16_e64_vi
12687     UINT64_C(2100953337),	// V_CMPX_NE_I16_sdwa_gfx10
12688     UINT64_C(2104099065),	// V_CMPX_NE_I16_sdwa_gfx9
12689     UINT64_C(2104099065),	// V_CMPX_NE_I16_sdwa_vi
12690     UINT64_C(2099904512),	// V_CMPX_NE_I32_e32_gfx10
12691     UINT64_C(2099904512),	// V_CMPX_NE_I32_e32_gfx6_gfx7
12692     UINT64_C(2108293120),	// V_CMPX_NE_I32_e32_vi
12693     UINT64_C(3566534656),	// V_CMPX_NE_I32_e64_gfx10
12694     UINT64_C(3509190656),	// V_CMPX_NE_I32_e64_gfx6_gfx7
12695     UINT64_C(3503620096),	// V_CMPX_NE_I32_e64_vi
12696     UINT64_C(2099904761),	// V_CMPX_NE_I32_sdwa_gfx10
12697     UINT64_C(2108293369),	// V_CMPX_NE_I32_sdwa_gfx9
12698     UINT64_C(2108293369),	// V_CMPX_NE_I32_sdwa_vi
12699     UINT64_C(2104098816),	// V_CMPX_NE_I64_e32_gfx10
12700     UINT64_C(2104098816),	// V_CMPX_NE_I64_e32_gfx6_gfx7
12701     UINT64_C(2112487424),	// V_CMPX_NE_I64_e32_vi
12702     UINT64_C(3568631808),	// V_CMPX_NE_I64_e64_gfx10
12703     UINT64_C(3513384960),	// V_CMPX_NE_I64_e64_gfx6_gfx7
12704     UINT64_C(3505717248),	// V_CMPX_NE_I64_e64_vi
12705     UINT64_C(2105147392),	// V_CMPX_NE_U16_e32_gfx10
12706     UINT64_C(2105147392),	// V_CMPX_NE_U16_e32_vi
12707     UINT64_C(3569156096),	// V_CMPX_NE_U16_e64_gfx10
12708     UINT64_C(3502047232),	// V_CMPX_NE_U16_e64_vi
12709     UINT64_C(2105147641),	// V_CMPX_NE_U16_sdwa_gfx10
12710     UINT64_C(2105147641),	// V_CMPX_NE_U16_sdwa_gfx9
12711     UINT64_C(2105147641),	// V_CMPX_NE_U16_sdwa_vi
12712     UINT64_C(2108293120),	// V_CMPX_NE_U32_e32_gfx10
12713     UINT64_C(2108293120),	// V_CMPX_NE_U32_e32_gfx6_gfx7
12714     UINT64_C(2109341696),	// V_CMPX_NE_U32_e32_vi
12715     UINT64_C(3570728960),	// V_CMPX_NE_U32_e64_gfx10
12716     UINT64_C(3517579264),	// V_CMPX_NE_U32_e64_gfx6_gfx7
12717     UINT64_C(3504144384),	// V_CMPX_NE_U32_e64_vi
12718     UINT64_C(2108293369),	// V_CMPX_NE_U32_sdwa_gfx10
12719     UINT64_C(2109341945),	// V_CMPX_NE_U32_sdwa_gfx9
12720     UINT64_C(2109341945),	// V_CMPX_NE_U32_sdwa_vi
12721     UINT64_C(2112487424),	// V_CMPX_NE_U64_e32_gfx10
12722     UINT64_C(2112487424),	// V_CMPX_NE_U64_e32_gfx6_gfx7
12723     UINT64_C(2113536000),	// V_CMPX_NE_U64_e32_vi
12724     UINT64_C(3572826112),	// V_CMPX_NE_U64_e64_gfx10
12725     UINT64_C(3521773568),	// V_CMPX_NE_U64_e64_gfx6_gfx7
12726     UINT64_C(3506241536),	// V_CMPX_NE_U64_e64_vi
12727     UINT64_C(2113011712),	// V_CMPX_NGE_F16_e32_gfx10
12728     UINT64_C(2087845888),	// V_CMPX_NGE_F16_e32_vi
12729     UINT64_C(3573088256),	// V_CMPX_NGE_F16_e64_gfx10
12730     UINT64_C(3493396480),	// V_CMPX_NGE_F16_e64_vi
12731     UINT64_C(2113011961),	// V_CMPX_NGE_F16_sdwa_gfx10
12732     UINT64_C(2087846137),	// V_CMPX_NGE_F16_sdwa_gfx9
12733     UINT64_C(2087846137),	// V_CMPX_NGE_F16_sdwa_vi
12734     UINT64_C(2083651584),	// V_CMPX_NGE_F32_e32_gfx10
12735     UINT64_C(2083651584),	// V_CMPX_NGE_F32_e32_gfx6_gfx7
12736     UINT64_C(2092040192),	// V_CMPX_NGE_F32_e32_vi
12737     UINT64_C(3558408192),	// V_CMPX_NGE_F32_e64_gfx10
12738     UINT64_C(3492937728),	// V_CMPX_NGE_F32_e64_gfx6_gfx7
12739     UINT64_C(3495493632),	// V_CMPX_NGE_F32_e64_vi
12740     UINT64_C(2083651833),	// V_CMPX_NGE_F32_sdwa_gfx10
12741     UINT64_C(2092040441),	// V_CMPX_NGE_F32_sdwa_gfx9
12742     UINT64_C(2092040441),	// V_CMPX_NGE_F32_sdwa_vi
12743     UINT64_C(2087845888),	// V_CMPX_NGE_F64_e32_gfx10
12744     UINT64_C(2087845888),	// V_CMPX_NGE_F64_e32_gfx6_gfx7
12745     UINT64_C(2096234496),	// V_CMPX_NGE_F64_e32_vi
12746     UINT64_C(3560505344),	// V_CMPX_NGE_F64_e64_gfx10
12747     UINT64_C(3497132032),	// V_CMPX_NGE_F64_e64_gfx6_gfx7
12748     UINT64_C(3497590784),	// V_CMPX_NGE_F64_e64_vi
12749     UINT64_C(2113273856),	// V_CMPX_NGT_F16_e32_gfx10
12750     UINT64_C(2088108032),	// V_CMPX_NGT_F16_e32_vi
12751     UINT64_C(3573219328),	// V_CMPX_NGT_F16_e64_gfx10
12752     UINT64_C(3493527552),	// V_CMPX_NGT_F16_e64_vi
12753     UINT64_C(2113274105),	// V_CMPX_NGT_F16_sdwa_gfx10
12754     UINT64_C(2088108281),	// V_CMPX_NGT_F16_sdwa_gfx9
12755     UINT64_C(2088108281),	// V_CMPX_NGT_F16_sdwa_vi
12756     UINT64_C(2083913728),	// V_CMPX_NGT_F32_e32_gfx10
12757     UINT64_C(2083913728),	// V_CMPX_NGT_F32_e32_gfx6_gfx7
12758     UINT64_C(2092302336),	// V_CMPX_NGT_F32_e32_vi
12759     UINT64_C(3558539264),	// V_CMPX_NGT_F32_e64_gfx10
12760     UINT64_C(3493199872),	// V_CMPX_NGT_F32_e64_gfx6_gfx7
12761     UINT64_C(3495624704),	// V_CMPX_NGT_F32_e64_vi
12762     UINT64_C(2083913977),	// V_CMPX_NGT_F32_sdwa_gfx10
12763     UINT64_C(2092302585),	// V_CMPX_NGT_F32_sdwa_gfx9
12764     UINT64_C(2092302585),	// V_CMPX_NGT_F32_sdwa_vi
12765     UINT64_C(2088108032),	// V_CMPX_NGT_F64_e32_gfx10
12766     UINT64_C(2088108032),	// V_CMPX_NGT_F64_e32_gfx6_gfx7
12767     UINT64_C(2096496640),	// V_CMPX_NGT_F64_e32_vi
12768     UINT64_C(3560636416),	// V_CMPX_NGT_F64_e64_gfx10
12769     UINT64_C(3497394176),	// V_CMPX_NGT_F64_e64_gfx6_gfx7
12770     UINT64_C(3497721856),	// V_CMPX_NGT_F64_e64_vi
12771     UINT64_C(2113404928),	// V_CMPX_NLE_F16_e32_gfx10
12772     UINT64_C(2088239104),	// V_CMPX_NLE_F16_e32_vi
12773     UINT64_C(3573284864),	// V_CMPX_NLE_F16_e64_gfx10
12774     UINT64_C(3493593088),	// V_CMPX_NLE_F16_e64_vi
12775     UINT64_C(2113405177),	// V_CMPX_NLE_F16_sdwa_gfx10
12776     UINT64_C(2088239353),	// V_CMPX_NLE_F16_sdwa_gfx9
12777     UINT64_C(2088239353),	// V_CMPX_NLE_F16_sdwa_vi
12778     UINT64_C(2084044800),	// V_CMPX_NLE_F32_e32_gfx10
12779     UINT64_C(2084044800),	// V_CMPX_NLE_F32_e32_gfx6_gfx7
12780     UINT64_C(2092433408),	// V_CMPX_NLE_F32_e32_vi
12781     UINT64_C(3558604800),	// V_CMPX_NLE_F32_e64_gfx10
12782     UINT64_C(3493330944),	// V_CMPX_NLE_F32_e64_gfx6_gfx7
12783     UINT64_C(3495690240),	// V_CMPX_NLE_F32_e64_vi
12784     UINT64_C(2084045049),	// V_CMPX_NLE_F32_sdwa_gfx10
12785     UINT64_C(2092433657),	// V_CMPX_NLE_F32_sdwa_gfx9
12786     UINT64_C(2092433657),	// V_CMPX_NLE_F32_sdwa_vi
12787     UINT64_C(2088239104),	// V_CMPX_NLE_F64_e32_gfx10
12788     UINT64_C(2088239104),	// V_CMPX_NLE_F64_e32_gfx6_gfx7
12789     UINT64_C(2096627712),	// V_CMPX_NLE_F64_e32_vi
12790     UINT64_C(3560701952),	// V_CMPX_NLE_F64_e64_gfx10
12791     UINT64_C(3497525248),	// V_CMPX_NLE_F64_e64_gfx6_gfx7
12792     UINT64_C(3497787392),	// V_CMPX_NLE_F64_e64_vi
12793     UINT64_C(2113142784),	// V_CMPX_NLG_F16_e32_gfx10
12794     UINT64_C(2087976960),	// V_CMPX_NLG_F16_e32_vi
12795     UINT64_C(3573153792),	// V_CMPX_NLG_F16_e64_gfx10
12796     UINT64_C(3493462016),	// V_CMPX_NLG_F16_e64_vi
12797     UINT64_C(2113143033),	// V_CMPX_NLG_F16_sdwa_gfx10
12798     UINT64_C(2087977209),	// V_CMPX_NLG_F16_sdwa_gfx9
12799     UINT64_C(2087977209),	// V_CMPX_NLG_F16_sdwa_vi
12800     UINT64_C(2083782656),	// V_CMPX_NLG_F32_e32_gfx10
12801     UINT64_C(2083782656),	// V_CMPX_NLG_F32_e32_gfx6_gfx7
12802     UINT64_C(2092171264),	// V_CMPX_NLG_F32_e32_vi
12803     UINT64_C(3558473728),	// V_CMPX_NLG_F32_e64_gfx10
12804     UINT64_C(3493068800),	// V_CMPX_NLG_F32_e64_gfx6_gfx7
12805     UINT64_C(3495559168),	// V_CMPX_NLG_F32_e64_vi
12806     UINT64_C(2083782905),	// V_CMPX_NLG_F32_sdwa_gfx10
12807     UINT64_C(2092171513),	// V_CMPX_NLG_F32_sdwa_gfx9
12808     UINT64_C(2092171513),	// V_CMPX_NLG_F32_sdwa_vi
12809     UINT64_C(2087976960),	// V_CMPX_NLG_F64_e32_gfx10
12810     UINT64_C(2087976960),	// V_CMPX_NLG_F64_e32_gfx6_gfx7
12811     UINT64_C(2096365568),	// V_CMPX_NLG_F64_e32_vi
12812     UINT64_C(3560570880),	// V_CMPX_NLG_F64_e64_gfx10
12813     UINT64_C(3497263104),	// V_CMPX_NLG_F64_e64_gfx6_gfx7
12814     UINT64_C(3497656320),	// V_CMPX_NLG_F64_e64_vi
12815     UINT64_C(2113667072),	// V_CMPX_NLT_F16_e32_gfx10
12816     UINT64_C(2088501248),	// V_CMPX_NLT_F16_e32_vi
12817     UINT64_C(3573415936),	// V_CMPX_NLT_F16_e64_gfx10
12818     UINT64_C(3493724160),	// V_CMPX_NLT_F16_e64_vi
12819     UINT64_C(2113667321),	// V_CMPX_NLT_F16_sdwa_gfx10
12820     UINT64_C(2088501497),	// V_CMPX_NLT_F16_sdwa_gfx9
12821     UINT64_C(2088501497),	// V_CMPX_NLT_F16_sdwa_vi
12822     UINT64_C(2084306944),	// V_CMPX_NLT_F32_e32_gfx10
12823     UINT64_C(2084306944),	// V_CMPX_NLT_F32_e32_gfx6_gfx7
12824     UINT64_C(2092695552),	// V_CMPX_NLT_F32_e32_vi
12825     UINT64_C(3558735872),	// V_CMPX_NLT_F32_e64_gfx10
12826     UINT64_C(3493593088),	// V_CMPX_NLT_F32_e64_gfx6_gfx7
12827     UINT64_C(3495821312),	// V_CMPX_NLT_F32_e64_vi
12828     UINT64_C(2084307193),	// V_CMPX_NLT_F32_sdwa_gfx10
12829     UINT64_C(2092695801),	// V_CMPX_NLT_F32_sdwa_gfx9
12830     UINT64_C(2092695801),	// V_CMPX_NLT_F32_sdwa_vi
12831     UINT64_C(2088501248),	// V_CMPX_NLT_F64_e32_gfx10
12832     UINT64_C(2088501248),	// V_CMPX_NLT_F64_e32_gfx6_gfx7
12833     UINT64_C(2096889856),	// V_CMPX_NLT_F64_e32_vi
12834     UINT64_C(3560833024),	// V_CMPX_NLT_F64_e64_gfx10
12835     UINT64_C(3497787392),	// V_CMPX_NLT_F64_e64_gfx6_gfx7
12836     UINT64_C(3497918464),	// V_CMPX_NLT_F64_e64_vi
12837     UINT64_C(2109603840),	// V_CMPX_O_F16_e32_gfx10
12838     UINT64_C(2087583744),	// V_CMPX_O_F16_e32_vi
12839     UINT64_C(3571384320),	// V_CMPX_O_F16_e64_gfx10
12840     UINT64_C(3493265408),	// V_CMPX_O_F16_e64_vi
12841     UINT64_C(2109604089),	// V_CMPX_O_F16_sdwa_gfx10
12842     UINT64_C(2087583993),	// V_CMPX_O_F16_sdwa_gfx9
12843     UINT64_C(2087583993),	// V_CMPX_O_F16_sdwa_vi
12844     UINT64_C(2083389440),	// V_CMPX_O_F32_e32_gfx10
12845     UINT64_C(2083389440),	// V_CMPX_O_F32_e32_gfx6_gfx7
12846     UINT64_C(2091778048),	// V_CMPX_O_F32_e32_vi
12847     UINT64_C(3558277120),	// V_CMPX_O_F32_e64_gfx10
12848     UINT64_C(3492675584),	// V_CMPX_O_F32_e64_gfx6_gfx7
12849     UINT64_C(3495362560),	// V_CMPX_O_F32_e64_vi
12850     UINT64_C(2083389689),	// V_CMPX_O_F32_sdwa_gfx10
12851     UINT64_C(2091778297),	// V_CMPX_O_F32_sdwa_gfx9
12852     UINT64_C(2091778297),	// V_CMPX_O_F32_sdwa_vi
12853     UINT64_C(2087583744),	// V_CMPX_O_F64_e32_gfx10
12854     UINT64_C(2087583744),	// V_CMPX_O_F64_e32_gfx6_gfx7
12855     UINT64_C(2095972352),	// V_CMPX_O_F64_e32_vi
12856     UINT64_C(3560374272),	// V_CMPX_O_F64_e64_gfx10
12857     UINT64_C(3496869888),	// V_CMPX_O_F64_e64_gfx6_gfx7
12858     UINT64_C(3497459712),	// V_CMPX_O_F64_e64_vi
12859     UINT64_C(2113798144),	// V_CMPX_TRU_F16_e32_gfx10
12860     UINT64_C(2088632320),	// V_CMPX_TRU_F16_e32_vi
12861     UINT64_C(3573481472),	// V_CMPX_TRU_F16_e64_gfx10
12862     UINT64_C(3493789696),	// V_CMPX_TRU_F16_e64_vi
12863     UINT64_C(2113798393),	// V_CMPX_TRU_F16_sdwa_gfx10
12864     UINT64_C(2088632569),	// V_CMPX_TRU_F16_sdwa_gfx9
12865     UINT64_C(2088632569),	// V_CMPX_TRU_F16_sdwa_vi
12866     UINT64_C(2084438016),	// V_CMPX_TRU_F32_e32_gfx10
12867     UINT64_C(2084438016),	// V_CMPX_TRU_F32_e32_gfx6_gfx7
12868     UINT64_C(2092826624),	// V_CMPX_TRU_F32_e32_vi
12869     UINT64_C(3558801408),	// V_CMPX_TRU_F32_e64_gfx10
12870     UINT64_C(3493724160),	// V_CMPX_TRU_F32_e64_gfx6_gfx7
12871     UINT64_C(3495886848),	// V_CMPX_TRU_F32_e64_vi
12872     UINT64_C(2084438265),	// V_CMPX_TRU_F32_sdwa_gfx10
12873     UINT64_C(2092826873),	// V_CMPX_TRU_F32_sdwa_gfx9
12874     UINT64_C(2092826873),	// V_CMPX_TRU_F32_sdwa_vi
12875     UINT64_C(2088632320),	// V_CMPX_TRU_F64_e32_gfx10
12876     UINT64_C(2088632320),	// V_CMPX_TRU_F64_e32_gfx6_gfx7
12877     UINT64_C(2097020928),	// V_CMPX_TRU_F64_e32_vi
12878     UINT64_C(3560898560),	// V_CMPX_TRU_F64_e64_gfx10
12879     UINT64_C(3497918464),	// V_CMPX_TRU_F64_e64_gfx6_gfx7
12880     UINT64_C(3497984000),	// V_CMPX_TRU_F64_e64_vi
12881     UINT64_C(2104360960),	// V_CMPX_T_I16_e32_vi
12882     UINT64_C(3501654016),	// V_CMPX_T_I16_e64_vi
12883     UINT64_C(2104361209),	// V_CMPX_T_I16_sdwa_gfx9
12884     UINT64_C(2104361209),	// V_CMPX_T_I16_sdwa_vi
12885     UINT64_C(2100166656),	// V_CMPX_T_I32_e32_gfx10
12886     UINT64_C(2100166656),	// V_CMPX_T_I32_e32_gfx6_gfx7
12887     UINT64_C(2108555264),	// V_CMPX_T_I32_e32_vi
12888     UINT64_C(3566665728),	// V_CMPX_T_I32_e64_gfx10
12889     UINT64_C(3509452800),	// V_CMPX_T_I32_e64_gfx6_gfx7
12890     UINT64_C(3503751168),	// V_CMPX_T_I32_e64_vi
12891     UINT64_C(2100166905),	// V_CMPX_T_I32_sdwa_gfx10
12892     UINT64_C(2108555513),	// V_CMPX_T_I32_sdwa_gfx9
12893     UINT64_C(2108555513),	// V_CMPX_T_I32_sdwa_vi
12894     UINT64_C(2104360960),	// V_CMPX_T_I64_e32_gfx10
12895     UINT64_C(2104360960),	// V_CMPX_T_I64_e32_gfx6_gfx7
12896     UINT64_C(2112749568),	// V_CMPX_T_I64_e32_vi
12897     UINT64_C(3568762880),	// V_CMPX_T_I64_e64_gfx10
12898     UINT64_C(3513647104),	// V_CMPX_T_I64_e64_gfx6_gfx7
12899     UINT64_C(3505848320),	// V_CMPX_T_I64_e64_vi
12900     UINT64_C(2105409536),	// V_CMPX_T_U16_e32_vi
12901     UINT64_C(3502178304),	// V_CMPX_T_U16_e64_vi
12902     UINT64_C(2105409785),	// V_CMPX_T_U16_sdwa_gfx9
12903     UINT64_C(2105409785),	// V_CMPX_T_U16_sdwa_vi
12904     UINT64_C(2108555264),	// V_CMPX_T_U32_e32_gfx10
12905     UINT64_C(2108555264),	// V_CMPX_T_U32_e32_gfx6_gfx7
12906     UINT64_C(2109603840),	// V_CMPX_T_U32_e32_vi
12907     UINT64_C(3570860032),	// V_CMPX_T_U32_e64_gfx10
12908     UINT64_C(3517841408),	// V_CMPX_T_U32_e64_gfx6_gfx7
12909     UINT64_C(3504275456),	// V_CMPX_T_U32_e64_vi
12910     UINT64_C(2108555513),	// V_CMPX_T_U32_sdwa_gfx10
12911     UINT64_C(2109604089),	// V_CMPX_T_U32_sdwa_gfx9
12912     UINT64_C(2109604089),	// V_CMPX_T_U32_sdwa_vi
12913     UINT64_C(2112749568),	// V_CMPX_T_U64_e32_gfx10
12914     UINT64_C(2112749568),	// V_CMPX_T_U64_e32_gfx6_gfx7
12915     UINT64_C(2113798144),	// V_CMPX_T_U64_e32_vi
12916     UINT64_C(3572957184),	// V_CMPX_T_U64_e64_gfx10
12917     UINT64_C(3522035712),	// V_CMPX_T_U64_e64_gfx6_gfx7
12918     UINT64_C(3506372608),	// V_CMPX_T_U64_e64_vi
12919     UINT64_C(2112880640),	// V_CMPX_U_F16_e32_gfx10
12920     UINT64_C(2087714816),	// V_CMPX_U_F16_e32_vi
12921     UINT64_C(3573022720),	// V_CMPX_U_F16_e64_gfx10
12922     UINT64_C(3493330944),	// V_CMPX_U_F16_e64_vi
12923     UINT64_C(2112880889),	// V_CMPX_U_F16_sdwa_gfx10
12924     UINT64_C(2087715065),	// V_CMPX_U_F16_sdwa_gfx9
12925     UINT64_C(2087715065),	// V_CMPX_U_F16_sdwa_vi
12926     UINT64_C(2083520512),	// V_CMPX_U_F32_e32_gfx10
12927     UINT64_C(2083520512),	// V_CMPX_U_F32_e32_gfx6_gfx7
12928     UINT64_C(2091909120),	// V_CMPX_U_F32_e32_vi
12929     UINT64_C(3558342656),	// V_CMPX_U_F32_e64_gfx10
12930     UINT64_C(3492806656),	// V_CMPX_U_F32_e64_gfx6_gfx7
12931     UINT64_C(3495428096),	// V_CMPX_U_F32_e64_vi
12932     UINT64_C(2083520761),	// V_CMPX_U_F32_sdwa_gfx10
12933     UINT64_C(2091909369),	// V_CMPX_U_F32_sdwa_gfx9
12934     UINT64_C(2091909369),	// V_CMPX_U_F32_sdwa_vi
12935     UINT64_C(2087714816),	// V_CMPX_U_F64_e32_gfx10
12936     UINT64_C(2087714816),	// V_CMPX_U_F64_e32_gfx6_gfx7
12937     UINT64_C(2096103424),	// V_CMPX_U_F64_e32_vi
12938     UINT64_C(3560439808),	// V_CMPX_U_F64_e64_gfx10
12939     UINT64_C(3497000960),	// V_CMPX_U_F64_e64_gfx6_gfx7
12940     UINT64_C(3497525248),	// V_CMPX_U_F64_e64_vi
12941     UINT64_C(2099118080),	// V_CMP_CLASS_F16_e32_gfx10
12942     UINT64_C(2082996224),	// V_CMP_CLASS_F16_e32_vi
12943     UINT64_C(3566141440),	// V_CMP_CLASS_F16_e64_gfx10
12944     UINT64_C(3490971648),	// V_CMP_CLASS_F16_e64_vi
12945     UINT64_C(2099118329),	// V_CMP_CLASS_F16_sdwa_gfx10
12946     UINT64_C(2082996473),	// V_CMP_CLASS_F16_sdwa_gfx9
12947     UINT64_C(2082996473),	// V_CMP_CLASS_F16_sdwa_vi
12948     UINT64_C(2098200576),	// V_CMP_CLASS_F32_e32_gfx10
12949     UINT64_C(2098200576),	// V_CMP_CLASS_F32_e32_gfx6_gfx7
12950     UINT64_C(2082471936),	// V_CMP_CLASS_F32_e32_vi
12951     UINT64_C(3565682688),	// V_CMP_CLASS_F32_e64_gfx10
12952     UINT64_C(3507486720),	// V_CMP_CLASS_F32_e64_gfx6_gfx7
12953     UINT64_C(3490709504),	// V_CMP_CLASS_F32_e64_vi
12954     UINT64_C(2098200825),	// V_CMP_CLASS_F32_sdwa_gfx10
12955     UINT64_C(2082472185),	// V_CMP_CLASS_F32_sdwa_gfx9
12956     UINT64_C(2082472185),	// V_CMP_CLASS_F32_sdwa_vi
12957     UINT64_C(2102394880),	// V_CMP_CLASS_F64_e32_gfx10
12958     UINT64_C(2102394880),	// V_CMP_CLASS_F64_e32_gfx6_gfx7
12959     UINT64_C(2082734080),	// V_CMP_CLASS_F64_e32_vi
12960     UINT64_C(3567779840),	// V_CMP_CLASS_F64_e64_gfx10
12961     UINT64_C(3511681024),	// V_CMP_CLASS_F64_e64_gfx6_gfx7
12962     UINT64_C(3490840576),	// V_CMP_CLASS_F64_e64_vi
12963     UINT64_C(2106851328),	// V_CMP_EQ_F16_e32_gfx10
12964     UINT64_C(2084831232),	// V_CMP_EQ_F16_e32_vi
12965     UINT64_C(3570008064),	// V_CMP_EQ_F16_e64_gfx10
12966     UINT64_C(3491889152),	// V_CMP_EQ_F16_e64_vi
12967     UINT64_C(2106851577),	// V_CMP_EQ_F16_sdwa_gfx10
12968     UINT64_C(2084831481),	// V_CMP_EQ_F16_sdwa_gfx9
12969     UINT64_C(2084831481),	// V_CMP_EQ_F16_sdwa_vi
12970     UINT64_C(2080636928),	// V_CMP_EQ_F32_e32_gfx10
12971     UINT64_C(2080636928),	// V_CMP_EQ_F32_e32_gfx6_gfx7
12972     UINT64_C(2089025536),	// V_CMP_EQ_F32_e32_vi
12973     UINT64_C(3556900864),	// V_CMP_EQ_F32_e64_gfx10
12974     UINT64_C(3489923072),	// V_CMP_EQ_F32_e64_gfx6_gfx7
12975     UINT64_C(3493986304),	// V_CMP_EQ_F32_e64_vi
12976     UINT64_C(2080637177),	// V_CMP_EQ_F32_sdwa_gfx10
12977     UINT64_C(2089025785),	// V_CMP_EQ_F32_sdwa_gfx9
12978     UINT64_C(2089025785),	// V_CMP_EQ_F32_sdwa_vi
12979     UINT64_C(2084831232),	// V_CMP_EQ_F64_e32_gfx10
12980     UINT64_C(2084831232),	// V_CMP_EQ_F64_e32_gfx6_gfx7
12981     UINT64_C(2093219840),	// V_CMP_EQ_F64_e32_vi
12982     UINT64_C(3558998016),	// V_CMP_EQ_F64_e64_gfx10
12983     UINT64_C(3494117376),	// V_CMP_EQ_F64_e64_gfx6_gfx7
12984     UINT64_C(3496083456),	// V_CMP_EQ_F64_e64_vi
12985     UINT64_C(2098462720),	// V_CMP_EQ_I16_e32_gfx10
12986     UINT64_C(2101608448),	// V_CMP_EQ_I16_e32_vi
12987     UINT64_C(3565813760),	// V_CMP_EQ_I16_e64_gfx10
12988     UINT64_C(3500277760),	// V_CMP_EQ_I16_e64_vi
12989     UINT64_C(2098462969),	// V_CMP_EQ_I16_sdwa_gfx10
12990     UINT64_C(2101608697),	// V_CMP_EQ_I16_sdwa_gfx9
12991     UINT64_C(2101608697),	// V_CMP_EQ_I16_sdwa_vi
12992     UINT64_C(2097414144),	// V_CMP_EQ_I32_e32_gfx10
12993     UINT64_C(2097414144),	// V_CMP_EQ_I32_e32_gfx6_gfx7
12994     UINT64_C(2105802752),	// V_CMP_EQ_I32_e32_vi
12995     UINT64_C(3565289472),	// V_CMP_EQ_I32_e64_gfx10
12996     UINT64_C(3506700288),	// V_CMP_EQ_I32_e64_gfx6_gfx7
12997     UINT64_C(3502374912),	// V_CMP_EQ_I32_e64_vi
12998     UINT64_C(2097414393),	// V_CMP_EQ_I32_sdwa_gfx10
12999     UINT64_C(2105803001),	// V_CMP_EQ_I32_sdwa_gfx9
13000     UINT64_C(2105803001),	// V_CMP_EQ_I32_sdwa_vi
13001     UINT64_C(2101608448),	// V_CMP_EQ_I64_e32_gfx10
13002     UINT64_C(2101608448),	// V_CMP_EQ_I64_e32_gfx6_gfx7
13003     UINT64_C(2109997056),	// V_CMP_EQ_I64_e32_vi
13004     UINT64_C(3567386624),	// V_CMP_EQ_I64_e64_gfx10
13005     UINT64_C(3510894592),	// V_CMP_EQ_I64_e64_gfx6_gfx7
13006     UINT64_C(3504472064),	// V_CMP_EQ_I64_e64_vi
13007     UINT64_C(2102657024),	// V_CMP_EQ_U16_e32_gfx10
13008     UINT64_C(2102657024),	// V_CMP_EQ_U16_e32_vi
13009     UINT64_C(3567910912),	// V_CMP_EQ_U16_e64_gfx10
13010     UINT64_C(3500802048),	// V_CMP_EQ_U16_e64_vi
13011     UINT64_C(2102657273),	// V_CMP_EQ_U16_sdwa_gfx10
13012     UINT64_C(2102657273),	// V_CMP_EQ_U16_sdwa_gfx9
13013     UINT64_C(2102657273),	// V_CMP_EQ_U16_sdwa_vi
13014     UINT64_C(2105802752),	// V_CMP_EQ_U32_e32_gfx10
13015     UINT64_C(2105802752),	// V_CMP_EQ_U32_e32_gfx6_gfx7
13016     UINT64_C(2106851328),	// V_CMP_EQ_U32_e32_vi
13017     UINT64_C(3569483776),	// V_CMP_EQ_U32_e64_gfx10
13018     UINT64_C(3515088896),	// V_CMP_EQ_U32_e64_gfx6_gfx7
13019     UINT64_C(3502899200),	// V_CMP_EQ_U32_e64_vi
13020     UINT64_C(2105803001),	// V_CMP_EQ_U32_sdwa_gfx10
13021     UINT64_C(2106851577),	// V_CMP_EQ_U32_sdwa_gfx9
13022     UINT64_C(2106851577),	// V_CMP_EQ_U32_sdwa_vi
13023     UINT64_C(2109997056),	// V_CMP_EQ_U64_e32_gfx10
13024     UINT64_C(2109997056),	// V_CMP_EQ_U64_e32_gfx6_gfx7
13025     UINT64_C(2111045632),	// V_CMP_EQ_U64_e32_vi
13026     UINT64_C(3571580928),	// V_CMP_EQ_U64_e64_gfx10
13027     UINT64_C(3519283200),	// V_CMP_EQ_U64_e64_gfx6_gfx7
13028     UINT64_C(3504996352),	// V_CMP_EQ_U64_e64_vi
13029     UINT64_C(2106589184),	// V_CMP_F_F16_e32_gfx10
13030     UINT64_C(2084569088),	// V_CMP_F_F16_e32_vi
13031     UINT64_C(3569876992),	// V_CMP_F_F16_e64_gfx10
13032     UINT64_C(3491758080),	// V_CMP_F_F16_e64_vi
13033     UINT64_C(2106589433),	// V_CMP_F_F16_sdwa_gfx10
13034     UINT64_C(2084569337),	// V_CMP_F_F16_sdwa_gfx9
13035     UINT64_C(2084569337),	// V_CMP_F_F16_sdwa_vi
13036     UINT64_C(2080374784),	// V_CMP_F_F32_e32_gfx10
13037     UINT64_C(2080374784),	// V_CMP_F_F32_e32_gfx6_gfx7
13038     UINT64_C(2088763392),	// V_CMP_F_F32_e32_vi
13039     UINT64_C(3556769792),	// V_CMP_F_F32_e64_gfx10
13040     UINT64_C(3489660928),	// V_CMP_F_F32_e64_gfx6_gfx7
13041     UINT64_C(3493855232),	// V_CMP_F_F32_e64_vi
13042     UINT64_C(2080375033),	// V_CMP_F_F32_sdwa_gfx10
13043     UINT64_C(2088763641),	// V_CMP_F_F32_sdwa_gfx9
13044     UINT64_C(2088763641),	// V_CMP_F_F32_sdwa_vi
13045     UINT64_C(2084569088),	// V_CMP_F_F64_e32_gfx10
13046     UINT64_C(2084569088),	// V_CMP_F_F64_e32_gfx6_gfx7
13047     UINT64_C(2092957696),	// V_CMP_F_F64_e32_vi
13048     UINT64_C(3558866944),	// V_CMP_F_F64_e64_gfx10
13049     UINT64_C(3493855232),	// V_CMP_F_F64_e64_gfx6_gfx7
13050     UINT64_C(3495952384),	// V_CMP_F_F64_e64_vi
13051     UINT64_C(2101346304),	// V_CMP_F_I16_e32_vi
13052     UINT64_C(3500146688),	// V_CMP_F_I16_e64_vi
13053     UINT64_C(2101346553),	// V_CMP_F_I16_sdwa_gfx9
13054     UINT64_C(2101346553),	// V_CMP_F_I16_sdwa_vi
13055     UINT64_C(2097152000),	// V_CMP_F_I32_e32_gfx10
13056     UINT64_C(2097152000),	// V_CMP_F_I32_e32_gfx6_gfx7
13057     UINT64_C(2105540608),	// V_CMP_F_I32_e32_vi
13058     UINT64_C(3565158400),	// V_CMP_F_I32_e64_gfx10
13059     UINT64_C(3506438144),	// V_CMP_F_I32_e64_gfx6_gfx7
13060     UINT64_C(3502243840),	// V_CMP_F_I32_e64_vi
13061     UINT64_C(2097152249),	// V_CMP_F_I32_sdwa_gfx10
13062     UINT64_C(2105540857),	// V_CMP_F_I32_sdwa_gfx9
13063     UINT64_C(2105540857),	// V_CMP_F_I32_sdwa_vi
13064     UINT64_C(2101346304),	// V_CMP_F_I64_e32_gfx10
13065     UINT64_C(2101346304),	// V_CMP_F_I64_e32_gfx6_gfx7
13066     UINT64_C(2109734912),	// V_CMP_F_I64_e32_vi
13067     UINT64_C(3567255552),	// V_CMP_F_I64_e64_gfx10
13068     UINT64_C(3510632448),	// V_CMP_F_I64_e64_gfx6_gfx7
13069     UINT64_C(3504340992),	// V_CMP_F_I64_e64_vi
13070     UINT64_C(2102394880),	// V_CMP_F_U16_e32_vi
13071     UINT64_C(3500670976),	// V_CMP_F_U16_e64_vi
13072     UINT64_C(2102395129),	// V_CMP_F_U16_sdwa_gfx9
13073     UINT64_C(2102395129),	// V_CMP_F_U16_sdwa_vi
13074     UINT64_C(2105540608),	// V_CMP_F_U32_e32_gfx10
13075     UINT64_C(2105540608),	// V_CMP_F_U32_e32_gfx6_gfx7
13076     UINT64_C(2106589184),	// V_CMP_F_U32_e32_vi
13077     UINT64_C(3569352704),	// V_CMP_F_U32_e64_gfx10
13078     UINT64_C(3514826752),	// V_CMP_F_U32_e64_gfx6_gfx7
13079     UINT64_C(3502768128),	// V_CMP_F_U32_e64_vi
13080     UINT64_C(2105540857),	// V_CMP_F_U32_sdwa_gfx10
13081     UINT64_C(2106589433),	// V_CMP_F_U32_sdwa_gfx9
13082     UINT64_C(2106589433),	// V_CMP_F_U32_sdwa_vi
13083     UINT64_C(2109734912),	// V_CMP_F_U64_e32_gfx10
13084     UINT64_C(2109734912),	// V_CMP_F_U64_e32_gfx6_gfx7
13085     UINT64_C(2110783488),	// V_CMP_F_U64_e32_vi
13086     UINT64_C(3571449856),	// V_CMP_F_U64_e64_gfx10
13087     UINT64_C(3519021056),	// V_CMP_F_U64_e64_gfx6_gfx7
13088     UINT64_C(3504865280),	// V_CMP_F_U64_e64_vi
13089     UINT64_C(2107375616),	// V_CMP_GE_F16_e32_gfx10
13090     UINT64_C(2085355520),	// V_CMP_GE_F16_e32_vi
13091     UINT64_C(3570270208),	// V_CMP_GE_F16_e64_gfx10
13092     UINT64_C(3492151296),	// V_CMP_GE_F16_e64_vi
13093     UINT64_C(2107375865),	// V_CMP_GE_F16_sdwa_gfx10
13094     UINT64_C(2085355769),	// V_CMP_GE_F16_sdwa_gfx9
13095     UINT64_C(2085355769),	// V_CMP_GE_F16_sdwa_vi
13096     UINT64_C(2081161216),	// V_CMP_GE_F32_e32_gfx10
13097     UINT64_C(2081161216),	// V_CMP_GE_F32_e32_gfx6_gfx7
13098     UINT64_C(2089549824),	// V_CMP_GE_F32_e32_vi
13099     UINT64_C(3557163008),	// V_CMP_GE_F32_e64_gfx10
13100     UINT64_C(3490447360),	// V_CMP_GE_F32_e64_gfx6_gfx7
13101     UINT64_C(3494248448),	// V_CMP_GE_F32_e64_vi
13102     UINT64_C(2081161465),	// V_CMP_GE_F32_sdwa_gfx10
13103     UINT64_C(2089550073),	// V_CMP_GE_F32_sdwa_gfx9
13104     UINT64_C(2089550073),	// V_CMP_GE_F32_sdwa_vi
13105     UINT64_C(2085355520),	// V_CMP_GE_F64_e32_gfx10
13106     UINT64_C(2085355520),	// V_CMP_GE_F64_e32_gfx6_gfx7
13107     UINT64_C(2093744128),	// V_CMP_GE_F64_e32_vi
13108     UINT64_C(3559260160),	// V_CMP_GE_F64_e64_gfx10
13109     UINT64_C(3494641664),	// V_CMP_GE_F64_e64_gfx6_gfx7
13110     UINT64_C(3496345600),	// V_CMP_GE_F64_e64_vi
13111     UINT64_C(2098987008),	// V_CMP_GE_I16_e32_gfx10
13112     UINT64_C(2102132736),	// V_CMP_GE_I16_e32_vi
13113     UINT64_C(3566075904),	// V_CMP_GE_I16_e64_gfx10
13114     UINT64_C(3500539904),	// V_CMP_GE_I16_e64_vi
13115     UINT64_C(2098987257),	// V_CMP_GE_I16_sdwa_gfx10
13116     UINT64_C(2102132985),	// V_CMP_GE_I16_sdwa_gfx9
13117     UINT64_C(2102132985),	// V_CMP_GE_I16_sdwa_vi
13118     UINT64_C(2097938432),	// V_CMP_GE_I32_e32_gfx10
13119     UINT64_C(2097938432),	// V_CMP_GE_I32_e32_gfx6_gfx7
13120     UINT64_C(2106327040),	// V_CMP_GE_I32_e32_vi
13121     UINT64_C(3565551616),	// V_CMP_GE_I32_e64_gfx10
13122     UINT64_C(3507224576),	// V_CMP_GE_I32_e64_gfx6_gfx7
13123     UINT64_C(3502637056),	// V_CMP_GE_I32_e64_vi
13124     UINT64_C(2097938681),	// V_CMP_GE_I32_sdwa_gfx10
13125     UINT64_C(2106327289),	// V_CMP_GE_I32_sdwa_gfx9
13126     UINT64_C(2106327289),	// V_CMP_GE_I32_sdwa_vi
13127     UINT64_C(2102132736),	// V_CMP_GE_I64_e32_gfx10
13128     UINT64_C(2102132736),	// V_CMP_GE_I64_e32_gfx6_gfx7
13129     UINT64_C(2110521344),	// V_CMP_GE_I64_e32_vi
13130     UINT64_C(3567648768),	// V_CMP_GE_I64_e64_gfx10
13131     UINT64_C(3511418880),	// V_CMP_GE_I64_e64_gfx6_gfx7
13132     UINT64_C(3504734208),	// V_CMP_GE_I64_e64_vi
13133     UINT64_C(2103181312),	// V_CMP_GE_U16_e32_gfx10
13134     UINT64_C(2103181312),	// V_CMP_GE_U16_e32_vi
13135     UINT64_C(3568173056),	// V_CMP_GE_U16_e64_gfx10
13136     UINT64_C(3501064192),	// V_CMP_GE_U16_e64_vi
13137     UINT64_C(2103181561),	// V_CMP_GE_U16_sdwa_gfx10
13138     UINT64_C(2103181561),	// V_CMP_GE_U16_sdwa_gfx9
13139     UINT64_C(2103181561),	// V_CMP_GE_U16_sdwa_vi
13140     UINT64_C(2106327040),	// V_CMP_GE_U32_e32_gfx10
13141     UINT64_C(2106327040),	// V_CMP_GE_U32_e32_gfx6_gfx7
13142     UINT64_C(2107375616),	// V_CMP_GE_U32_e32_vi
13143     UINT64_C(3569745920),	// V_CMP_GE_U32_e64_gfx10
13144     UINT64_C(3515613184),	// V_CMP_GE_U32_e64_gfx6_gfx7
13145     UINT64_C(3503161344),	// V_CMP_GE_U32_e64_vi
13146     UINT64_C(2106327289),	// V_CMP_GE_U32_sdwa_gfx10
13147     UINT64_C(2107375865),	// V_CMP_GE_U32_sdwa_gfx9
13148     UINT64_C(2107375865),	// V_CMP_GE_U32_sdwa_vi
13149     UINT64_C(2110521344),	// V_CMP_GE_U64_e32_gfx10
13150     UINT64_C(2110521344),	// V_CMP_GE_U64_e32_gfx6_gfx7
13151     UINT64_C(2111569920),	// V_CMP_GE_U64_e32_vi
13152     UINT64_C(3571843072),	// V_CMP_GE_U64_e64_gfx10
13153     UINT64_C(3519807488),	// V_CMP_GE_U64_e64_gfx6_gfx7
13154     UINT64_C(3505258496),	// V_CMP_GE_U64_e64_vi
13155     UINT64_C(2107113472),	// V_CMP_GT_F16_e32_gfx10
13156     UINT64_C(2085093376),	// V_CMP_GT_F16_e32_vi
13157     UINT64_C(3570139136),	// V_CMP_GT_F16_e64_gfx10
13158     UINT64_C(3492020224),	// V_CMP_GT_F16_e64_vi
13159     UINT64_C(2107113721),	// V_CMP_GT_F16_sdwa_gfx10
13160     UINT64_C(2085093625),	// V_CMP_GT_F16_sdwa_gfx9
13161     UINT64_C(2085093625),	// V_CMP_GT_F16_sdwa_vi
13162     UINT64_C(2080899072),	// V_CMP_GT_F32_e32_gfx10
13163     UINT64_C(2080899072),	// V_CMP_GT_F32_e32_gfx6_gfx7
13164     UINT64_C(2089287680),	// V_CMP_GT_F32_e32_vi
13165     UINT64_C(3557031936),	// V_CMP_GT_F32_e64_gfx10
13166     UINT64_C(3490185216),	// V_CMP_GT_F32_e64_gfx6_gfx7
13167     UINT64_C(3494117376),	// V_CMP_GT_F32_e64_vi
13168     UINT64_C(2080899321),	// V_CMP_GT_F32_sdwa_gfx10
13169     UINT64_C(2089287929),	// V_CMP_GT_F32_sdwa_gfx9
13170     UINT64_C(2089287929),	// V_CMP_GT_F32_sdwa_vi
13171     UINT64_C(2085093376),	// V_CMP_GT_F64_e32_gfx10
13172     UINT64_C(2085093376),	// V_CMP_GT_F64_e32_gfx6_gfx7
13173     UINT64_C(2093481984),	// V_CMP_GT_F64_e32_vi
13174     UINT64_C(3559129088),	// V_CMP_GT_F64_e64_gfx10
13175     UINT64_C(3494379520),	// V_CMP_GT_F64_e64_gfx6_gfx7
13176     UINT64_C(3496214528),	// V_CMP_GT_F64_e64_vi
13177     UINT64_C(2098724864),	// V_CMP_GT_I16_e32_gfx10
13178     UINT64_C(2101870592),	// V_CMP_GT_I16_e32_vi
13179     UINT64_C(3565944832),	// V_CMP_GT_I16_e64_gfx10
13180     UINT64_C(3500408832),	// V_CMP_GT_I16_e64_vi
13181     UINT64_C(2098725113),	// V_CMP_GT_I16_sdwa_gfx10
13182     UINT64_C(2101870841),	// V_CMP_GT_I16_sdwa_gfx9
13183     UINT64_C(2101870841),	// V_CMP_GT_I16_sdwa_vi
13184     UINT64_C(2097676288),	// V_CMP_GT_I32_e32_gfx10
13185     UINT64_C(2097676288),	// V_CMP_GT_I32_e32_gfx6_gfx7
13186     UINT64_C(2106064896),	// V_CMP_GT_I32_e32_vi
13187     UINT64_C(3565420544),	// V_CMP_GT_I32_e64_gfx10
13188     UINT64_C(3506962432),	// V_CMP_GT_I32_e64_gfx6_gfx7
13189     UINT64_C(3502505984),	// V_CMP_GT_I32_e64_vi
13190     UINT64_C(2097676537),	// V_CMP_GT_I32_sdwa_gfx10
13191     UINT64_C(2106065145),	// V_CMP_GT_I32_sdwa_gfx9
13192     UINT64_C(2106065145),	// V_CMP_GT_I32_sdwa_vi
13193     UINT64_C(2101870592),	// V_CMP_GT_I64_e32_gfx10
13194     UINT64_C(2101870592),	// V_CMP_GT_I64_e32_gfx6_gfx7
13195     UINT64_C(2110259200),	// V_CMP_GT_I64_e32_vi
13196     UINT64_C(3567517696),	// V_CMP_GT_I64_e64_gfx10
13197     UINT64_C(3511156736),	// V_CMP_GT_I64_e64_gfx6_gfx7
13198     UINT64_C(3504603136),	// V_CMP_GT_I64_e64_vi
13199     UINT64_C(2102919168),	// V_CMP_GT_U16_e32_gfx10
13200     UINT64_C(2102919168),	// V_CMP_GT_U16_e32_vi
13201     UINT64_C(3568041984),	// V_CMP_GT_U16_e64_gfx10
13202     UINT64_C(3500933120),	// V_CMP_GT_U16_e64_vi
13203     UINT64_C(2102919417),	// V_CMP_GT_U16_sdwa_gfx10
13204     UINT64_C(2102919417),	// V_CMP_GT_U16_sdwa_gfx9
13205     UINT64_C(2102919417),	// V_CMP_GT_U16_sdwa_vi
13206     UINT64_C(2106064896),	// V_CMP_GT_U32_e32_gfx10
13207     UINT64_C(2106064896),	// V_CMP_GT_U32_e32_gfx6_gfx7
13208     UINT64_C(2107113472),	// V_CMP_GT_U32_e32_vi
13209     UINT64_C(3569614848),	// V_CMP_GT_U32_e64_gfx10
13210     UINT64_C(3515351040),	// V_CMP_GT_U32_e64_gfx6_gfx7
13211     UINT64_C(3503030272),	// V_CMP_GT_U32_e64_vi
13212     UINT64_C(2106065145),	// V_CMP_GT_U32_sdwa_gfx10
13213     UINT64_C(2107113721),	// V_CMP_GT_U32_sdwa_gfx9
13214     UINT64_C(2107113721),	// V_CMP_GT_U32_sdwa_vi
13215     UINT64_C(2110259200),	// V_CMP_GT_U64_e32_gfx10
13216     UINT64_C(2110259200),	// V_CMP_GT_U64_e32_gfx6_gfx7
13217     UINT64_C(2111307776),	// V_CMP_GT_U64_e32_vi
13218     UINT64_C(3571712000),	// V_CMP_GT_U64_e64_gfx10
13219     UINT64_C(3519545344),	// V_CMP_GT_U64_e64_gfx6_gfx7
13220     UINT64_C(3505127424),	// V_CMP_GT_U64_e64_vi
13221     UINT64_C(2106982400),	// V_CMP_LE_F16_e32_gfx10
13222     UINT64_C(2084962304),	// V_CMP_LE_F16_e32_vi
13223     UINT64_C(3570073600),	// V_CMP_LE_F16_e64_gfx10
13224     UINT64_C(3491954688),	// V_CMP_LE_F16_e64_vi
13225     UINT64_C(2106982649),	// V_CMP_LE_F16_sdwa_gfx10
13226     UINT64_C(2084962553),	// V_CMP_LE_F16_sdwa_gfx9
13227     UINT64_C(2084962553),	// V_CMP_LE_F16_sdwa_vi
13228     UINT64_C(2080768000),	// V_CMP_LE_F32_e32_gfx10
13229     UINT64_C(2080768000),	// V_CMP_LE_F32_e32_gfx6_gfx7
13230     UINT64_C(2089156608),	// V_CMP_LE_F32_e32_vi
13231     UINT64_C(3556966400),	// V_CMP_LE_F32_e64_gfx10
13232     UINT64_C(3490054144),	// V_CMP_LE_F32_e64_gfx6_gfx7
13233     UINT64_C(3494051840),	// V_CMP_LE_F32_e64_vi
13234     UINT64_C(2080768249),	// V_CMP_LE_F32_sdwa_gfx10
13235     UINT64_C(2089156857),	// V_CMP_LE_F32_sdwa_gfx9
13236     UINT64_C(2089156857),	// V_CMP_LE_F32_sdwa_vi
13237     UINT64_C(2084962304),	// V_CMP_LE_F64_e32_gfx10
13238     UINT64_C(2084962304),	// V_CMP_LE_F64_e32_gfx6_gfx7
13239     UINT64_C(2093350912),	// V_CMP_LE_F64_e32_vi
13240     UINT64_C(3559063552),	// V_CMP_LE_F64_e64_gfx10
13241     UINT64_C(3494248448),	// V_CMP_LE_F64_e64_gfx6_gfx7
13242     UINT64_C(3496148992),	// V_CMP_LE_F64_e64_vi
13243     UINT64_C(2098593792),	// V_CMP_LE_I16_e32_gfx10
13244     UINT64_C(2101739520),	// V_CMP_LE_I16_e32_vi
13245     UINT64_C(3565879296),	// V_CMP_LE_I16_e64_gfx10
13246     UINT64_C(3500343296),	// V_CMP_LE_I16_e64_vi
13247     UINT64_C(2098594041),	// V_CMP_LE_I16_sdwa_gfx10
13248     UINT64_C(2101739769),	// V_CMP_LE_I16_sdwa_gfx9
13249     UINT64_C(2101739769),	// V_CMP_LE_I16_sdwa_vi
13250     UINT64_C(2097545216),	// V_CMP_LE_I32_e32_gfx10
13251     UINT64_C(2097545216),	// V_CMP_LE_I32_e32_gfx6_gfx7
13252     UINT64_C(2105933824),	// V_CMP_LE_I32_e32_vi
13253     UINT64_C(3565355008),	// V_CMP_LE_I32_e64_gfx10
13254     UINT64_C(3506831360),	// V_CMP_LE_I32_e64_gfx6_gfx7
13255     UINT64_C(3502440448),	// V_CMP_LE_I32_e64_vi
13256     UINT64_C(2097545465),	// V_CMP_LE_I32_sdwa_gfx10
13257     UINT64_C(2105934073),	// V_CMP_LE_I32_sdwa_gfx9
13258     UINT64_C(2105934073),	// V_CMP_LE_I32_sdwa_vi
13259     UINT64_C(2101739520),	// V_CMP_LE_I64_e32_gfx10
13260     UINT64_C(2101739520),	// V_CMP_LE_I64_e32_gfx6_gfx7
13261     UINT64_C(2110128128),	// V_CMP_LE_I64_e32_vi
13262     UINT64_C(3567452160),	// V_CMP_LE_I64_e64_gfx10
13263     UINT64_C(3511025664),	// V_CMP_LE_I64_e64_gfx6_gfx7
13264     UINT64_C(3504537600),	// V_CMP_LE_I64_e64_vi
13265     UINT64_C(2102788096),	// V_CMP_LE_U16_e32_gfx10
13266     UINT64_C(2102788096),	// V_CMP_LE_U16_e32_vi
13267     UINT64_C(3567976448),	// V_CMP_LE_U16_e64_gfx10
13268     UINT64_C(3500867584),	// V_CMP_LE_U16_e64_vi
13269     UINT64_C(2102788345),	// V_CMP_LE_U16_sdwa_gfx10
13270     UINT64_C(2102788345),	// V_CMP_LE_U16_sdwa_gfx9
13271     UINT64_C(2102788345),	// V_CMP_LE_U16_sdwa_vi
13272     UINT64_C(2105933824),	// V_CMP_LE_U32_e32_gfx10
13273     UINT64_C(2105933824),	// V_CMP_LE_U32_e32_gfx6_gfx7
13274     UINT64_C(2106982400),	// V_CMP_LE_U32_e32_vi
13275     UINT64_C(3569549312),	// V_CMP_LE_U32_e64_gfx10
13276     UINT64_C(3515219968),	// V_CMP_LE_U32_e64_gfx6_gfx7
13277     UINT64_C(3502964736),	// V_CMP_LE_U32_e64_vi
13278     UINT64_C(2105934073),	// V_CMP_LE_U32_sdwa_gfx10
13279     UINT64_C(2106982649),	// V_CMP_LE_U32_sdwa_gfx9
13280     UINT64_C(2106982649),	// V_CMP_LE_U32_sdwa_vi
13281     UINT64_C(2110128128),	// V_CMP_LE_U64_e32_gfx10
13282     UINT64_C(2110128128),	// V_CMP_LE_U64_e32_gfx6_gfx7
13283     UINT64_C(2111176704),	// V_CMP_LE_U64_e32_vi
13284     UINT64_C(3571646464),	// V_CMP_LE_U64_e64_gfx10
13285     UINT64_C(3519414272),	// V_CMP_LE_U64_e64_gfx6_gfx7
13286     UINT64_C(3505061888),	// V_CMP_LE_U64_e64_vi
13287     UINT64_C(2107244544),	// V_CMP_LG_F16_e32_gfx10
13288     UINT64_C(2085224448),	// V_CMP_LG_F16_e32_vi
13289     UINT64_C(3570204672),	// V_CMP_LG_F16_e64_gfx10
13290     UINT64_C(3492085760),	// V_CMP_LG_F16_e64_vi
13291     UINT64_C(2107244793),	// V_CMP_LG_F16_sdwa_gfx10
13292     UINT64_C(2085224697),	// V_CMP_LG_F16_sdwa_gfx9
13293     UINT64_C(2085224697),	// V_CMP_LG_F16_sdwa_vi
13294     UINT64_C(2081030144),	// V_CMP_LG_F32_e32_gfx10
13295     UINT64_C(2081030144),	// V_CMP_LG_F32_e32_gfx6_gfx7
13296     UINT64_C(2089418752),	// V_CMP_LG_F32_e32_vi
13297     UINT64_C(3557097472),	// V_CMP_LG_F32_e64_gfx10
13298     UINT64_C(3490316288),	// V_CMP_LG_F32_e64_gfx6_gfx7
13299     UINT64_C(3494182912),	// V_CMP_LG_F32_e64_vi
13300     UINT64_C(2081030393),	// V_CMP_LG_F32_sdwa_gfx10
13301     UINT64_C(2089419001),	// V_CMP_LG_F32_sdwa_gfx9
13302     UINT64_C(2089419001),	// V_CMP_LG_F32_sdwa_vi
13303     UINT64_C(2085224448),	// V_CMP_LG_F64_e32_gfx10
13304     UINT64_C(2085224448),	// V_CMP_LG_F64_e32_gfx6_gfx7
13305     UINT64_C(2093613056),	// V_CMP_LG_F64_e32_vi
13306     UINT64_C(3559194624),	// V_CMP_LG_F64_e64_gfx10
13307     UINT64_C(3494510592),	// V_CMP_LG_F64_e64_gfx6_gfx7
13308     UINT64_C(3496280064),	// V_CMP_LG_F64_e64_vi
13309     UINT64_C(2106720256),	// V_CMP_LT_F16_e32_gfx10
13310     UINT64_C(2084700160),	// V_CMP_LT_F16_e32_vi
13311     UINT64_C(3569942528),	// V_CMP_LT_F16_e64_gfx10
13312     UINT64_C(3491823616),	// V_CMP_LT_F16_e64_vi
13313     UINT64_C(2106720505),	// V_CMP_LT_F16_sdwa_gfx10
13314     UINT64_C(2084700409),	// V_CMP_LT_F16_sdwa_gfx9
13315     UINT64_C(2084700409),	// V_CMP_LT_F16_sdwa_vi
13316     UINT64_C(2080505856),	// V_CMP_LT_F32_e32_gfx10
13317     UINT64_C(2080505856),	// V_CMP_LT_F32_e32_gfx6_gfx7
13318     UINT64_C(2088894464),	// V_CMP_LT_F32_e32_vi
13319     UINT64_C(3556835328),	// V_CMP_LT_F32_e64_gfx10
13320     UINT64_C(3489792000),	// V_CMP_LT_F32_e64_gfx6_gfx7
13321     UINT64_C(3493920768),	// V_CMP_LT_F32_e64_vi
13322     UINT64_C(2080506105),	// V_CMP_LT_F32_sdwa_gfx10
13323     UINT64_C(2088894713),	// V_CMP_LT_F32_sdwa_gfx9
13324     UINT64_C(2088894713),	// V_CMP_LT_F32_sdwa_vi
13325     UINT64_C(2084700160),	// V_CMP_LT_F64_e32_gfx10
13326     UINT64_C(2084700160),	// V_CMP_LT_F64_e32_gfx6_gfx7
13327     UINT64_C(2093088768),	// V_CMP_LT_F64_e32_vi
13328     UINT64_C(3558932480),	// V_CMP_LT_F64_e64_gfx10
13329     UINT64_C(3493986304),	// V_CMP_LT_F64_e64_gfx6_gfx7
13330     UINT64_C(3496017920),	// V_CMP_LT_F64_e64_vi
13331     UINT64_C(2098331648),	// V_CMP_LT_I16_e32_gfx10
13332     UINT64_C(2101477376),	// V_CMP_LT_I16_e32_vi
13333     UINT64_C(3565748224),	// V_CMP_LT_I16_e64_gfx10
13334     UINT64_C(3500212224),	// V_CMP_LT_I16_e64_vi
13335     UINT64_C(2098331897),	// V_CMP_LT_I16_sdwa_gfx10
13336     UINT64_C(2101477625),	// V_CMP_LT_I16_sdwa_gfx9
13337     UINT64_C(2101477625),	// V_CMP_LT_I16_sdwa_vi
13338     UINT64_C(2097283072),	// V_CMP_LT_I32_e32_gfx10
13339     UINT64_C(2097283072),	// V_CMP_LT_I32_e32_gfx6_gfx7
13340     UINT64_C(2105671680),	// V_CMP_LT_I32_e32_vi
13341     UINT64_C(3565223936),	// V_CMP_LT_I32_e64_gfx10
13342     UINT64_C(3506569216),	// V_CMP_LT_I32_e64_gfx6_gfx7
13343     UINT64_C(3502309376),	// V_CMP_LT_I32_e64_vi
13344     UINT64_C(2097283321),	// V_CMP_LT_I32_sdwa_gfx10
13345     UINT64_C(2105671929),	// V_CMP_LT_I32_sdwa_gfx9
13346     UINT64_C(2105671929),	// V_CMP_LT_I32_sdwa_vi
13347     UINT64_C(2101477376),	// V_CMP_LT_I64_e32_gfx10
13348     UINT64_C(2101477376),	// V_CMP_LT_I64_e32_gfx6_gfx7
13349     UINT64_C(2109865984),	// V_CMP_LT_I64_e32_vi
13350     UINT64_C(3567321088),	// V_CMP_LT_I64_e64_gfx10
13351     UINT64_C(3510763520),	// V_CMP_LT_I64_e64_gfx6_gfx7
13352     UINT64_C(3504406528),	// V_CMP_LT_I64_e64_vi
13353     UINT64_C(2102525952),	// V_CMP_LT_U16_e32_gfx10
13354     UINT64_C(2102525952),	// V_CMP_LT_U16_e32_vi
13355     UINT64_C(3567845376),	// V_CMP_LT_U16_e64_gfx10
13356     UINT64_C(3500736512),	// V_CMP_LT_U16_e64_vi
13357     UINT64_C(2102526201),	// V_CMP_LT_U16_sdwa_gfx10
13358     UINT64_C(2102526201),	// V_CMP_LT_U16_sdwa_gfx9
13359     UINT64_C(2102526201),	// V_CMP_LT_U16_sdwa_vi
13360     UINT64_C(2105671680),	// V_CMP_LT_U32_e32_gfx10
13361     UINT64_C(2105671680),	// V_CMP_LT_U32_e32_gfx6_gfx7
13362     UINT64_C(2106720256),	// V_CMP_LT_U32_e32_vi
13363     UINT64_C(3569418240),	// V_CMP_LT_U32_e64_gfx10
13364     UINT64_C(3514957824),	// V_CMP_LT_U32_e64_gfx6_gfx7
13365     UINT64_C(3502833664),	// V_CMP_LT_U32_e64_vi
13366     UINT64_C(2105671929),	// V_CMP_LT_U32_sdwa_gfx10
13367     UINT64_C(2106720505),	// V_CMP_LT_U32_sdwa_gfx9
13368     UINT64_C(2106720505),	// V_CMP_LT_U32_sdwa_vi
13369     UINT64_C(2109865984),	// V_CMP_LT_U64_e32_gfx10
13370     UINT64_C(2109865984),	// V_CMP_LT_U64_e32_gfx6_gfx7
13371     UINT64_C(2110914560),	// V_CMP_LT_U64_e32_vi
13372     UINT64_C(3571515392),	// V_CMP_LT_U64_e64_gfx10
13373     UINT64_C(3519152128),	// V_CMP_LT_U64_e64_gfx6_gfx7
13374     UINT64_C(3504930816),	// V_CMP_LT_U64_e64_vi
13375     UINT64_C(2111438848),	// V_CMP_NEQ_F16_e32_gfx10
13376     UINT64_C(2086273024),	// V_CMP_NEQ_F16_e32_vi
13377     UINT64_C(3572301824),	// V_CMP_NEQ_F16_e64_gfx10
13378     UINT64_C(3492610048),	// V_CMP_NEQ_F16_e64_vi
13379     UINT64_C(2111439097),	// V_CMP_NEQ_F16_sdwa_gfx10
13380     UINT64_C(2086273273),	// V_CMP_NEQ_F16_sdwa_gfx9
13381     UINT64_C(2086273273),	// V_CMP_NEQ_F16_sdwa_vi
13382     UINT64_C(2082078720),	// V_CMP_NEQ_F32_e32_gfx10
13383     UINT64_C(2082078720),	// V_CMP_NEQ_F32_e32_gfx6_gfx7
13384     UINT64_C(2090467328),	// V_CMP_NEQ_F32_e32_vi
13385     UINT64_C(3557621760),	// V_CMP_NEQ_F32_e64_gfx10
13386     UINT64_C(3491364864),	// V_CMP_NEQ_F32_e64_gfx6_gfx7
13387     UINT64_C(3494707200),	// V_CMP_NEQ_F32_e64_vi
13388     UINT64_C(2082078969),	// V_CMP_NEQ_F32_sdwa_gfx10
13389     UINT64_C(2090467577),	// V_CMP_NEQ_F32_sdwa_gfx9
13390     UINT64_C(2090467577),	// V_CMP_NEQ_F32_sdwa_vi
13391     UINT64_C(2086273024),	// V_CMP_NEQ_F64_e32_gfx10
13392     UINT64_C(2086273024),	// V_CMP_NEQ_F64_e32_gfx6_gfx7
13393     UINT64_C(2094661632),	// V_CMP_NEQ_F64_e32_vi
13394     UINT64_C(3559718912),	// V_CMP_NEQ_F64_e64_gfx10
13395     UINT64_C(3495559168),	// V_CMP_NEQ_F64_e64_gfx6_gfx7
13396     UINT64_C(3496804352),	// V_CMP_NEQ_F64_e64_vi
13397     UINT64_C(2098855936),	// V_CMP_NE_I16_e32_gfx10
13398     UINT64_C(2102001664),	// V_CMP_NE_I16_e32_vi
13399     UINT64_C(3566010368),	// V_CMP_NE_I16_e64_gfx10
13400     UINT64_C(3500474368),	// V_CMP_NE_I16_e64_vi
13401     UINT64_C(2098856185),	// V_CMP_NE_I16_sdwa_gfx10
13402     UINT64_C(2102001913),	// V_CMP_NE_I16_sdwa_gfx9
13403     UINT64_C(2102001913),	// V_CMP_NE_I16_sdwa_vi
13404     UINT64_C(2097807360),	// V_CMP_NE_I32_e32_gfx10
13405     UINT64_C(2097807360),	// V_CMP_NE_I32_e32_gfx6_gfx7
13406     UINT64_C(2106195968),	// V_CMP_NE_I32_e32_vi
13407     UINT64_C(3565486080),	// V_CMP_NE_I32_e64_gfx10
13408     UINT64_C(3507093504),	// V_CMP_NE_I32_e64_gfx6_gfx7
13409     UINT64_C(3502571520),	// V_CMP_NE_I32_e64_vi
13410     UINT64_C(2097807609),	// V_CMP_NE_I32_sdwa_gfx10
13411     UINT64_C(2106196217),	// V_CMP_NE_I32_sdwa_gfx9
13412     UINT64_C(2106196217),	// V_CMP_NE_I32_sdwa_vi
13413     UINT64_C(2102001664),	// V_CMP_NE_I64_e32_gfx10
13414     UINT64_C(2102001664),	// V_CMP_NE_I64_e32_gfx6_gfx7
13415     UINT64_C(2110390272),	// V_CMP_NE_I64_e32_vi
13416     UINT64_C(3567583232),	// V_CMP_NE_I64_e64_gfx10
13417     UINT64_C(3511287808),	// V_CMP_NE_I64_e64_gfx6_gfx7
13418     UINT64_C(3504668672),	// V_CMP_NE_I64_e64_vi
13419     UINT64_C(2103050240),	// V_CMP_NE_U16_e32_gfx10
13420     UINT64_C(2103050240),	// V_CMP_NE_U16_e32_vi
13421     UINT64_C(3568107520),	// V_CMP_NE_U16_e64_gfx10
13422     UINT64_C(3500998656),	// V_CMP_NE_U16_e64_vi
13423     UINT64_C(2103050489),	// V_CMP_NE_U16_sdwa_gfx10
13424     UINT64_C(2103050489),	// V_CMP_NE_U16_sdwa_gfx9
13425     UINT64_C(2103050489),	// V_CMP_NE_U16_sdwa_vi
13426     UINT64_C(2106195968),	// V_CMP_NE_U32_e32_gfx10
13427     UINT64_C(2106195968),	// V_CMP_NE_U32_e32_gfx6_gfx7
13428     UINT64_C(2107244544),	// V_CMP_NE_U32_e32_vi
13429     UINT64_C(3569680384),	// V_CMP_NE_U32_e64_gfx10
13430     UINT64_C(3515482112),	// V_CMP_NE_U32_e64_gfx6_gfx7
13431     UINT64_C(3503095808),	// V_CMP_NE_U32_e64_vi
13432     UINT64_C(2106196217),	// V_CMP_NE_U32_sdwa_gfx10
13433     UINT64_C(2107244793),	// V_CMP_NE_U32_sdwa_gfx9
13434     UINT64_C(2107244793),	// V_CMP_NE_U32_sdwa_vi
13435     UINT64_C(2110390272),	// V_CMP_NE_U64_e32_gfx10
13436     UINT64_C(2110390272),	// V_CMP_NE_U64_e32_gfx6_gfx7
13437     UINT64_C(2111438848),	// V_CMP_NE_U64_e32_vi
13438     UINT64_C(3571777536),	// V_CMP_NE_U64_e64_gfx10
13439     UINT64_C(3519676416),	// V_CMP_NE_U64_e64_gfx6_gfx7
13440     UINT64_C(3505192960),	// V_CMP_NE_U64_e64_vi
13441     UINT64_C(2110914560),	// V_CMP_NGE_F16_e32_gfx10
13442     UINT64_C(2085748736),	// V_CMP_NGE_F16_e32_vi
13443     UINT64_C(3572039680),	// V_CMP_NGE_F16_e64_gfx10
13444     UINT64_C(3492347904),	// V_CMP_NGE_F16_e64_vi
13445     UINT64_C(2110914809),	// V_CMP_NGE_F16_sdwa_gfx10
13446     UINT64_C(2085748985),	// V_CMP_NGE_F16_sdwa_gfx9
13447     UINT64_C(2085748985),	// V_CMP_NGE_F16_sdwa_vi
13448     UINT64_C(2081554432),	// V_CMP_NGE_F32_e32_gfx10
13449     UINT64_C(2081554432),	// V_CMP_NGE_F32_e32_gfx6_gfx7
13450     UINT64_C(2089943040),	// V_CMP_NGE_F32_e32_vi
13451     UINT64_C(3557359616),	// V_CMP_NGE_F32_e64_gfx10
13452     UINT64_C(3490840576),	// V_CMP_NGE_F32_e64_gfx6_gfx7
13453     UINT64_C(3494445056),	// V_CMP_NGE_F32_e64_vi
13454     UINT64_C(2081554681),	// V_CMP_NGE_F32_sdwa_gfx10
13455     UINT64_C(2089943289),	// V_CMP_NGE_F32_sdwa_gfx9
13456     UINT64_C(2089943289),	// V_CMP_NGE_F32_sdwa_vi
13457     UINT64_C(2085748736),	// V_CMP_NGE_F64_e32_gfx10
13458     UINT64_C(2085748736),	// V_CMP_NGE_F64_e32_gfx6_gfx7
13459     UINT64_C(2094137344),	// V_CMP_NGE_F64_e32_vi
13460     UINT64_C(3559456768),	// V_CMP_NGE_F64_e64_gfx10
13461     UINT64_C(3495034880),	// V_CMP_NGE_F64_e64_gfx6_gfx7
13462     UINT64_C(3496542208),	// V_CMP_NGE_F64_e64_vi
13463     UINT64_C(2111176704),	// V_CMP_NGT_F16_e32_gfx10
13464     UINT64_C(2086010880),	// V_CMP_NGT_F16_e32_vi
13465     UINT64_C(3572170752),	// V_CMP_NGT_F16_e64_gfx10
13466     UINT64_C(3492478976),	// V_CMP_NGT_F16_e64_vi
13467     UINT64_C(2111176953),	// V_CMP_NGT_F16_sdwa_gfx10
13468     UINT64_C(2086011129),	// V_CMP_NGT_F16_sdwa_gfx9
13469     UINT64_C(2086011129),	// V_CMP_NGT_F16_sdwa_vi
13470     UINT64_C(2081816576),	// V_CMP_NGT_F32_e32_gfx10
13471     UINT64_C(2081816576),	// V_CMP_NGT_F32_e32_gfx6_gfx7
13472     UINT64_C(2090205184),	// V_CMP_NGT_F32_e32_vi
13473     UINT64_C(3557490688),	// V_CMP_NGT_F32_e64_gfx10
13474     UINT64_C(3491102720),	// V_CMP_NGT_F32_e64_gfx6_gfx7
13475     UINT64_C(3494576128),	// V_CMP_NGT_F32_e64_vi
13476     UINT64_C(2081816825),	// V_CMP_NGT_F32_sdwa_gfx10
13477     UINT64_C(2090205433),	// V_CMP_NGT_F32_sdwa_gfx9
13478     UINT64_C(2090205433),	// V_CMP_NGT_F32_sdwa_vi
13479     UINT64_C(2086010880),	// V_CMP_NGT_F64_e32_gfx10
13480     UINT64_C(2086010880),	// V_CMP_NGT_F64_e32_gfx6_gfx7
13481     UINT64_C(2094399488),	// V_CMP_NGT_F64_e32_vi
13482     UINT64_C(3559587840),	// V_CMP_NGT_F64_e64_gfx10
13483     UINT64_C(3495297024),	// V_CMP_NGT_F64_e64_gfx6_gfx7
13484     UINT64_C(3496673280),	// V_CMP_NGT_F64_e64_vi
13485     UINT64_C(2111307776),	// V_CMP_NLE_F16_e32_gfx10
13486     UINT64_C(2086141952),	// V_CMP_NLE_F16_e32_vi
13487     UINT64_C(3572236288),	// V_CMP_NLE_F16_e64_gfx10
13488     UINT64_C(3492544512),	// V_CMP_NLE_F16_e64_vi
13489     UINT64_C(2111308025),	// V_CMP_NLE_F16_sdwa_gfx10
13490     UINT64_C(2086142201),	// V_CMP_NLE_F16_sdwa_gfx9
13491     UINT64_C(2086142201),	// V_CMP_NLE_F16_sdwa_vi
13492     UINT64_C(2081947648),	// V_CMP_NLE_F32_e32_gfx10
13493     UINT64_C(2081947648),	// V_CMP_NLE_F32_e32_gfx6_gfx7
13494     UINT64_C(2090336256),	// V_CMP_NLE_F32_e32_vi
13495     UINT64_C(3557556224),	// V_CMP_NLE_F32_e64_gfx10
13496     UINT64_C(3491233792),	// V_CMP_NLE_F32_e64_gfx6_gfx7
13497     UINT64_C(3494641664),	// V_CMP_NLE_F32_e64_vi
13498     UINT64_C(2081947897),	// V_CMP_NLE_F32_sdwa_gfx10
13499     UINT64_C(2090336505),	// V_CMP_NLE_F32_sdwa_gfx9
13500     UINT64_C(2090336505),	// V_CMP_NLE_F32_sdwa_vi
13501     UINT64_C(2086141952),	// V_CMP_NLE_F64_e32_gfx10
13502     UINT64_C(2086141952),	// V_CMP_NLE_F64_e32_gfx6_gfx7
13503     UINT64_C(2094530560),	// V_CMP_NLE_F64_e32_vi
13504     UINT64_C(3559653376),	// V_CMP_NLE_F64_e64_gfx10
13505     UINT64_C(3495428096),	// V_CMP_NLE_F64_e64_gfx6_gfx7
13506     UINT64_C(3496738816),	// V_CMP_NLE_F64_e64_vi
13507     UINT64_C(2111045632),	// V_CMP_NLG_F16_e32_gfx10
13508     UINT64_C(2085879808),	// V_CMP_NLG_F16_e32_vi
13509     UINT64_C(3572105216),	// V_CMP_NLG_F16_e64_gfx10
13510     UINT64_C(3492413440),	// V_CMP_NLG_F16_e64_vi
13511     UINT64_C(2111045881),	// V_CMP_NLG_F16_sdwa_gfx10
13512     UINT64_C(2085880057),	// V_CMP_NLG_F16_sdwa_gfx9
13513     UINT64_C(2085880057),	// V_CMP_NLG_F16_sdwa_vi
13514     UINT64_C(2081685504),	// V_CMP_NLG_F32_e32_gfx10
13515     UINT64_C(2081685504),	// V_CMP_NLG_F32_e32_gfx6_gfx7
13516     UINT64_C(2090074112),	// V_CMP_NLG_F32_e32_vi
13517     UINT64_C(3557425152),	// V_CMP_NLG_F32_e64_gfx10
13518     UINT64_C(3490971648),	// V_CMP_NLG_F32_e64_gfx6_gfx7
13519     UINT64_C(3494510592),	// V_CMP_NLG_F32_e64_vi
13520     UINT64_C(2081685753),	// V_CMP_NLG_F32_sdwa_gfx10
13521     UINT64_C(2090074361),	// V_CMP_NLG_F32_sdwa_gfx9
13522     UINT64_C(2090074361),	// V_CMP_NLG_F32_sdwa_vi
13523     UINT64_C(2085879808),	// V_CMP_NLG_F64_e32_gfx10
13524     UINT64_C(2085879808),	// V_CMP_NLG_F64_e32_gfx6_gfx7
13525     UINT64_C(2094268416),	// V_CMP_NLG_F64_e32_vi
13526     UINT64_C(3559522304),	// V_CMP_NLG_F64_e64_gfx10
13527     UINT64_C(3495165952),	// V_CMP_NLG_F64_e64_gfx6_gfx7
13528     UINT64_C(3496607744),	// V_CMP_NLG_F64_e64_vi
13529     UINT64_C(2111569920),	// V_CMP_NLT_F16_e32_gfx10
13530     UINT64_C(2086404096),	// V_CMP_NLT_F16_e32_vi
13531     UINT64_C(3572367360),	// V_CMP_NLT_F16_e64_gfx10
13532     UINT64_C(3492675584),	// V_CMP_NLT_F16_e64_vi
13533     UINT64_C(2111570169),	// V_CMP_NLT_F16_sdwa_gfx10
13534     UINT64_C(2086404345),	// V_CMP_NLT_F16_sdwa_gfx9
13535     UINT64_C(2086404345),	// V_CMP_NLT_F16_sdwa_vi
13536     UINT64_C(2082209792),	// V_CMP_NLT_F32_e32_gfx10
13537     UINT64_C(2082209792),	// V_CMP_NLT_F32_e32_gfx6_gfx7
13538     UINT64_C(2090598400),	// V_CMP_NLT_F32_e32_vi
13539     UINT64_C(3557687296),	// V_CMP_NLT_F32_e64_gfx10
13540     UINT64_C(3491495936),	// V_CMP_NLT_F32_e64_gfx6_gfx7
13541     UINT64_C(3494772736),	// V_CMP_NLT_F32_e64_vi
13542     UINT64_C(2082210041),	// V_CMP_NLT_F32_sdwa_gfx10
13543     UINT64_C(2090598649),	// V_CMP_NLT_F32_sdwa_gfx9
13544     UINT64_C(2090598649),	// V_CMP_NLT_F32_sdwa_vi
13545     UINT64_C(2086404096),	// V_CMP_NLT_F64_e32_gfx10
13546     UINT64_C(2086404096),	// V_CMP_NLT_F64_e32_gfx6_gfx7
13547     UINT64_C(2094792704),	// V_CMP_NLT_F64_e32_vi
13548     UINT64_C(3559784448),	// V_CMP_NLT_F64_e64_gfx10
13549     UINT64_C(3495690240),	// V_CMP_NLT_F64_e64_gfx6_gfx7
13550     UINT64_C(3496869888),	// V_CMP_NLT_F64_e64_vi
13551     UINT64_C(2107506688),	// V_CMP_O_F16_e32_gfx10
13552     UINT64_C(2085486592),	// V_CMP_O_F16_e32_vi
13553     UINT64_C(3570335744),	// V_CMP_O_F16_e64_gfx10
13554     UINT64_C(3492216832),	// V_CMP_O_F16_e64_vi
13555     UINT64_C(2107506937),	// V_CMP_O_F16_sdwa_gfx10
13556     UINT64_C(2085486841),	// V_CMP_O_F16_sdwa_gfx9
13557     UINT64_C(2085486841),	// V_CMP_O_F16_sdwa_vi
13558     UINT64_C(2081292288),	// V_CMP_O_F32_e32_gfx10
13559     UINT64_C(2081292288),	// V_CMP_O_F32_e32_gfx6_gfx7
13560     UINT64_C(2089680896),	// V_CMP_O_F32_e32_vi
13561     UINT64_C(3557228544),	// V_CMP_O_F32_e64_gfx10
13562     UINT64_C(3490578432),	// V_CMP_O_F32_e64_gfx6_gfx7
13563     UINT64_C(3494313984),	// V_CMP_O_F32_e64_vi
13564     UINT64_C(2081292537),	// V_CMP_O_F32_sdwa_gfx10
13565     UINT64_C(2089681145),	// V_CMP_O_F32_sdwa_gfx9
13566     UINT64_C(2089681145),	// V_CMP_O_F32_sdwa_vi
13567     UINT64_C(2085486592),	// V_CMP_O_F64_e32_gfx10
13568     UINT64_C(2085486592),	// V_CMP_O_F64_e32_gfx6_gfx7
13569     UINT64_C(2093875200),	// V_CMP_O_F64_e32_vi
13570     UINT64_C(3559325696),	// V_CMP_O_F64_e64_gfx10
13571     UINT64_C(3494772736),	// V_CMP_O_F64_e64_gfx6_gfx7
13572     UINT64_C(3496411136),	// V_CMP_O_F64_e64_vi
13573     UINT64_C(2111700992),	// V_CMP_TRU_F16_e32_gfx10
13574     UINT64_C(2086535168),	// V_CMP_TRU_F16_e32_vi
13575     UINT64_C(3572432896),	// V_CMP_TRU_F16_e64_gfx10
13576     UINT64_C(3492741120),	// V_CMP_TRU_F16_e64_vi
13577     UINT64_C(2111701241),	// V_CMP_TRU_F16_sdwa_gfx10
13578     UINT64_C(2086535417),	// V_CMP_TRU_F16_sdwa_gfx9
13579     UINT64_C(2086535417),	// V_CMP_TRU_F16_sdwa_vi
13580     UINT64_C(2082340864),	// V_CMP_TRU_F32_e32_gfx10
13581     UINT64_C(2082340864),	// V_CMP_TRU_F32_e32_gfx6_gfx7
13582     UINT64_C(2090729472),	// V_CMP_TRU_F32_e32_vi
13583     UINT64_C(3557752832),	// V_CMP_TRU_F32_e64_gfx10
13584     UINT64_C(3491627008),	// V_CMP_TRU_F32_e64_gfx6_gfx7
13585     UINT64_C(3494838272),	// V_CMP_TRU_F32_e64_vi
13586     UINT64_C(2082341113),	// V_CMP_TRU_F32_sdwa_gfx10
13587     UINT64_C(2090729721),	// V_CMP_TRU_F32_sdwa_gfx9
13588     UINT64_C(2090729721),	// V_CMP_TRU_F32_sdwa_vi
13589     UINT64_C(2086535168),	// V_CMP_TRU_F64_e32_gfx10
13590     UINT64_C(2086535168),	// V_CMP_TRU_F64_e32_gfx6_gfx7
13591     UINT64_C(2094923776),	// V_CMP_TRU_F64_e32_vi
13592     UINT64_C(3559849984),	// V_CMP_TRU_F64_e64_gfx10
13593     UINT64_C(3495821312),	// V_CMP_TRU_F64_e64_gfx6_gfx7
13594     UINT64_C(3496935424),	// V_CMP_TRU_F64_e64_vi
13595     UINT64_C(2102263808),	// V_CMP_T_I16_e32_vi
13596     UINT64_C(3500605440),	// V_CMP_T_I16_e64_vi
13597     UINT64_C(2102264057),	// V_CMP_T_I16_sdwa_gfx9
13598     UINT64_C(2102264057),	// V_CMP_T_I16_sdwa_vi
13599     UINT64_C(2098069504),	// V_CMP_T_I32_e32_gfx10
13600     UINT64_C(2098069504),	// V_CMP_T_I32_e32_gfx6_gfx7
13601     UINT64_C(2106458112),	// V_CMP_T_I32_e32_vi
13602     UINT64_C(3565617152),	// V_CMP_T_I32_e64_gfx10
13603     UINT64_C(3507355648),	// V_CMP_T_I32_e64_gfx6_gfx7
13604     UINT64_C(3502702592),	// V_CMP_T_I32_e64_vi
13605     UINT64_C(2098069753),	// V_CMP_T_I32_sdwa_gfx10
13606     UINT64_C(2106458361),	// V_CMP_T_I32_sdwa_gfx9
13607     UINT64_C(2106458361),	// V_CMP_T_I32_sdwa_vi
13608     UINT64_C(2102263808),	// V_CMP_T_I64_e32_gfx10
13609     UINT64_C(2102263808),	// V_CMP_T_I64_e32_gfx6_gfx7
13610     UINT64_C(2110652416),	// V_CMP_T_I64_e32_vi
13611     UINT64_C(3567714304),	// V_CMP_T_I64_e64_gfx10
13612     UINT64_C(3511549952),	// V_CMP_T_I64_e64_gfx6_gfx7
13613     UINT64_C(3504799744),	// V_CMP_T_I64_e64_vi
13614     UINT64_C(2103312384),	// V_CMP_T_U16_e32_vi
13615     UINT64_C(3501129728),	// V_CMP_T_U16_e64_vi
13616     UINT64_C(2103312633),	// V_CMP_T_U16_sdwa_gfx9
13617     UINT64_C(2103312633),	// V_CMP_T_U16_sdwa_vi
13618     UINT64_C(2106458112),	// V_CMP_T_U32_e32_gfx10
13619     UINT64_C(2106458112),	// V_CMP_T_U32_e32_gfx6_gfx7
13620     UINT64_C(2107506688),	// V_CMP_T_U32_e32_vi
13621     UINT64_C(3569811456),	// V_CMP_T_U32_e64_gfx10
13622     UINT64_C(3515744256),	// V_CMP_T_U32_e64_gfx6_gfx7
13623     UINT64_C(3503226880),	// V_CMP_T_U32_e64_vi
13624     UINT64_C(2106458361),	// V_CMP_T_U32_sdwa_gfx10
13625     UINT64_C(2107506937),	// V_CMP_T_U32_sdwa_gfx9
13626     UINT64_C(2107506937),	// V_CMP_T_U32_sdwa_vi
13627     UINT64_C(2110652416),	// V_CMP_T_U64_e32_gfx10
13628     UINT64_C(2110652416),	// V_CMP_T_U64_e32_gfx6_gfx7
13629     UINT64_C(2111700992),	// V_CMP_T_U64_e32_vi
13630     UINT64_C(3571908608),	// V_CMP_T_U64_e64_gfx10
13631     UINT64_C(3519938560),	// V_CMP_T_U64_e64_gfx6_gfx7
13632     UINT64_C(3505324032),	// V_CMP_T_U64_e64_vi
13633     UINT64_C(2110783488),	// V_CMP_U_F16_e32_gfx10
13634     UINT64_C(2085617664),	// V_CMP_U_F16_e32_vi
13635     UINT64_C(3571974144),	// V_CMP_U_F16_e64_gfx10
13636     UINT64_C(3492282368),	// V_CMP_U_F16_e64_vi
13637     UINT64_C(2110783737),	// V_CMP_U_F16_sdwa_gfx10
13638     UINT64_C(2085617913),	// V_CMP_U_F16_sdwa_gfx9
13639     UINT64_C(2085617913),	// V_CMP_U_F16_sdwa_vi
13640     UINT64_C(2081423360),	// V_CMP_U_F32_e32_gfx10
13641     UINT64_C(2081423360),	// V_CMP_U_F32_e32_gfx6_gfx7
13642     UINT64_C(2089811968),	// V_CMP_U_F32_e32_vi
13643     UINT64_C(3557294080),	// V_CMP_U_F32_e64_gfx10
13644     UINT64_C(3490709504),	// V_CMP_U_F32_e64_gfx6_gfx7
13645     UINT64_C(3494379520),	// V_CMP_U_F32_e64_vi
13646     UINT64_C(2081423609),	// V_CMP_U_F32_sdwa_gfx10
13647     UINT64_C(2089812217),	// V_CMP_U_F32_sdwa_gfx9
13648     UINT64_C(2089812217),	// V_CMP_U_F32_sdwa_vi
13649     UINT64_C(2085617664),	// V_CMP_U_F64_e32_gfx10
13650     UINT64_C(2085617664),	// V_CMP_U_F64_e32_gfx6_gfx7
13651     UINT64_C(2094006272),	// V_CMP_U_F64_e32_vi
13652     UINT64_C(3559391232),	// V_CMP_U_F64_e64_gfx10
13653     UINT64_C(3494903808),	// V_CMP_U_F64_e64_gfx6_gfx7
13654     UINT64_C(3496476672),	// V_CMP_U_F64_e64_vi
13655     UINT64_C(33554432),	// V_CNDMASK_B32_dpp8_gfx10
13656     UINT64_C(33554432),	// V_CNDMASK_B32_dpp8_w32_gfx10
13657     UINT64_C(33554432),	// V_CNDMASK_B32_dpp8_w64_gfx10
13658     UINT64_C(33554682),	// V_CNDMASK_B32_dpp_gfx10
13659     UINT64_C(250),	// V_CNDMASK_B32_dpp_vi
13660     UINT64_C(33554682),	// V_CNDMASK_B32_dpp_w32_gfx10
13661     UINT64_C(33554682),	// V_CNDMASK_B32_dpp_w64_gfx10
13662     UINT64_C(33554432),	// V_CNDMASK_B32_e32_gfx10
13663     UINT64_C(0),	// V_CNDMASK_B32_e32_gfx6_gfx7
13664     UINT64_C(0),	// V_CNDMASK_B32_e32_vi
13665     UINT64_C(3573612544),	// V_CNDMASK_B32_e64_gfx10
13666     UINT64_C(3523215360),	// V_CNDMASK_B32_e64_gfx6_gfx7
13667     UINT64_C(3506438144),	// V_CNDMASK_B32_e64_vi
13668     UINT64_C(33554681),	// V_CNDMASK_B32_sdwa_gfx10
13669     UINT64_C(249),	// V_CNDMASK_B32_sdwa_gfx9
13670     UINT64_C(249),	// V_CNDMASK_B32_sdwa_vi
13671     UINT64_C(33554681),	// V_CNDMASK_B32_sdwa_w32_gfx10
13672     UINT64_C(33554681),	// V_CNDMASK_B32_sdwa_w64_gfx10
13673     UINT64_C(2113978880),	// V_COS_F16_dpp8_gfx10
13674     UINT64_C(2113979130),	// V_COS_F16_dpp_gfx10
13675     UINT64_C(2113967354),	// V_COS_F16_dpp_vi
13676     UINT64_C(2113978880),	// V_COS_F16_e32_gfx10
13677     UINT64_C(2113967104),	// V_COS_F16_e32_vi
13678     UINT64_C(3588292608),	// V_COS_F16_e64_gfx10
13679     UINT64_C(3515482112),	// V_COS_F16_e64_vi
13680     UINT64_C(2113979129),	// V_COS_F16_sdwa_gfx10
13681     UINT64_C(2113967353),	// V_COS_F16_sdwa_gfx9
13682     UINT64_C(2113967353),	// V_COS_F16_sdwa_vi
13683     UINT64_C(2113956864),	// V_COS_F32_dpp8_gfx10
13684     UINT64_C(2113957114),	// V_COS_F32_dpp_gfx10
13685     UINT64_C(2113950970),	// V_COS_F32_dpp_vi
13686     UINT64_C(2113956864),	// V_COS_F32_e32_gfx10
13687     UINT64_C(2113956864),	// V_COS_F32_e32_gfx6_gfx7
13688     UINT64_C(2113950720),	// V_COS_F32_e32_vi
13689     UINT64_C(3585474560),	// V_COS_F32_e64_gfx10
13690     UINT64_C(3547070464),	// V_COS_F32_e64_gfx6_gfx7
13691     UINT64_C(3513384960),	// V_COS_F32_e64_vi
13692     UINT64_C(2113957113),	// V_COS_F32_sdwa_gfx10
13693     UINT64_C(2113950969),	// V_COS_F32_sdwa_gfx9
13694     UINT64_C(2113950969),	// V_COS_F32_sdwa_vi
13695     UINT64_C(3578003456),	// V_CUBEID_F32_gfx10
13696     UINT64_C(3532128256),	// V_CUBEID_F32_gfx6_gfx7
13697     UINT64_C(3519283200),	// V_CUBEID_F32_vi
13698     UINT64_C(3578200064),	// V_CUBEMA_F32_gfx10
13699     UINT64_C(3532521472),	// V_CUBEMA_F32_gfx6_gfx7
13700     UINT64_C(3519479808),	// V_CUBEMA_F32_vi
13701     UINT64_C(3578068992),	// V_CUBESC_F32_gfx10
13702     UINT64_C(3532259328),	// V_CUBESC_F32_gfx6_gfx7
13703     UINT64_C(3519348736),	// V_CUBESC_F32_vi
13704     UINT64_C(3578134528),	// V_CUBETC_F32_gfx10
13705     UINT64_C(3532390400),	// V_CUBETC_F32_gfx6_gfx7
13706     UINT64_C(3519414272),	// V_CUBETC_F32_vi
13707     UINT64_C(2113934336),	// V_CVT_F16_F32_dpp8_gfx10
13708     UINT64_C(2113934586),	// V_CVT_F16_F32_dpp_gfx10
13709     UINT64_C(2113934586),	// V_CVT_F16_F32_dpp_vi
13710     UINT64_C(2113934336),	// V_CVT_F16_F32_e32_gfx10
13711     UINT64_C(2113934336),	// V_CVT_F16_F32_e32_gfx6_gfx7
13712     UINT64_C(2113934336),	// V_CVT_F16_F32_e32_vi
13713     UINT64_C(3582590976),	// V_CVT_F16_F32_e64_gfx10
13714     UINT64_C(3541303296),	// V_CVT_F16_F32_e64_gfx6_gfx7
13715     UINT64_C(3511287808),	// V_CVT_F16_F32_e64_vi
13716     UINT64_C(2113934585),	// V_CVT_F16_F32_sdwa_gfx10
13717     UINT64_C(2113934585),	// V_CVT_F16_F32_sdwa_gfx9
13718     UINT64_C(2113934585),	// V_CVT_F16_F32_sdwa_vi
13719     UINT64_C(2113970688),	// V_CVT_F16_I16_dpp8_gfx10
13720     UINT64_C(2113970938),	// V_CVT_F16_I16_dpp_gfx10
13721     UINT64_C(2113959162),	// V_CVT_F16_I16_dpp_vi
13722     UINT64_C(2113970688),	// V_CVT_F16_I16_e32_gfx10
13723     UINT64_C(2113958912),	// V_CVT_F16_I16_e32_vi
13724     UINT64_C(3587244032),	// V_CVT_F16_I16_e64_gfx10
13725     UINT64_C(3514433536),	// V_CVT_F16_I16_e64_vi
13726     UINT64_C(2113970937),	// V_CVT_F16_I16_sdwa_gfx10
13727     UINT64_C(2113959161),	// V_CVT_F16_I16_sdwa_gfx9
13728     UINT64_C(2113959161),	// V_CVT_F16_I16_sdwa_vi
13729     UINT64_C(2113970176),	// V_CVT_F16_U16_dpp8_gfx10
13730     UINT64_C(2113970426),	// V_CVT_F16_U16_dpp_gfx10
13731     UINT64_C(2113958650),	// V_CVT_F16_U16_dpp_vi
13732     UINT64_C(2113970176),	// V_CVT_F16_U16_e32_gfx10
13733     UINT64_C(2113958400),	// V_CVT_F16_U16_e32_vi
13734     UINT64_C(3587178496),	// V_CVT_F16_U16_e64_gfx10
13735     UINT64_C(3514368000),	// V_CVT_F16_U16_e64_vi
13736     UINT64_C(2113970425),	// V_CVT_F16_U16_sdwa_gfx10
13737     UINT64_C(2113958649),	// V_CVT_F16_U16_sdwa_gfx9
13738     UINT64_C(2113958649),	// V_CVT_F16_U16_sdwa_vi
13739     UINT64_C(2113934848),	// V_CVT_F32_F16_dpp8_gfx10
13740     UINT64_C(2113935098),	// V_CVT_F32_F16_dpp_gfx10
13741     UINT64_C(2113935098),	// V_CVT_F32_F16_dpp_vi
13742     UINT64_C(2113934848),	// V_CVT_F32_F16_e32_gfx10
13743     UINT64_C(2113934848),	// V_CVT_F32_F16_e32_gfx6_gfx7
13744     UINT64_C(2113934848),	// V_CVT_F32_F16_e32_vi
13745     UINT64_C(3582656512),	// V_CVT_F32_F16_e64_gfx10
13746     UINT64_C(3541434368),	// V_CVT_F32_F16_e64_gfx6_gfx7
13747     UINT64_C(3511353344),	// V_CVT_F32_F16_e64_vi
13748     UINT64_C(2113935097),	// V_CVT_F32_F16_sdwa_gfx10
13749     UINT64_C(2113935097),	// V_CVT_F32_F16_sdwa_gfx9
13750     UINT64_C(2113935097),	// V_CVT_F32_F16_sdwa_vi
13751     UINT64_C(2113936896),	// V_CVT_F32_F64_e32_gfx10
13752     UINT64_C(2113936896),	// V_CVT_F32_F64_e32_gfx6_gfx7
13753     UINT64_C(2113936896),	// V_CVT_F32_F64_e32_vi
13754     UINT64_C(3582918656),	// V_CVT_F32_F64_e64_gfx10
13755     UINT64_C(3541958656),	// V_CVT_F32_F64_e64_gfx6_gfx7
13756     UINT64_C(3511615488),	// V_CVT_F32_F64_e64_vi
13757     UINT64_C(2113931776),	// V_CVT_F32_I32_dpp8_gfx10
13758     UINT64_C(2113932026),	// V_CVT_F32_I32_dpp_gfx10
13759     UINT64_C(2113932026),	// V_CVT_F32_I32_dpp_vi
13760     UINT64_C(2113931776),	// V_CVT_F32_I32_e32_gfx10
13761     UINT64_C(2113931776),	// V_CVT_F32_I32_e32_gfx6_gfx7
13762     UINT64_C(2113931776),	// V_CVT_F32_I32_e32_vi
13763     UINT64_C(3582263296),	// V_CVT_F32_I32_e64_gfx10
13764     UINT64_C(3540647936),	// V_CVT_F32_I32_e64_gfx6_gfx7
13765     UINT64_C(3510960128),	// V_CVT_F32_I32_e64_vi
13766     UINT64_C(2113932025),	// V_CVT_F32_I32_sdwa_gfx10
13767     UINT64_C(2113932025),	// V_CVT_F32_I32_sdwa_gfx9
13768     UINT64_C(2113932025),	// V_CVT_F32_I32_sdwa_vi
13769     UINT64_C(2113932288),	// V_CVT_F32_U32_dpp8_gfx10
13770     UINT64_C(2113932538),	// V_CVT_F32_U32_dpp_gfx10
13771     UINT64_C(2113932538),	// V_CVT_F32_U32_dpp_vi
13772     UINT64_C(2113932288),	// V_CVT_F32_U32_e32_gfx10
13773     UINT64_C(2113932288),	// V_CVT_F32_U32_e32_gfx6_gfx7
13774     UINT64_C(2113932288),	// V_CVT_F32_U32_e32_vi
13775     UINT64_C(3582328832),	// V_CVT_F32_U32_e64_gfx10
13776     UINT64_C(3540779008),	// V_CVT_F32_U32_e64_gfx6_gfx7
13777     UINT64_C(3511025664),	// V_CVT_F32_U32_e64_vi
13778     UINT64_C(2113932537),	// V_CVT_F32_U32_sdwa_gfx10
13779     UINT64_C(2113932537),	// V_CVT_F32_U32_sdwa_gfx9
13780     UINT64_C(2113932537),	// V_CVT_F32_U32_sdwa_vi
13781     UINT64_C(2113937920),	// V_CVT_F32_UBYTE0_dpp8_gfx10
13782     UINT64_C(2113938170),	// V_CVT_F32_UBYTE0_dpp_gfx10
13783     UINT64_C(2113938170),	// V_CVT_F32_UBYTE0_dpp_vi
13784     UINT64_C(2113937920),	// V_CVT_F32_UBYTE0_e32_gfx10
13785     UINT64_C(2113937920),	// V_CVT_F32_UBYTE0_e32_gfx6_gfx7
13786     UINT64_C(2113937920),	// V_CVT_F32_UBYTE0_e32_vi
13787     UINT64_C(3583049728),	// V_CVT_F32_UBYTE0_e64_gfx10
13788     UINT64_C(3542220800),	// V_CVT_F32_UBYTE0_e64_gfx6_gfx7
13789     UINT64_C(3511746560),	// V_CVT_F32_UBYTE0_e64_vi
13790     UINT64_C(2113938169),	// V_CVT_F32_UBYTE0_sdwa_gfx10
13791     UINT64_C(2113938169),	// V_CVT_F32_UBYTE0_sdwa_gfx9
13792     UINT64_C(2113938169),	// V_CVT_F32_UBYTE0_sdwa_vi
13793     UINT64_C(2113938432),	// V_CVT_F32_UBYTE1_dpp8_gfx10
13794     UINT64_C(2113938682),	// V_CVT_F32_UBYTE1_dpp_gfx10
13795     UINT64_C(2113938682),	// V_CVT_F32_UBYTE1_dpp_vi
13796     UINT64_C(2113938432),	// V_CVT_F32_UBYTE1_e32_gfx10
13797     UINT64_C(2113938432),	// V_CVT_F32_UBYTE1_e32_gfx6_gfx7
13798     UINT64_C(2113938432),	// V_CVT_F32_UBYTE1_e32_vi
13799     UINT64_C(3583115264),	// V_CVT_F32_UBYTE1_e64_gfx10
13800     UINT64_C(3542351872),	// V_CVT_F32_UBYTE1_e64_gfx6_gfx7
13801     UINT64_C(3511812096),	// V_CVT_F32_UBYTE1_e64_vi
13802     UINT64_C(2113938681),	// V_CVT_F32_UBYTE1_sdwa_gfx10
13803     UINT64_C(2113938681),	// V_CVT_F32_UBYTE1_sdwa_gfx9
13804     UINT64_C(2113938681),	// V_CVT_F32_UBYTE1_sdwa_vi
13805     UINT64_C(2113938944),	// V_CVT_F32_UBYTE2_dpp8_gfx10
13806     UINT64_C(2113939194),	// V_CVT_F32_UBYTE2_dpp_gfx10
13807     UINT64_C(2113939194),	// V_CVT_F32_UBYTE2_dpp_vi
13808     UINT64_C(2113938944),	// V_CVT_F32_UBYTE2_e32_gfx10
13809     UINT64_C(2113938944),	// V_CVT_F32_UBYTE2_e32_gfx6_gfx7
13810     UINT64_C(2113938944),	// V_CVT_F32_UBYTE2_e32_vi
13811     UINT64_C(3583180800),	// V_CVT_F32_UBYTE2_e64_gfx10
13812     UINT64_C(3542482944),	// V_CVT_F32_UBYTE2_e64_gfx6_gfx7
13813     UINT64_C(3511877632),	// V_CVT_F32_UBYTE2_e64_vi
13814     UINT64_C(2113939193),	// V_CVT_F32_UBYTE2_sdwa_gfx10
13815     UINT64_C(2113939193),	// V_CVT_F32_UBYTE2_sdwa_gfx9
13816     UINT64_C(2113939193),	// V_CVT_F32_UBYTE2_sdwa_vi
13817     UINT64_C(2113939456),	// V_CVT_F32_UBYTE3_dpp8_gfx10
13818     UINT64_C(2113939706),	// V_CVT_F32_UBYTE3_dpp_gfx10
13819     UINT64_C(2113939706),	// V_CVT_F32_UBYTE3_dpp_vi
13820     UINT64_C(2113939456),	// V_CVT_F32_UBYTE3_e32_gfx10
13821     UINT64_C(2113939456),	// V_CVT_F32_UBYTE3_e32_gfx6_gfx7
13822     UINT64_C(2113939456),	// V_CVT_F32_UBYTE3_e32_vi
13823     UINT64_C(3583246336),	// V_CVT_F32_UBYTE3_e64_gfx10
13824     UINT64_C(3542614016),	// V_CVT_F32_UBYTE3_e64_gfx6_gfx7
13825     UINT64_C(3511943168),	// V_CVT_F32_UBYTE3_e64_vi
13826     UINT64_C(2113939705),	// V_CVT_F32_UBYTE3_sdwa_gfx10
13827     UINT64_C(2113939705),	// V_CVT_F32_UBYTE3_sdwa_gfx9
13828     UINT64_C(2113939705),	// V_CVT_F32_UBYTE3_sdwa_vi
13829     UINT64_C(2113937408),	// V_CVT_F64_F32_e32_gfx10
13830     UINT64_C(2113937408),	// V_CVT_F64_F32_e32_gfx6_gfx7
13831     UINT64_C(2113937408),	// V_CVT_F64_F32_e32_vi
13832     UINT64_C(3582984192),	// V_CVT_F64_F32_e64_gfx10
13833     UINT64_C(3542089728),	// V_CVT_F64_F32_e64_gfx6_gfx7
13834     UINT64_C(3511681024),	// V_CVT_F64_F32_e64_vi
13835     UINT64_C(2113931264),	// V_CVT_F64_I32_e32_gfx10
13836     UINT64_C(2113931264),	// V_CVT_F64_I32_e32_gfx6_gfx7
13837     UINT64_C(2113931264),	// V_CVT_F64_I32_e32_vi
13838     UINT64_C(3582197760),	// V_CVT_F64_I32_e64_gfx10
13839     UINT64_C(3540516864),	// V_CVT_F64_I32_e64_gfx6_gfx7
13840     UINT64_C(3510894592),	// V_CVT_F64_I32_e64_vi
13841     UINT64_C(2113940480),	// V_CVT_F64_U32_e32_gfx10
13842     UINT64_C(2113940480),	// V_CVT_F64_U32_e32_gfx6_gfx7
13843     UINT64_C(2113940480),	// V_CVT_F64_U32_e32_vi
13844     UINT64_C(3583377408),	// V_CVT_F64_U32_e64_gfx10
13845     UINT64_C(3542876160),	// V_CVT_F64_U32_e64_gfx6_gfx7
13846     UINT64_C(3512074240),	// V_CVT_F64_U32_e64_vi
13847     UINT64_C(2113935872),	// V_CVT_FLR_I32_F32_dpp8_gfx10
13848     UINT64_C(2113936122),	// V_CVT_FLR_I32_F32_dpp_gfx10
13849     UINT64_C(2113936122),	// V_CVT_FLR_I32_F32_dpp_vi
13850     UINT64_C(2113935872),	// V_CVT_FLR_I32_F32_e32_gfx10
13851     UINT64_C(2113935872),	// V_CVT_FLR_I32_F32_e32_gfx6_gfx7
13852     UINT64_C(2113935872),	// V_CVT_FLR_I32_F32_e32_vi
13853     UINT64_C(3582787584),	// V_CVT_FLR_I32_F32_e64_gfx10
13854     UINT64_C(3541696512),	// V_CVT_FLR_I32_F32_e64_gfx6_gfx7
13855     UINT64_C(3511484416),	// V_CVT_FLR_I32_F32_e64_vi
13856     UINT64_C(2113936121),	// V_CVT_FLR_I32_F32_sdwa_gfx10
13857     UINT64_C(2113936121),	// V_CVT_FLR_I32_F32_sdwa_gfx9
13858     UINT64_C(2113936121),	// V_CVT_FLR_I32_F32_sdwa_vi
13859     UINT64_C(2113971712),	// V_CVT_I16_F16_dpp8_gfx10
13860     UINT64_C(2113971962),	// V_CVT_I16_F16_dpp_gfx10
13861     UINT64_C(2113960186),	// V_CVT_I16_F16_dpp_vi
13862     UINT64_C(2113971712),	// V_CVT_I16_F16_e32_gfx10
13863     UINT64_C(2113959936),	// V_CVT_I16_F16_e32_vi
13864     UINT64_C(3587375104),	// V_CVT_I16_F16_e64_gfx10
13865     UINT64_C(3514564608),	// V_CVT_I16_F16_e64_vi
13866     UINT64_C(2113971961),	// V_CVT_I16_F16_sdwa_gfx10
13867     UINT64_C(2113960185),	// V_CVT_I16_F16_sdwa_gfx9
13868     UINT64_C(2113960185),	// V_CVT_I16_F16_sdwa_vi
13869     UINT64_C(2113933312),	// V_CVT_I32_F32_dpp8_gfx10
13870     UINT64_C(2113933562),	// V_CVT_I32_F32_dpp_gfx10
13871     UINT64_C(2113933562),	// V_CVT_I32_F32_dpp_vi
13872     UINT64_C(2113933312),	// V_CVT_I32_F32_e32_gfx10
13873     UINT64_C(2113933312),	// V_CVT_I32_F32_e32_gfx6_gfx7
13874     UINT64_C(2113933312),	// V_CVT_I32_F32_e32_vi
13875     UINT64_C(3582459904),	// V_CVT_I32_F32_e64_gfx10
13876     UINT64_C(3541041152),	// V_CVT_I32_F32_e64_gfx6_gfx7
13877     UINT64_C(3511156736),	// V_CVT_I32_F32_e64_vi
13878     UINT64_C(2113933561),	// V_CVT_I32_F32_sdwa_gfx10
13879     UINT64_C(2113933561),	// V_CVT_I32_F32_sdwa_gfx9
13880     UINT64_C(2113933561),	// V_CVT_I32_F32_sdwa_vi
13881     UINT64_C(2113930752),	// V_CVT_I32_F64_e32_gfx10
13882     UINT64_C(2113930752),	// V_CVT_I32_F64_e32_gfx6_gfx7
13883     UINT64_C(2113930752),	// V_CVT_I32_F64_e32_vi
13884     UINT64_C(3582132224),	// V_CVT_I32_F64_e64_gfx10
13885     UINT64_C(3540385792),	// V_CVT_I32_F64_e64_gfx6_gfx7
13886     UINT64_C(3510829056),	// V_CVT_I32_F64_e64_vi
13887     UINT64_C(2113979904),	// V_CVT_NORM_I16_F16_dpp8_gfx10
13888     UINT64_C(2113980154),	// V_CVT_NORM_I16_F16_dpp_gfx10
13889     UINT64_C(2113968890),	// V_CVT_NORM_I16_F16_dpp_vi
13890     UINT64_C(2113979904),	// V_CVT_NORM_I16_F16_e32_gfx10
13891     UINT64_C(2113968640),	// V_CVT_NORM_I16_F16_e32_vi
13892     UINT64_C(3588423680),	// V_CVT_NORM_I16_F16_e64_gfx10
13893     UINT64_C(3515678720),	// V_CVT_NORM_I16_F16_e64_vi
13894     UINT64_C(2113980153),	// V_CVT_NORM_I16_F16_sdwa_gfx10
13895     UINT64_C(2113968889),	// V_CVT_NORM_I16_F16_sdwa_gfx9
13896     UINT64_C(2113968889),	// V_CVT_NORM_I16_F16_sdwa_vi
13897     UINT64_C(2113980416),	// V_CVT_NORM_U16_F16_dpp8_gfx10
13898     UINT64_C(2113980666),	// V_CVT_NORM_U16_F16_dpp_gfx10
13899     UINT64_C(2113969402),	// V_CVT_NORM_U16_F16_dpp_vi
13900     UINT64_C(2113980416),	// V_CVT_NORM_U16_F16_e32_gfx10
13901     UINT64_C(2113969152),	// V_CVT_NORM_U16_F16_e32_vi
13902     UINT64_C(3588489216),	// V_CVT_NORM_U16_F16_e64_gfx10
13903     UINT64_C(3515744256),	// V_CVT_NORM_U16_F16_e64_vi
13904     UINT64_C(2113980665),	// V_CVT_NORM_U16_F16_sdwa_gfx10
13905     UINT64_C(2113969401),	// V_CVT_NORM_U16_F16_sdwa_gfx9
13906     UINT64_C(2113969401),	// V_CVT_NORM_U16_F16_sdwa_vi
13907     UINT64_C(2113936384),	// V_CVT_OFF_F32_I4_dpp8_gfx10
13908     UINT64_C(2113936634),	// V_CVT_OFF_F32_I4_dpp_gfx10
13909     UINT64_C(2113936634),	// V_CVT_OFF_F32_I4_dpp_vi
13910     UINT64_C(2113936384),	// V_CVT_OFF_F32_I4_e32_gfx10
13911     UINT64_C(2113936384),	// V_CVT_OFF_F32_I4_e32_gfx6_gfx7
13912     UINT64_C(2113936384),	// V_CVT_OFF_F32_I4_e32_vi
13913     UINT64_C(3582853120),	// V_CVT_OFF_F32_I4_e64_gfx10
13914     UINT64_C(3541827584),	// V_CVT_OFF_F32_I4_e64_gfx6_gfx7
13915     UINT64_C(3511549952),	// V_CVT_OFF_F32_I4_e64_vi
13916     UINT64_C(2113936633),	// V_CVT_OFF_F32_I4_sdwa_gfx10
13917     UINT64_C(2113936633),	// V_CVT_OFF_F32_I4_sdwa_gfx9
13918     UINT64_C(2113936633),	// V_CVT_OFF_F32_I4_sdwa_vi
13919     UINT64_C(1476395008),	// V_CVT_PKACCUM_U8_F32_e32_gfx6_gfx7
13920     UINT64_C(3528982528),	// V_CVT_PKACCUM_U8_F32_e64_gfx6_gfx7
13921     UINT64_C(3522166784),	// V_CVT_PKACCUM_U8_F32_e64_vi
13922     UINT64_C(3608281088),	// V_CVT_PKNORM_I16_F16_gfx10
13923     UINT64_C(3533242368),	// V_CVT_PKNORM_I16_F16_vi
13924     UINT64_C(1509949440),	// V_CVT_PKNORM_I16_F32_e32_gfx6_gfx7
13925     UINT64_C(3613917184),	// V_CVT_PKNORM_I16_F32_e64_gfx10
13926     UINT64_C(3529113600),	// V_CVT_PKNORM_I16_F32_e64_gfx6_gfx7
13927     UINT64_C(3532914688),	// V_CVT_PKNORM_I16_F32_e64_vi
13928     UINT64_C(3608346624),	// V_CVT_PKNORM_U16_F16_gfx10
13929     UINT64_C(3533307904),	// V_CVT_PKNORM_U16_F16_vi
13930     UINT64_C(1543503872),	// V_CVT_PKNORM_U16_F32_e32_gfx6_gfx7
13931     UINT64_C(3613982720),	// V_CVT_PKNORM_U16_F32_e64_gfx10
13932     UINT64_C(3529244672),	// V_CVT_PKNORM_U16_F32_e64_gfx6_gfx7
13933     UINT64_C(3532980224),	// V_CVT_PKNORM_U16_F32_e64_vi
13934     UINT64_C(1577058304),	// V_CVT_PKRTZ_F16_F32_e32_gfx10
13935     UINT64_C(1577058304),	// V_CVT_PKRTZ_F16_F32_e32_gfx6_gfx7
13936     UINT64_C(3576627200),	// V_CVT_PKRTZ_F16_F32_e64_gfx10
13937     UINT64_C(3529375744),	// V_CVT_PKRTZ_F16_F32_e64_gfx6_gfx7
13938     UINT64_C(3533045760),	// V_CVT_PKRTZ_F16_F32_e64_vi
13939     UINT64_C(1644167168),	// V_CVT_PK_I16_I32_e32_gfx6_gfx7
13940     UINT64_C(3614113792),	// V_CVT_PK_I16_I32_e64_gfx10
13941     UINT64_C(3529637888),	// V_CVT_PK_I16_I32_e64_gfx6_gfx7
13942     UINT64_C(3533176832),	// V_CVT_PK_I16_I32_e64_vi
13943     UINT64_C(1610612736),	// V_CVT_PK_U16_U32_e32_gfx6_gfx7
13944     UINT64_C(3614048256),	// V_CVT_PK_U16_U32_e64_gfx10
13945     UINT64_C(3529506816),	// V_CVT_PK_U16_U32_e64_gfx6_gfx7
13946     UINT64_C(3533111296),	// V_CVT_PK_U16_U32_e64_vi
13947     UINT64_C(3579707392),	// V_CVT_PK_U8_F32_gfx10
13948     UINT64_C(3535536128),	// V_CVT_PK_U8_F32_gfx6_gfx7
13949     UINT64_C(3520921600),	// V_CVT_PK_U8_F32_vi
13950     UINT64_C(2113935360),	// V_CVT_RPI_I32_F32_dpp8_gfx10
13951     UINT64_C(2113935610),	// V_CVT_RPI_I32_F32_dpp_gfx10
13952     UINT64_C(2113935610),	// V_CVT_RPI_I32_F32_dpp_vi
13953     UINT64_C(2113935360),	// V_CVT_RPI_I32_F32_e32_gfx10
13954     UINT64_C(2113935360),	// V_CVT_RPI_I32_F32_e32_gfx6_gfx7
13955     UINT64_C(2113935360),	// V_CVT_RPI_I32_F32_e32_vi
13956     UINT64_C(3582722048),	// V_CVT_RPI_I32_F32_e64_gfx10
13957     UINT64_C(3541565440),	// V_CVT_RPI_I32_F32_e64_gfx6_gfx7
13958     UINT64_C(3511418880),	// V_CVT_RPI_I32_F32_e64_vi
13959     UINT64_C(2113935609),	// V_CVT_RPI_I32_F32_sdwa_gfx10
13960     UINT64_C(2113935609),	// V_CVT_RPI_I32_F32_sdwa_gfx9
13961     UINT64_C(2113935609),	// V_CVT_RPI_I32_F32_sdwa_vi
13962     UINT64_C(2113971200),	// V_CVT_U16_F16_dpp8_gfx10
13963     UINT64_C(2113971450),	// V_CVT_U16_F16_dpp_gfx10
13964     UINT64_C(2113959674),	// V_CVT_U16_F16_dpp_vi
13965     UINT64_C(2113971200),	// V_CVT_U16_F16_e32_gfx10
13966     UINT64_C(2113959424),	// V_CVT_U16_F16_e32_vi
13967     UINT64_C(3587309568),	// V_CVT_U16_F16_e64_gfx10
13968     UINT64_C(3514499072),	// V_CVT_U16_F16_e64_vi
13969     UINT64_C(2113971449),	// V_CVT_U16_F16_sdwa_gfx10
13970     UINT64_C(2113959673),	// V_CVT_U16_F16_sdwa_gfx9
13971     UINT64_C(2113959673),	// V_CVT_U16_F16_sdwa_vi
13972     UINT64_C(2113932800),	// V_CVT_U32_F32_dpp8_gfx10
13973     UINT64_C(2113933050),	// V_CVT_U32_F32_dpp_gfx10
13974     UINT64_C(2113933050),	// V_CVT_U32_F32_dpp_vi
13975     UINT64_C(2113932800),	// V_CVT_U32_F32_e32_gfx10
13976     UINT64_C(2113932800),	// V_CVT_U32_F32_e32_gfx6_gfx7
13977     UINT64_C(2113932800),	// V_CVT_U32_F32_e32_vi
13978     UINT64_C(3582394368),	// V_CVT_U32_F32_e64_gfx10
13979     UINT64_C(3540910080),	// V_CVT_U32_F32_e64_gfx6_gfx7
13980     UINT64_C(3511091200),	// V_CVT_U32_F32_e64_vi
13981     UINT64_C(2113933049),	// V_CVT_U32_F32_sdwa_gfx10
13982     UINT64_C(2113933049),	// V_CVT_U32_F32_sdwa_gfx9
13983     UINT64_C(2113933049),	// V_CVT_U32_F32_sdwa_vi
13984     UINT64_C(2113939968),	// V_CVT_U32_F64_e32_gfx10
13985     UINT64_C(2113939968),	// V_CVT_U32_F64_e32_gfx6_gfx7
13986     UINT64_C(2113939968),	// V_CVT_U32_F64_e32_vi
13987     UINT64_C(3583311872),	// V_CVT_U32_F64_e64_gfx10
13988     UINT64_C(3542745088),	// V_CVT_U32_F64_e64_gfx6_gfx7
13989     UINT64_C(3512008704),	// V_CVT_U32_F64_e64_vi
13990     UINT64_C(3613327360),	// V_DIV_FIXUP_F16_gfx10
13991     UINT64_C(3523674112),	// V_DIV_FIXUP_F16_gfx9_gfx9
13992     UINT64_C(3522101248),	// V_DIV_FIXUP_F16_vi
13993     UINT64_C(3579772928),	// V_DIV_FIXUP_F32_gfx10
13994     UINT64_C(3535667200),	// V_DIV_FIXUP_F32_gfx6_gfx7
13995     UINT64_C(3520987136),	// V_DIV_FIXUP_F32_vi
13996     UINT64_C(3579838464),	// V_DIV_FIXUP_F64_gfx10
13997     UINT64_C(3535798272),	// V_DIV_FIXUP_F64_gfx6_gfx7
13998     UINT64_C(3521052672),	// V_DIV_FIXUP_F64_vi
13999     UINT64_C(3522101248),	// V_DIV_FIXUP_LEGACY_F16_gfx9
14000     UINT64_C(3580821504),	// V_DIV_FMAS_F32_gfx10
14001     UINT64_C(3537764352),	// V_DIV_FMAS_F32_gfx6_gfx7
14002     UINT64_C(3521249280),	// V_DIV_FMAS_F32_vi
14003     UINT64_C(3580887040),	// V_DIV_FMAS_F64_gfx10
14004     UINT64_C(3537895424),	// V_DIV_FMAS_F64_gfx6_gfx7
14005     UINT64_C(3521314816),	// V_DIV_FMAS_F64_vi
14006     UINT64_C(3580690432),	// V_DIV_SCALE_F32_gfx10
14007     UINT64_C(3537502208),	// V_DIV_SCALE_F32_gfx6_gfx7
14008     UINT64_C(3521118208),	// V_DIV_SCALE_F32_vi
14009     UINT64_C(3580755968),	// V_DIV_SCALE_F64_gfx10
14010     UINT64_C(3537633280),	// V_DIV_SCALE_F64_gfx6_gfx7
14011     UINT64_C(3521183744),	// V_DIV_SCALE_F64_vi
14012     UINT64_C(67108864),	// V_DOT2C_F32_F16_dpp8_gfx10
14013     UINT64_C(67109114),	// V_DOT2C_F32_F16_dpp_gfx10
14014     UINT64_C(1845494010),	// V_DOT2C_F32_F16_dpp_vi
14015     UINT64_C(67108864),	// V_DOT2C_F32_F16_e32_gfx10
14016     UINT64_C(1845493760),	// V_DOT2C_F32_F16_e32_vi
14017     UINT64_C(1879048442),	// V_DOT2C_I32_I16_dpp_vi
14018     UINT64_C(1879048192),	// V_DOT2C_I32_I16_e32_vi
14019     UINT64_C(3423797248),	// V_DOT2_F32_F16_gfx10
14020     UINT64_C(3550674944),	// V_DOT2_F32_F16_vi
14021     UINT64_C(3423862784),	// V_DOT2_I32_I16_gfx10
14022     UINT64_C(3550871552),	// V_DOT2_I32_I16_vi
14023     UINT64_C(3423928320),	// V_DOT2_U32_U16_gfx10
14024     UINT64_C(3550937088),	// V_DOT2_U32_U16_vi
14025     UINT64_C(436207616),	// V_DOT4C_I32_I8_dpp8_gfx10
14026     UINT64_C(436207866),	// V_DOT4C_I32_I8_dpp_gfx10
14027     UINT64_C(1912602874),	// V_DOT4C_I32_I8_dpp_vi
14028     UINT64_C(436207616),	// V_DOT4C_I32_I8_e32_gfx10
14029     UINT64_C(1912602624),	// V_DOT4C_I32_I8_e32_vi
14030     UINT64_C(3423993856),	// V_DOT4_I32_I8_gfx10
14031     UINT64_C(3551002624),	// V_DOT4_I32_I8_vi
14032     UINT64_C(3424059392),	// V_DOT4_U32_U8_gfx10
14033     UINT64_C(3551068160),	// V_DOT4_U32_U8_vi
14034     UINT64_C(1946157306),	// V_DOT8C_I32_I4_dpp_vi
14035     UINT64_C(1946157056),	// V_DOT8C_I32_I4_e32_vi
14036     UINT64_C(3424124928),	// V_DOT8_I32_I4_gfx10
14037     UINT64_C(3551133696),	// V_DOT8_I32_I4_vi
14038     UINT64_C(3424190464),	// V_DOT8_U32_U4_gfx10
14039     UINT64_C(3551199232),	// V_DOT8_U32_U4_vi
14040     UINT64_C(2113974272),	// V_EXP_F16_dpp8_gfx10
14041     UINT64_C(2113974522),	// V_EXP_F16_dpp_gfx10
14042     UINT64_C(2113962746),	// V_EXP_F16_dpp_vi
14043     UINT64_C(2113974272),	// V_EXP_F16_e32_gfx10
14044     UINT64_C(2113962496),	// V_EXP_F16_e32_vi
14045     UINT64_C(3587702784),	// V_EXP_F16_e64_gfx10
14046     UINT64_C(3514892288),	// V_EXP_F16_e64_vi
14047     UINT64_C(2113974521),	// V_EXP_F16_sdwa_gfx10
14048     UINT64_C(2113962745),	// V_EXP_F16_sdwa_gfx9
14049     UINT64_C(2113962745),	// V_EXP_F16_sdwa_vi
14050     UINT64_C(2113948160),	// V_EXP_F32_dpp8_gfx10
14051     UINT64_C(2113948410),	// V_EXP_F32_dpp_gfx10
14052     UINT64_C(2113945850),	// V_EXP_F32_dpp_vi
14053     UINT64_C(2113948160),	// V_EXP_F32_e32_gfx10
14054     UINT64_C(2113948160),	// V_EXP_F32_e32_gfx6_gfx7
14055     UINT64_C(2113945600),	// V_EXP_F32_e32_vi
14056     UINT64_C(3584360448),	// V_EXP_F32_e64_gfx10
14057     UINT64_C(3544842240),	// V_EXP_F32_e64_gfx6_gfx7
14058     UINT64_C(3512729600),	// V_EXP_F32_e64_vi
14059     UINT64_C(2113948409),	// V_EXP_F32_sdwa_gfx10
14060     UINT64_C(2113945849),	// V_EXP_F32_sdwa_gfx9
14061     UINT64_C(2113945849),	// V_EXP_F32_sdwa_vi
14062     UINT64_C(2113967866),	// V_EXP_LEGACY_F32_dpp_vi
14063     UINT64_C(2113965056),	// V_EXP_LEGACY_F32_e32_gfx7
14064     UINT64_C(2113967616),	// V_EXP_LEGACY_F32_e32_vi
14065     UINT64_C(3549167616),	// V_EXP_LEGACY_F32_e64_gfx7
14066     UINT64_C(3515547648),	// V_EXP_LEGACY_F32_e64_vi
14067     UINT64_C(2113967865),	// V_EXP_LEGACY_F32_sdwa_gfx9
14068     UINT64_C(2113967865),	// V_EXP_LEGACY_F32_sdwa_vi
14069     UINT64_C(2113959424),	// V_FFBH_I32_dpp8_gfx10
14070     UINT64_C(2113959674),	// V_FFBH_I32_dpp_gfx10
14071     UINT64_C(2113953530),	// V_FFBH_I32_dpp_vi
14072     UINT64_C(2113959424),	// V_FFBH_I32_e32_gfx10
14073     UINT64_C(2113959424),	// V_FFBH_I32_e32_gfx6_gfx7
14074     UINT64_C(2113953280),	// V_FFBH_I32_e32_vi
14075     UINT64_C(3585802240),	// V_FFBH_I32_e64_gfx10
14076     UINT64_C(3547725824),	// V_FFBH_I32_e64_gfx6_gfx7
14077     UINT64_C(3513712640),	// V_FFBH_I32_e64_vi
14078     UINT64_C(2113959673),	// V_FFBH_I32_sdwa_gfx10
14079     UINT64_C(2113953529),	// V_FFBH_I32_sdwa_gfx9
14080     UINT64_C(2113953529),	// V_FFBH_I32_sdwa_vi
14081     UINT64_C(2113958400),	// V_FFBH_U32_dpp8_gfx10
14082     UINT64_C(2113958650),	// V_FFBH_U32_dpp_gfx10
14083     UINT64_C(2113952506),	// V_FFBH_U32_dpp_vi
14084     UINT64_C(2113958400),	// V_FFBH_U32_e32_gfx10
14085     UINT64_C(2113958400),	// V_FFBH_U32_e32_gfx6_gfx7
14086     UINT64_C(2113952256),	// V_FFBH_U32_e32_vi
14087     UINT64_C(3585671168),	// V_FFBH_U32_e64_gfx10
14088     UINT64_C(3547463680),	// V_FFBH_U32_e64_gfx6_gfx7
14089     UINT64_C(3513581568),	// V_FFBH_U32_e64_vi
14090     UINT64_C(2113958649),	// V_FFBH_U32_sdwa_gfx10
14091     UINT64_C(2113952505),	// V_FFBH_U32_sdwa_gfx9
14092     UINT64_C(2113952505),	// V_FFBH_U32_sdwa_vi
14093     UINT64_C(2113958912),	// V_FFBL_B32_dpp8_gfx10
14094     UINT64_C(2113959162),	// V_FFBL_B32_dpp_gfx10
14095     UINT64_C(2113953018),	// V_FFBL_B32_dpp_vi
14096     UINT64_C(2113958912),	// V_FFBL_B32_e32_gfx10
14097     UINT64_C(2113958912),	// V_FFBL_B32_e32_gfx6_gfx7
14098     UINT64_C(2113952768),	// V_FFBL_B32_e32_vi
14099     UINT64_C(3585736704),	// V_FFBL_B32_e64_gfx10
14100     UINT64_C(3547594752),	// V_FFBL_B32_e64_gfx6_gfx7
14101     UINT64_C(3513647104),	// V_FFBL_B32_e64_vi
14102     UINT64_C(2113959161),	// V_FFBL_B32_sdwa_gfx10
14103     UINT64_C(2113953017),	// V_FFBL_B32_sdwa_gfx9
14104     UINT64_C(2113953017),	// V_FFBL_B32_sdwa_vi
14105     UINT64_C(2113975808),	// V_FLOOR_F16_dpp8_gfx10
14106     UINT64_C(2113976058),	// V_FLOOR_F16_dpp_gfx10
14107     UINT64_C(2113964282),	// V_FLOOR_F16_dpp_vi
14108     UINT64_C(2113975808),	// V_FLOOR_F16_e32_gfx10
14109     UINT64_C(2113964032),	// V_FLOOR_F16_e32_vi
14110     UINT64_C(3587899392),	// V_FLOOR_F16_e64_gfx10
14111     UINT64_C(3515088896),	// V_FLOOR_F16_e64_vi
14112     UINT64_C(2113976057),	// V_FLOOR_F16_sdwa_gfx10
14113     UINT64_C(2113964281),	// V_FLOOR_F16_sdwa_gfx9
14114     UINT64_C(2113964281),	// V_FLOOR_F16_sdwa_vi
14115     UINT64_C(2113947648),	// V_FLOOR_F32_dpp8_gfx10
14116     UINT64_C(2113947898),	// V_FLOOR_F32_dpp_gfx10
14117     UINT64_C(2113945338),	// V_FLOOR_F32_dpp_vi
14118     UINT64_C(2113947648),	// V_FLOOR_F32_e32_gfx10
14119     UINT64_C(2113947648),	// V_FLOOR_F32_e32_gfx6_gfx7
14120     UINT64_C(2113945088),	// V_FLOOR_F32_e32_vi
14121     UINT64_C(3584294912),	// V_FLOOR_F32_e64_gfx10
14122     UINT64_C(3544711168),	// V_FLOOR_F32_e64_gfx6_gfx7
14123     UINT64_C(3512664064),	// V_FLOOR_F32_e64_vi
14124     UINT64_C(2113947897),	// V_FLOOR_F32_sdwa_gfx10
14125     UINT64_C(2113945337),	// V_FLOOR_F32_sdwa_gfx9
14126     UINT64_C(2113945337),	// V_FLOOR_F32_sdwa_vi
14127     UINT64_C(2113942528),	// V_FLOOR_F64_e32_gfx10
14128     UINT64_C(2113942528),	// V_FLOOR_F64_e32_gfx7
14129     UINT64_C(2113942528),	// V_FLOOR_F64_e32_vi
14130     UINT64_C(3583639552),	// V_FLOOR_F64_e64_gfx10
14131     UINT64_C(3543400448),	// V_FLOOR_F64_e64_gfx7
14132     UINT64_C(3512336384),	// V_FLOOR_F64_e64_vi
14133     UINT64_C(1879048192),	// V_FMAAK_F16_gfx10
14134     UINT64_C(1509949440),	// V_FMAAK_F32_gfx10
14135     UINT64_C(1811939328),	// V_FMAC_F16_dpp8_gfx10
14136     UINT64_C(1811939578),	// V_FMAC_F16_dpp_gfx10
14137     UINT64_C(1811939328),	// V_FMAC_F16_e32_gfx10
14138     UINT64_C(3577085952),	// V_FMAC_F16_e64_gfx10
14139     UINT64_C(1442840576),	// V_FMAC_F32_dpp8_gfx10
14140     UINT64_C(1442840826),	// V_FMAC_F32_dpp_gfx10
14141     UINT64_C(1979711738),	// V_FMAC_F32_dpp_vi
14142     UINT64_C(1442840576),	// V_FMAC_F32_e32_gfx10
14143     UINT64_C(1979711488),	// V_FMAC_F32_e32_vi
14144     UINT64_C(3576365056),	// V_FMAC_F32_e64_gfx10
14145     UINT64_C(3510304768),	// V_FMAC_F32_e64_vi
14146     UINT64_C(1979711737),	// V_FMAC_F32_sdwa_vi
14147     UINT64_C(1845493760),	// V_FMAMK_F16_gfx10
14148     UINT64_C(1476395008),	// V_FMAMK_F32_gfx10
14149     UINT64_C(3612016640),	// V_FMA_F16_gfx10
14150     UINT64_C(3523608576),	// V_FMA_F16_gfx9_gfx9
14151     UINT64_C(3522035712),	// V_FMA_F16_vi
14152     UINT64_C(3578462208),	// V_FMA_F32_gfx10
14153     UINT64_C(3533045760),	// V_FMA_F32_gfx6_gfx7
14154     UINT64_C(3519741952),	// V_FMA_F32_vi
14155     UINT64_C(3578527744),	// V_FMA_F64_gfx10
14156     UINT64_C(3533176832),	// V_FMA_F64_gfx6_gfx7
14157     UINT64_C(3519807488),	// V_FMA_F64_vi
14158     UINT64_C(3522035712),	// V_FMA_LEGACY_F16_gfx9
14159     UINT64_C(3424780288),	// V_FMA_MIXHI_F16_gfx10
14160     UINT64_C(3550609408),	// V_FMA_MIXHI_F16_vi
14161     UINT64_C(3424714752),	// V_FMA_MIXLO_F16_gfx10
14162     UINT64_C(3550543872),	// V_FMA_MIXLO_F16_vi
14163     UINT64_C(3424649216),	// V_FMA_MIX_F32_gfx10
14164     UINT64_C(3550478336),	// V_FMA_MIX_F32_vi
14165     UINT64_C(2113977856),	// V_FRACT_F16_dpp8_gfx10
14166     UINT64_C(2113978106),	// V_FRACT_F16_dpp_gfx10
14167     UINT64_C(2113966330),	// V_FRACT_F16_dpp_vi
14168     UINT64_C(2113977856),	// V_FRACT_F16_e32_gfx10
14169     UINT64_C(2113966080),	// V_FRACT_F16_e32_vi
14170     UINT64_C(3588161536),	// V_FRACT_F16_e64_gfx10
14171     UINT64_C(3515351040),	// V_FRACT_F16_e64_vi
14172     UINT64_C(2113978105),	// V_FRACT_F16_sdwa_gfx10
14173     UINT64_C(2113966329),	// V_FRACT_F16_sdwa_gfx9
14174     UINT64_C(2113966329),	// V_FRACT_F16_sdwa_vi
14175     UINT64_C(2113945600),	// V_FRACT_F32_dpp8_gfx10
14176     UINT64_C(2113945850),	// V_FRACT_F32_dpp_gfx10
14177     UINT64_C(2113943290),	// V_FRACT_F32_dpp_vi
14178     UINT64_C(2113945600),	// V_FRACT_F32_e32_gfx10
14179     UINT64_C(2113945600),	// V_FRACT_F32_e32_gfx6_gfx7
14180     UINT64_C(2113943040),	// V_FRACT_F32_e32_vi
14181     UINT64_C(3584032768),	// V_FRACT_F32_e64_gfx10
14182     UINT64_C(3544186880),	// V_FRACT_F32_e64_gfx6_gfx7
14183     UINT64_C(3512401920),	// V_FRACT_F32_e64_vi
14184     UINT64_C(2113945849),	// V_FRACT_F32_sdwa_gfx10
14185     UINT64_C(2113943289),	// V_FRACT_F32_sdwa_gfx9
14186     UINT64_C(2113943289),	// V_FRACT_F32_sdwa_vi
14187     UINT64_C(2113960960),	// V_FRACT_F64_e32_gfx10
14188     UINT64_C(2113960960),	// V_FRACT_F64_e32_gfx6_gfx7
14189     UINT64_C(2113954816),	// V_FRACT_F64_e32_vi
14190     UINT64_C(3585998848),	// V_FRACT_F64_e64_gfx10
14191     UINT64_C(3548119040),	// V_FRACT_F64_e64_gfx6_gfx7
14192     UINT64_C(3513909248),	// V_FRACT_F64_e64_vi
14193     UINT64_C(2113975296),	// V_FREXP_EXP_I16_F16_dpp8_gfx10
14194     UINT64_C(2113975546),	// V_FREXP_EXP_I16_F16_dpp_gfx10
14195     UINT64_C(2113963770),	// V_FREXP_EXP_I16_F16_dpp_vi
14196     UINT64_C(2113975296),	// V_FREXP_EXP_I16_F16_e32_gfx10
14197     UINT64_C(2113963520),	// V_FREXP_EXP_I16_F16_e32_vi
14198     UINT64_C(3587833856),	// V_FREXP_EXP_I16_F16_e64_gfx10
14199     UINT64_C(3515023360),	// V_FREXP_EXP_I16_F16_e64_vi
14200     UINT64_C(2113975545),	// V_FREXP_EXP_I16_F16_sdwa_gfx10
14201     UINT64_C(2113963769),	// V_FREXP_EXP_I16_F16_sdwa_gfx9
14202     UINT64_C(2113963769),	// V_FREXP_EXP_I16_F16_sdwa_vi
14203     UINT64_C(2113961472),	// V_FREXP_EXP_I32_F32_dpp8_gfx10
14204     UINT64_C(2113961722),	// V_FREXP_EXP_I32_F32_dpp_gfx10
14205     UINT64_C(2113955578),	// V_FREXP_EXP_I32_F32_dpp_vi
14206     UINT64_C(2113961472),	// V_FREXP_EXP_I32_F32_e32_gfx10
14207     UINT64_C(2113961472),	// V_FREXP_EXP_I32_F32_e32_gfx6_gfx7
14208     UINT64_C(2113955328),	// V_FREXP_EXP_I32_F32_e32_vi
14209     UINT64_C(3586064384),	// V_FREXP_EXP_I32_F32_e64_gfx10
14210     UINT64_C(3548250112),	// V_FREXP_EXP_I32_F32_e64_gfx6_gfx7
14211     UINT64_C(3513974784),	// V_FREXP_EXP_I32_F32_e64_vi
14212     UINT64_C(2113961721),	// V_FREXP_EXP_I32_F32_sdwa_gfx10
14213     UINT64_C(2113955577),	// V_FREXP_EXP_I32_F32_sdwa_gfx9
14214     UINT64_C(2113955577),	// V_FREXP_EXP_I32_F32_sdwa_vi
14215     UINT64_C(2113959936),	// V_FREXP_EXP_I32_F64_e32_gfx10
14216     UINT64_C(2113959936),	// V_FREXP_EXP_I32_F64_e32_gfx6_gfx7
14217     UINT64_C(2113953792),	// V_FREXP_EXP_I32_F64_e32_vi
14218     UINT64_C(3585867776),	// V_FREXP_EXP_I32_F64_e64_gfx10
14219     UINT64_C(3547856896),	// V_FREXP_EXP_I32_F64_e64_gfx6_gfx7
14220     UINT64_C(3513778176),	// V_FREXP_EXP_I32_F64_e64_vi
14221     UINT64_C(2113974784),	// V_FREXP_MANT_F16_dpp8_gfx10
14222     UINT64_C(2113975034),	// V_FREXP_MANT_F16_dpp_gfx10
14223     UINT64_C(2113963258),	// V_FREXP_MANT_F16_dpp_vi
14224     UINT64_C(2113974784),	// V_FREXP_MANT_F16_e32_gfx10
14225     UINT64_C(2113963008),	// V_FREXP_MANT_F16_e32_vi
14226     UINT64_C(3587768320),	// V_FREXP_MANT_F16_e64_gfx10
14227     UINT64_C(3514957824),	// V_FREXP_MANT_F16_e64_vi
14228     UINT64_C(2113975033),	// V_FREXP_MANT_F16_sdwa_gfx10
14229     UINT64_C(2113963257),	// V_FREXP_MANT_F16_sdwa_gfx9
14230     UINT64_C(2113963257),	// V_FREXP_MANT_F16_sdwa_vi
14231     UINT64_C(2113961984),	// V_FREXP_MANT_F32_dpp8_gfx10
14232     UINT64_C(2113962234),	// V_FREXP_MANT_F32_dpp_gfx10
14233     UINT64_C(2113956090),	// V_FREXP_MANT_F32_dpp_vi
14234     UINT64_C(2113961984),	// V_FREXP_MANT_F32_e32_gfx10
14235     UINT64_C(2113961984),	// V_FREXP_MANT_F32_e32_gfx6_gfx7
14236     UINT64_C(2113955840),	// V_FREXP_MANT_F32_e32_vi
14237     UINT64_C(3586129920),	// V_FREXP_MANT_F32_e64_gfx10
14238     UINT64_C(3548381184),	// V_FREXP_MANT_F32_e64_gfx6_gfx7
14239     UINT64_C(3514040320),	// V_FREXP_MANT_F32_e64_vi
14240     UINT64_C(2113962233),	// V_FREXP_MANT_F32_sdwa_gfx10
14241     UINT64_C(2113956089),	// V_FREXP_MANT_F32_sdwa_gfx9
14242     UINT64_C(2113956089),	// V_FREXP_MANT_F32_sdwa_vi
14243     UINT64_C(2113960448),	// V_FREXP_MANT_F64_e32_gfx10
14244     UINT64_C(2113960448),	// V_FREXP_MANT_F64_e32_gfx6_gfx7
14245     UINT64_C(2113954304),	// V_FREXP_MANT_F64_e32_vi
14246     UINT64_C(3585933312),	// V_FREXP_MANT_F64_e64_gfx10
14247     UINT64_C(3547987968),	// V_FREXP_MANT_F64_e64_gfx6_gfx7
14248     UINT64_C(3513843712),	// V_FREXP_MANT_F64_e64_vi
14249     UINT64_C(3590455296),	// V_INTERP_MOV_F32_e64_gfx10
14250     UINT64_C(3530686464),	// V_INTERP_MOV_F32_e64_vi
14251     UINT64_C(3355574272),	// V_INTERP_MOV_F32_gfx10
14252     UINT64_C(3355574272),	// V_INTERP_MOV_F32_si
14253     UINT64_C(3556900864),	// V_INTERP_MOV_F32_vi
14254     UINT64_C(3611426816),	// V_INTERP_P1LL_F16_gfx10
14255     UINT64_C(3530817536),	// V_INTERP_P1LL_F16_vi
14256     UINT64_C(3611492352),	// V_INTERP_P1LV_F16_gfx10
14257     UINT64_C(3530883072),	// V_INTERP_P1LV_F16_vi
14258     UINT64_C(3355443200),	// V_INTERP_P1_F32_16bank_gfx10
14259     UINT64_C(3355443200),	// V_INTERP_P1_F32_16bank_si
14260     UINT64_C(3556769792),	// V_INTERP_P1_F32_16bank_vi
14261     UINT64_C(3590324224),	// V_INTERP_P1_F32_e64_gfx10
14262     UINT64_C(3530555392),	// V_INTERP_P1_F32_e64_vi
14263     UINT64_C(3355443200),	// V_INTERP_P1_F32_gfx10
14264     UINT64_C(3355443200),	// V_INTERP_P1_F32_si
14265     UINT64_C(3556769792),	// V_INTERP_P1_F32_vi
14266     UINT64_C(3612999680),	// V_INTERP_P2_F16_gfx10
14267     UINT64_C(3531014144),	// V_INTERP_P2_F16_gfx9_gfx9
14268     UINT64_C(3530948608),	// V_INTERP_P2_F16_vi
14269     UINT64_C(3590389760),	// V_INTERP_P2_F32_e64_gfx10
14270     UINT64_C(3530620928),	// V_INTERP_P2_F32_e64_vi
14271     UINT64_C(3355508736),	// V_INTERP_P2_F32_gfx10
14272     UINT64_C(3355508736),	// V_INTERP_P2_F32_si
14273     UINT64_C(3556835328),	// V_INTERP_P2_F32_vi
14274     UINT64_C(3530948608),	// V_INTERP_P2_LEGACY_F16_gfx9
14275     UINT64_C(1979711488),	// V_LDEXP_F16_dpp8_gfx10
14276     UINT64_C(1979711738),	// V_LDEXP_F16_dpp_gfx10
14277     UINT64_C(1711276282),	// V_LDEXP_F16_dpp_vi
14278     UINT64_C(1979711488),	// V_LDEXP_F16_e32_gfx10
14279     UINT64_C(1711276032),	// V_LDEXP_F16_e32_vi
14280     UINT64_C(3577413632),	// V_LDEXP_F16_e64_gfx10
14281     UINT64_C(3509780480),	// V_LDEXP_F16_e64_vi
14282     UINT64_C(1979711737),	// V_LDEXP_F16_sdwa_gfx10
14283     UINT64_C(1711276281),	// V_LDEXP_F16_sdwa_gfx9
14284     UINT64_C(1711276281),	// V_LDEXP_F16_sdwa_vi
14285     UINT64_C(1442840576),	// V_LDEXP_F32_e32_gfx6_gfx7
14286     UINT64_C(3613523968),	// V_LDEXP_F32_e64_gfx10
14287     UINT64_C(3528851456),	// V_LDEXP_F32_e64_gfx6_gfx7
14288     UINT64_C(3532128256),	// V_LDEXP_F32_e64_vi
14289     UINT64_C(3580362752),	// V_LDEXP_F64_gfx10
14290     UINT64_C(3536846848),	// V_LDEXP_F64_gfx6_gfx7
14291     UINT64_C(3531866112),	// V_LDEXP_F64_vi
14292     UINT64_C(3578593280),	// V_LERP_U8_gfx10
14293     UINT64_C(3533307904),	// V_LERP_U8_gfx6_gfx7
14294     UINT64_C(3519873024),	// V_LERP_U8_vi
14295     UINT64_C(2113948672),	// V_LOG_CLAMP_F32_e32_gfx6_gfx7
14296     UINT64_C(3544973312),	// V_LOG_CLAMP_F32_e64_gfx6_gfx7
14297     UINT64_C(2113973760),	// V_LOG_F16_dpp8_gfx10
14298     UINT64_C(2113974010),	// V_LOG_F16_dpp_gfx10
14299     UINT64_C(2113962234),	// V_LOG_F16_dpp_vi
14300     UINT64_C(2113973760),	// V_LOG_F16_e32_gfx10
14301     UINT64_C(2113961984),	// V_LOG_F16_e32_vi
14302     UINT64_C(3587637248),	// V_LOG_F16_e64_gfx10
14303     UINT64_C(3514826752),	// V_LOG_F16_e64_vi
14304     UINT64_C(2113974009),	// V_LOG_F16_sdwa_gfx10
14305     UINT64_C(2113962233),	// V_LOG_F16_sdwa_gfx9
14306     UINT64_C(2113962233),	// V_LOG_F16_sdwa_vi
14307     UINT64_C(2113949184),	// V_LOG_F32_dpp8_gfx10
14308     UINT64_C(2113949434),	// V_LOG_F32_dpp_gfx10
14309     UINT64_C(2113946362),	// V_LOG_F32_dpp_vi
14310     UINT64_C(2113949184),	// V_LOG_F32_e32_gfx10
14311     UINT64_C(2113949184),	// V_LOG_F32_e32_gfx6_gfx7
14312     UINT64_C(2113946112),	// V_LOG_F32_e32_vi
14313     UINT64_C(3584491520),	// V_LOG_F32_e64_gfx10
14314     UINT64_C(3545104384),	// V_LOG_F32_e64_gfx6_gfx7
14315     UINT64_C(3512795136),	// V_LOG_F32_e64_vi
14316     UINT64_C(2113949433),	// V_LOG_F32_sdwa_gfx10
14317     UINT64_C(2113946361),	// V_LOG_F32_sdwa_gfx9
14318     UINT64_C(2113946361),	// V_LOG_F32_sdwa_vi
14319     UINT64_C(2113968378),	// V_LOG_LEGACY_F32_dpp_vi
14320     UINT64_C(2113964544),	// V_LOG_LEGACY_F32_e32_gfx7
14321     UINT64_C(2113968128),	// V_LOG_LEGACY_F32_e32_vi
14322     UINT64_C(3549036544),	// V_LOG_LEGACY_F32_e64_gfx7
14323     UINT64_C(3515613184),	// V_LOG_LEGACY_F32_e64_vi
14324     UINT64_C(2113968377),	// V_LOG_LEGACY_F32_sdwa_gfx9
14325     UINT64_C(2113968377),	// V_LOG_LEGACY_F32_sdwa_vi
14326     UINT64_C(1409286394),	// V_LSHLREV_B16_dpp_vi
14327     UINT64_C(1409286144),	// V_LSHLREV_B16_e32_vi
14328     UINT64_C(3509190656),	// V_LSHLREV_B16_e64_vi
14329     UINT64_C(3608412160),	// V_LSHLREV_B16_gfx10
14330     UINT64_C(1409286393),	// V_LSHLREV_B16_sdwa_gfx9
14331     UINT64_C(1409286393),	// V_LSHLREV_B16_sdwa_vi
14332     UINT64_C(872415232),	// V_LSHLREV_B32_dpp8_gfx10
14333     UINT64_C(872415482),	// V_LSHLREV_B32_dpp_gfx10
14334     UINT64_C(603980026),	// V_LSHLREV_B32_dpp_vi
14335     UINT64_C(872415232),	// V_LSHLREV_B32_e32_gfx10
14336     UINT64_C(872415232),	// V_LSHLREV_B32_e32_gfx6_gfx7
14337     UINT64_C(603979776),	// V_LSHLREV_B32_e32_vi
14338     UINT64_C(3575250944),	// V_LSHLREV_B32_e64_gfx10
14339     UINT64_C(3526623232),	// V_LSHLREV_B32_e64_gfx6_gfx7
14340     UINT64_C(3507617792),	// V_LSHLREV_B32_e64_vi
14341     UINT64_C(872415481),	// V_LSHLREV_B32_sdwa_gfx10
14342     UINT64_C(603980025),	// V_LSHLREV_B32_sdwa_gfx9
14343     UINT64_C(603980025),	// V_LSHLREV_B32_sdwa_vi
14344     UINT64_C(3607035904),	// V_LSHLREV_B64_gfx10
14345     UINT64_C(3532587008),	// V_LSHLREV_B64_vi
14346     UINT64_C(3611688960),	// V_LSHL_ADD_U32_gfx10
14347     UINT64_C(3523018752),	// V_LSHL_ADD_U32_vi
14348     UINT64_C(838860800),	// V_LSHL_B32_e32_gfx6_gfx7
14349     UINT64_C(3526492160),	// V_LSHL_B32_e64_gfx6_gfx7
14350     UINT64_C(3535929344),	// V_LSHL_B64_gfx6_gfx7
14351     UINT64_C(3614375936),	// V_LSHL_OR_B32_gfx10
14352     UINT64_C(3523215360),	// V_LSHL_OR_B32_vi
14353     UINT64_C(1442840826),	// V_LSHRREV_B16_dpp_vi
14354     UINT64_C(1442840576),	// V_LSHRREV_B16_e32_vi
14355     UINT64_C(3509256192),	// V_LSHRREV_B16_e64_vi
14356     UINT64_C(3607560192),	// V_LSHRREV_B16_gfx10
14357     UINT64_C(1442840825),	// V_LSHRREV_B16_sdwa_gfx9
14358     UINT64_C(1442840825),	// V_LSHRREV_B16_sdwa_vi
14359     UINT64_C(738197504),	// V_LSHRREV_B32_dpp8_gfx10
14360     UINT64_C(738197754),	// V_LSHRREV_B32_dpp_gfx10
14361     UINT64_C(536871162),	// V_LSHRREV_B32_dpp_vi
14362     UINT64_C(738197504),	// V_LSHRREV_B32_e32_gfx10
14363     UINT64_C(738197504),	// V_LSHRREV_B32_e32_gfx6_gfx7
14364     UINT64_C(536870912),	// V_LSHRREV_B32_e32_vi
14365     UINT64_C(3574988800),	// V_LSHRREV_B32_e64_gfx10
14366     UINT64_C(3526098944),	// V_LSHRREV_B32_e64_gfx6_gfx7
14367     UINT64_C(3507486720),	// V_LSHRREV_B32_e64_vi
14368     UINT64_C(738197753),	// V_LSHRREV_B32_sdwa_gfx10
14369     UINT64_C(536871161),	// V_LSHRREV_B32_sdwa_gfx9
14370     UINT64_C(536871161),	// V_LSHRREV_B32_sdwa_vi
14371     UINT64_C(3607101440),	// V_LSHRREV_B64_gfx10
14372     UINT64_C(3532652544),	// V_LSHRREV_B64_vi
14373     UINT64_C(704643072),	// V_LSHR_B32_e32_gfx6_gfx7
14374     UINT64_C(3525967872),	// V_LSHR_B32_e64_gfx6_gfx7
14375     UINT64_C(3536060416),	// V_LSHR_B64_gfx6_gfx7
14376     UINT64_C(1174405370),	// V_MAC_F16_dpp_vi
14377     UINT64_C(1174405120),	// V_MAC_F16_e32_vi
14378     UINT64_C(3508731904),	// V_MAC_F16_e64_vi
14379     UINT64_C(1174405369),	// V_MAC_F16_sdwa_vi
14380     UINT64_C(1040187392),	// V_MAC_F32_dpp8_gfx10
14381     UINT64_C(1040187642),	// V_MAC_F32_dpp_gfx10
14382     UINT64_C(738197754),	// V_MAC_F32_dpp_vi
14383     UINT64_C(1040187392),	// V_MAC_F32_e32_gfx10
14384     UINT64_C(1040187392),	// V_MAC_F32_e32_gfx6_gfx7
14385     UINT64_C(738197504),	// V_MAC_F32_e32_vi
14386     UINT64_C(3575578624),	// V_MAC_F32_e64_gfx10
14387     UINT64_C(3527278592),	// V_MAC_F32_e64_gfx6_gfx7
14388     UINT64_C(3507879936),	// V_MAC_F32_e64_vi
14389     UINT64_C(738197753),	// V_MAC_F32_sdwa_vi
14390     UINT64_C(201326592),	// V_MAC_LEGACY_F32_dpp8_gfx10
14391     UINT64_C(201326842),	// V_MAC_LEGACY_F32_dpp_gfx10
14392     UINT64_C(201326592),	// V_MAC_LEGACY_F32_e32_gfx10
14393     UINT64_C(201326592),	// V_MAC_LEGACY_F32_e32_gfx6_gfx7
14394     UINT64_C(3573940224),	// V_MAC_LEGACY_F32_e64_gfx10
14395     UINT64_C(3524001792),	// V_MAC_LEGACY_F32_e64_gfx6_gfx7
14396     UINT64_C(201326841),	// V_MAC_LEGACY_F32_sdwa_gfx10
14397     UINT64_C(1241513984),	// V_MADAK_F16_vi
14398     UINT64_C(1107296256),	// V_MADAK_F32_gfx10
14399     UINT64_C(1107296256),	// V_MADAK_F32_gfx6_gfx7
14400     UINT64_C(805306368),	// V_MADAK_F32_vi
14401     UINT64_C(1207959552),	// V_MADMK_F16_vi
14402     UINT64_C(1073741824),	// V_MADMK_F32_gfx10
14403     UINT64_C(1073741824),	// V_MADMK_F32_gfx6_gfx7
14404     UINT64_C(771751936),	// V_MADMK_F32_vi
14405     UINT64_C(3523411968),	// V_MAD_F16_gfx9_gfx9
14406     UINT64_C(3521773568),	// V_MAD_F16_vi
14407     UINT64_C(3577806848),	// V_MAD_F32_gfx10
14408     UINT64_C(3531735040),	// V_MAD_F32_gfx6_gfx7
14409     UINT64_C(3519086592),	// V_MAD_F32_vi
14410     UINT64_C(3613261824),	// V_MAD_I16_gfx10
14411     UINT64_C(3523543040),	// V_MAD_I16_gfx9_gfx9
14412     UINT64_C(3521904640),	// V_MAD_I16_vi
14413     UINT64_C(3614769152),	// V_MAD_I32_I16_gfx10
14414     UINT64_C(3522297856),	// V_MAD_I32_I16_vi
14415     UINT64_C(3577872384),	// V_MAD_I32_I24_gfx10
14416     UINT64_C(3531866112),	// V_MAD_I32_I24_gfx6_gfx7
14417     UINT64_C(3519152128),	// V_MAD_I32_I24_vi
14418     UINT64_C(3581345792),	// V_MAD_I64_I32_gfx10
14419     UINT64_C(3538812928),	// V_MAD_I64_I32_gfx7
14420     UINT64_C(3521708032),	// V_MAD_I64_I32_vi
14421     UINT64_C(3521773568),	// V_MAD_LEGACY_F16_gfx9
14422     UINT64_C(3577741312),	// V_MAD_LEGACY_F32_gfx10
14423     UINT64_C(3531603968),	// V_MAD_LEGACY_F32_gfx6_gfx7
14424     UINT64_C(3519021056),	// V_MAD_LEGACY_F32_vi
14425     UINT64_C(3521904640),	// V_MAD_LEGACY_I16_gfx9
14426     UINT64_C(3521839104),	// V_MAD_LEGACY_U16_gfx9
14427     UINT64_C(3550609408),	// V_MAD_MIXHI_F16_vi
14428     UINT64_C(3550543872),	// V_MAD_MIXLO_F16_vi
14429     UINT64_C(3550478336),	// V_MAD_MIX_F32_vi
14430     UINT64_C(3611295744),	// V_MAD_U16_gfx10
14431     UINT64_C(3523477504),	// V_MAD_U16_gfx9_gfx9
14432     UINT64_C(3521839104),	// V_MAD_U16_vi
14433     UINT64_C(3614638080),	// V_MAD_U32_U16_gfx10
14434     UINT64_C(3522232320),	// V_MAD_U32_U16_vi
14435     UINT64_C(3577937920),	// V_MAD_U32_U24_gfx10
14436     UINT64_C(3531997184),	// V_MAD_U32_U24_gfx6_gfx7
14437     UINT64_C(3519217664),	// V_MAD_U32_U24_vi
14438     UINT64_C(3581280256),	// V_MAD_U64_U32_gfx10
14439     UINT64_C(3538681856),	// V_MAD_U64_U32_gfx7
14440     UINT64_C(3521642496),	// V_MAD_U64_U32_vi
14441     UINT64_C(3612606464),	// V_MAX3_F16_gfx10
14442     UINT64_C(3522625536),	// V_MAX3_F16_vi
14443     UINT64_C(3579052032),	// V_MAX3_F32_gfx10
14444     UINT64_C(3534225408),	// V_MAX3_F32_gfx6_gfx7
14445     UINT64_C(3520266240),	// V_MAX3_F32_vi
14446     UINT64_C(3612672000),	// V_MAX3_I16_gfx10
14447     UINT64_C(3522691072),	// V_MAX3_I16_vi
14448     UINT64_C(3579117568),	// V_MAX3_I32_gfx10
14449     UINT64_C(3534356480),	// V_MAX3_I32_gfx6_gfx7
14450     UINT64_C(3520331776),	// V_MAX3_I32_vi
14451     UINT64_C(3612737536),	// V_MAX3_U16_gfx10
14452     UINT64_C(3522756608),	// V_MAX3_U16_vi
14453     UINT64_C(3579183104),	// V_MAX3_U32_gfx10
14454     UINT64_C(3534487552),	// V_MAX3_U32_gfx6_gfx7
14455     UINT64_C(3520397312),	// V_MAX3_U32_vi
14456     UINT64_C(1912602624),	// V_MAX_F16_dpp8_gfx10
14457     UINT64_C(1912602874),	// V_MAX_F16_dpp_gfx10
14458     UINT64_C(1509949690),	// V_MAX_F16_dpp_vi
14459     UINT64_C(1912602624),	// V_MAX_F16_e32_gfx10
14460     UINT64_C(1509949440),	// V_MAX_F16_e32_vi
14461     UINT64_C(3577282560),	// V_MAX_F16_e64_gfx10
14462     UINT64_C(3509387264),	// V_MAX_F16_e64_vi
14463     UINT64_C(1912602873),	// V_MAX_F16_sdwa_gfx10
14464     UINT64_C(1509949689),	// V_MAX_F16_sdwa_gfx9
14465     UINT64_C(1509949689),	// V_MAX_F16_sdwa_vi
14466     UINT64_C(536870912),	// V_MAX_F32_dpp8_gfx10
14467     UINT64_C(536871162),	// V_MAX_F32_dpp_gfx10
14468     UINT64_C(369099002),	// V_MAX_F32_dpp_vi
14469     UINT64_C(536870912),	// V_MAX_F32_e32_gfx10
14470     UINT64_C(536870912),	// V_MAX_F32_e32_gfx6_gfx7
14471     UINT64_C(369098752),	// V_MAX_F32_e32_vi
14472     UINT64_C(3574595584),	// V_MAX_F32_e64_gfx10
14473     UINT64_C(3525312512),	// V_MAX_F32_e64_gfx6_gfx7
14474     UINT64_C(3507159040),	// V_MAX_F32_e64_vi
14475     UINT64_C(536871161),	// V_MAX_F32_sdwa_gfx10
14476     UINT64_C(369099001),	// V_MAX_F32_sdwa_gfx9
14477     UINT64_C(369099001),	// V_MAX_F32_sdwa_vi
14478     UINT64_C(3580297216),	// V_MAX_F64_gfx10
14479     UINT64_C(3536715776),	// V_MAX_F64_gfx6_gfx7
14480     UINT64_C(3531800576),	// V_MAX_F64_vi
14481     UINT64_C(1610612986),	// V_MAX_I16_dpp_vi
14482     UINT64_C(1610612736),	// V_MAX_I16_e32_vi
14483     UINT64_C(3509583872),	// V_MAX_I16_e64_vi
14484     UINT64_C(3607756800),	// V_MAX_I16_gfx10
14485     UINT64_C(1610612985),	// V_MAX_I16_sdwa_gfx9
14486     UINT64_C(1610612985),	// V_MAX_I16_sdwa_vi
14487     UINT64_C(603979776),	// V_MAX_I32_dpp8_gfx10
14488     UINT64_C(603980026),	// V_MAX_I32_dpp_gfx10
14489     UINT64_C(436207866),	// V_MAX_I32_dpp_vi
14490     UINT64_C(603979776),	// V_MAX_I32_e32_gfx10
14491     UINT64_C(603979776),	// V_MAX_I32_e32_gfx6_gfx7
14492     UINT64_C(436207616),	// V_MAX_I32_e32_vi
14493     UINT64_C(3574726656),	// V_MAX_I32_e64_gfx10
14494     UINT64_C(3525574656),	// V_MAX_I32_e64_gfx6_gfx7
14495     UINT64_C(3507290112),	// V_MAX_I32_e64_vi
14496     UINT64_C(603980025),	// V_MAX_I32_sdwa_gfx10
14497     UINT64_C(436207865),	// V_MAX_I32_sdwa_gfx9
14498     UINT64_C(436207865),	// V_MAX_I32_sdwa_vi
14499     UINT64_C(469762048),	// V_MAX_LEGACY_F32_e32_gfx6_gfx7
14500     UINT64_C(3525050368),	// V_MAX_LEGACY_F32_e64_gfx6_gfx7
14501     UINT64_C(1577058554),	// V_MAX_U16_dpp_vi
14502     UINT64_C(1577058304),	// V_MAX_U16_e32_vi
14503     UINT64_C(3509518336),	// V_MAX_U16_e64_vi
14504     UINT64_C(3607691264),	// V_MAX_U16_gfx10
14505     UINT64_C(1577058553),	// V_MAX_U16_sdwa_gfx9
14506     UINT64_C(1577058553),	// V_MAX_U16_sdwa_vi
14507     UINT64_C(671088640),	// V_MAX_U32_dpp8_gfx10
14508     UINT64_C(671088890),	// V_MAX_U32_dpp_gfx10
14509     UINT64_C(503316730),	// V_MAX_U32_dpp_vi
14510     UINT64_C(671088640),	// V_MAX_U32_e32_gfx10
14511     UINT64_C(671088640),	// V_MAX_U32_e32_gfx6_gfx7
14512     UINT64_C(503316480),	// V_MAX_U32_e32_vi
14513     UINT64_C(3574857728),	// V_MAX_U32_e64_gfx10
14514     UINT64_C(3525836800),	// V_MAX_U32_e64_gfx6_gfx7
14515     UINT64_C(3507421184),	// V_MAX_U32_e64_vi
14516     UINT64_C(671088889),	// V_MAX_U32_sdwa_gfx10
14517     UINT64_C(503316729),	// V_MAX_U32_sdwa_gfx9
14518     UINT64_C(503316729),	// V_MAX_U32_sdwa_vi
14519     UINT64_C(1207959552),	// V_MBCNT_HI_U32_B32_e32_gfx6_gfx7
14520     UINT64_C(3613786112),	// V_MBCNT_HI_U32_B32_e64_gfx10
14521     UINT64_C(3527933952),	// V_MBCNT_HI_U32_B32_e64_gfx6_gfx7
14522     UINT64_C(3532455936),	// V_MBCNT_HI_U32_B32_e64_vi
14523     UINT64_C(1174405120),	// V_MBCNT_LO_U32_B32_e32_gfx6_gfx7
14524     UINT64_C(3613720576),	// V_MBCNT_LO_U32_B32_e64_gfx10
14525     UINT64_C(3527802880),	// V_MBCNT_LO_U32_B32_e64_gfx6_gfx7
14526     UINT64_C(3532390400),	// V_MBCNT_LO_U32_B32_e64_vi
14527     UINT64_C(3612803072),	// V_MED3_F16_gfx10
14528     UINT64_C(3522822144),	// V_MED3_F16_vi
14529     UINT64_C(3579248640),	// V_MED3_F32_gfx10
14530     UINT64_C(3534618624),	// V_MED3_F32_gfx6_gfx7
14531     UINT64_C(3520462848),	// V_MED3_F32_vi
14532     UINT64_C(3612868608),	// V_MED3_I16_gfx10
14533     UINT64_C(3522887680),	// V_MED3_I16_vi
14534     UINT64_C(3579314176),	// V_MED3_I32_gfx10
14535     UINT64_C(3534749696),	// V_MED3_I32_gfx6_gfx7
14536     UINT64_C(3520528384),	// V_MED3_I32_vi
14537     UINT64_C(3612934144),	// V_MED3_U16_gfx10
14538     UINT64_C(3522953216),	// V_MED3_U16_vi
14539     UINT64_C(3579379712),	// V_MED3_U32_gfx10
14540     UINT64_C(3534880768),	// V_MED3_U32_gfx6_gfx7
14541     UINT64_C(3520593920),	// V_MED3_U32_vi
14542     UINT64_C(3553427456),	// V_MFMA_F32_16X16X16F16_vi
14543     UINT64_C(3552641024),	// V_MFMA_F32_16X16X1F32_vi
14544     UINT64_C(3555262464),	// V_MFMA_F32_16X16X2BF16_vi
14545     UINT64_C(3553165312),	// V_MFMA_F32_16X16X4F16_vi
14546     UINT64_C(3552903168),	// V_MFMA_F32_16X16X4F32_vi
14547     UINT64_C(3555524608),	// V_MFMA_F32_16X16X8BF16_vi
14548     UINT64_C(3552575488),	// V_MFMA_F32_32X32X1F32_vi
14549     UINT64_C(3555196928),	// V_MFMA_F32_32X32X2BF16_vi
14550     UINT64_C(3552837632),	// V_MFMA_F32_32X32X2F32_vi
14551     UINT64_C(3555459072),	// V_MFMA_F32_32X32X4BF16_vi
14552     UINT64_C(3553099776),	// V_MFMA_F32_32X32X4F16_vi
14553     UINT64_C(3553361920),	// V_MFMA_F32_32X32X8F16_vi
14554     UINT64_C(3552706560),	// V_MFMA_F32_4X4X1F32_vi
14555     UINT64_C(3555393536),	// V_MFMA_F32_4X4X2BF16_vi
14556     UINT64_C(3553230848),	// V_MFMA_F32_4X4X4F16_vi
14557     UINT64_C(3553951744),	// V_MFMA_I32_16X16X16I8_vi
14558     UINT64_C(3553689600),	// V_MFMA_I32_16X16X4I8_vi
14559     UINT64_C(3553624064),	// V_MFMA_I32_32X32X4I8_vi
14560     UINT64_C(3553886208),	// V_MFMA_I32_32X32X8I8_vi
14561     UINT64_C(3553755136),	// V_MFMA_I32_4X4X4I8_vi
14562     UINT64_C(3612409856),	// V_MIN3_F16_gfx10
14563     UINT64_C(3522428928),	// V_MIN3_F16_vi
14564     UINT64_C(3578855424),	// V_MIN3_F32_gfx10
14565     UINT64_C(3533832192),	// V_MIN3_F32_gfx6_gfx7
14566     UINT64_C(3520069632),	// V_MIN3_F32_vi
14567     UINT64_C(3612475392),	// V_MIN3_I16_gfx10
14568     UINT64_C(3522494464),	// V_MIN3_I16_vi
14569     UINT64_C(3578920960),	// V_MIN3_I32_gfx10
14570     UINT64_C(3533963264),	// V_MIN3_I32_gfx6_gfx7
14571     UINT64_C(3520135168),	// V_MIN3_I32_vi
14572     UINT64_C(3612540928),	// V_MIN3_U16_gfx10
14573     UINT64_C(3522560000),	// V_MIN3_U16_vi
14574     UINT64_C(3578986496),	// V_MIN3_U32_gfx10
14575     UINT64_C(3534094336),	// V_MIN3_U32_gfx6_gfx7
14576     UINT64_C(3520200704),	// V_MIN3_U32_vi
14577     UINT64_C(1946157056),	// V_MIN_F16_dpp8_gfx10
14578     UINT64_C(1946157306),	// V_MIN_F16_dpp_gfx10
14579     UINT64_C(1543504122),	// V_MIN_F16_dpp_vi
14580     UINT64_C(1946157056),	// V_MIN_F16_e32_gfx10
14581     UINT64_C(1543503872),	// V_MIN_F16_e32_vi
14582     UINT64_C(3577348096),	// V_MIN_F16_e64_gfx10
14583     UINT64_C(3509452800),	// V_MIN_F16_e64_vi
14584     UINT64_C(1946157305),	// V_MIN_F16_sdwa_gfx10
14585     UINT64_C(1543504121),	// V_MIN_F16_sdwa_gfx9
14586     UINT64_C(1543504121),	// V_MIN_F16_sdwa_vi
14587     UINT64_C(503316480),	// V_MIN_F32_dpp8_gfx10
14588     UINT64_C(503316730),	// V_MIN_F32_dpp_gfx10
14589     UINT64_C(335544570),	// V_MIN_F32_dpp_vi
14590     UINT64_C(503316480),	// V_MIN_F32_e32_gfx10
14591     UINT64_C(503316480),	// V_MIN_F32_e32_gfx6_gfx7
14592     UINT64_C(335544320),	// V_MIN_F32_e32_vi
14593     UINT64_C(3574530048),	// V_MIN_F32_e64_gfx10
14594     UINT64_C(3525181440),	// V_MIN_F32_e64_gfx6_gfx7
14595     UINT64_C(3507093504),	// V_MIN_F32_e64_vi
14596     UINT64_C(503316729),	// V_MIN_F32_sdwa_gfx10
14597     UINT64_C(335544569),	// V_MIN_F32_sdwa_gfx9
14598     UINT64_C(335544569),	// V_MIN_F32_sdwa_vi
14599     UINT64_C(3580231680),	// V_MIN_F64_gfx10
14600     UINT64_C(3536584704),	// V_MIN_F64_gfx6_gfx7
14601     UINT64_C(3531735040),	// V_MIN_F64_vi
14602     UINT64_C(1677721850),	// V_MIN_I16_dpp_vi
14603     UINT64_C(1677721600),	// V_MIN_I16_e32_vi
14604     UINT64_C(3509714944),	// V_MIN_I16_e64_vi
14605     UINT64_C(3607887872),	// V_MIN_I16_gfx10
14606     UINT64_C(1677721849),	// V_MIN_I16_sdwa_gfx9
14607     UINT64_C(1677721849),	// V_MIN_I16_sdwa_vi
14608     UINT64_C(570425344),	// V_MIN_I32_dpp8_gfx10
14609     UINT64_C(570425594),	// V_MIN_I32_dpp_gfx10
14610     UINT64_C(402653434),	// V_MIN_I32_dpp_vi
14611     UINT64_C(570425344),	// V_MIN_I32_e32_gfx10
14612     UINT64_C(570425344),	// V_MIN_I32_e32_gfx6_gfx7
14613     UINT64_C(402653184),	// V_MIN_I32_e32_vi
14614     UINT64_C(3574661120),	// V_MIN_I32_e64_gfx10
14615     UINT64_C(3525443584),	// V_MIN_I32_e64_gfx6_gfx7
14616     UINT64_C(3507224576),	// V_MIN_I32_e64_vi
14617     UINT64_C(570425593),	// V_MIN_I32_sdwa_gfx10
14618     UINT64_C(402653433),	// V_MIN_I32_sdwa_gfx9
14619     UINT64_C(402653433),	// V_MIN_I32_sdwa_vi
14620     UINT64_C(436207616),	// V_MIN_LEGACY_F32_e32_gfx6_gfx7
14621     UINT64_C(3524919296),	// V_MIN_LEGACY_F32_e64_gfx6_gfx7
14622     UINT64_C(1644167418),	// V_MIN_U16_dpp_vi
14623     UINT64_C(1644167168),	// V_MIN_U16_e32_vi
14624     UINT64_C(3509649408),	// V_MIN_U16_e64_vi
14625     UINT64_C(3607822336),	// V_MIN_U16_gfx10
14626     UINT64_C(1644167417),	// V_MIN_U16_sdwa_gfx9
14627     UINT64_C(1644167417),	// V_MIN_U16_sdwa_vi
14628     UINT64_C(637534208),	// V_MIN_U32_dpp8_gfx10
14629     UINT64_C(637534458),	// V_MIN_U32_dpp_gfx10
14630     UINT64_C(469762298),	// V_MIN_U32_dpp_vi
14631     UINT64_C(637534208),	// V_MIN_U32_e32_gfx10
14632     UINT64_C(637534208),	// V_MIN_U32_e32_gfx6_gfx7
14633     UINT64_C(469762048),	// V_MIN_U32_e32_vi
14634     UINT64_C(3574792192),	// V_MIN_U32_e64_gfx10
14635     UINT64_C(3525705728),	// V_MIN_U32_e64_gfx6_gfx7
14636     UINT64_C(3507355648),	// V_MIN_U32_e64_vi
14637     UINT64_C(637534457),	// V_MIN_U32_sdwa_gfx10
14638     UINT64_C(469762297),	// V_MIN_U32_sdwa_gfx9
14639     UINT64_C(469762297),	// V_MIN_U32_sdwa_vi
14640     UINT64_C(2113963008),	// V_MOVRELD_B32_e32_gfx10
14641     UINT64_C(2113963008),	// V_MOVRELD_B32_e32_gfx6_gfx7
14642     UINT64_C(2113956864),	// V_MOVRELD_B32_e32_vi
14643     UINT64_C(3586260992),	// V_MOVRELD_B32_e64_gfx10
14644     UINT64_C(3548643328),	// V_MOVRELD_B32_e64_gfx6_gfx7
14645     UINT64_C(3514171392),	// V_MOVRELD_B32_e64_vi
14646     UINT64_C(2113966080),	// V_MOVRELSD_2_B32_e32_gfx10
14647     UINT64_C(3586654208),	// V_MOVRELSD_2_B32_e64_gfx10
14648     UINT64_C(2113964032),	// V_MOVRELSD_B32_e32_gfx10
14649     UINT64_C(2113964032),	// V_MOVRELSD_B32_e32_gfx6_gfx7
14650     UINT64_C(2113957888),	// V_MOVRELSD_B32_e32_vi
14651     UINT64_C(3586392064),	// V_MOVRELSD_B32_e64_gfx10
14652     UINT64_C(3548905472),	// V_MOVRELSD_B32_e64_gfx6_gfx7
14653     UINT64_C(3514302464),	// V_MOVRELSD_B32_e64_vi
14654     UINT64_C(2113963520),	// V_MOVRELS_B32_e32_gfx10
14655     UINT64_C(2113963520),	// V_MOVRELS_B32_e32_gfx6_gfx7
14656     UINT64_C(2113957376),	// V_MOVRELS_B32_e32_vi
14657     UINT64_C(3586326528),	// V_MOVRELS_B32_e64_gfx10
14658     UINT64_C(3548774400),	// V_MOVRELS_B32_e64_gfx6_gfx7
14659     UINT64_C(3514236928),	// V_MOVRELS_B32_e64_vi
14660     UINT64_C(2113929728),	// V_MOV_B32_dpp8_gfx10
14661     UINT64_C(2113929978),	// V_MOV_B32_dpp_gfx10
14662     UINT64_C(2113929978),	// V_MOV_B32_dpp_vi
14663     UINT64_C(2113929728),	// V_MOV_B32_e32_gfx10
14664     UINT64_C(2113929728),	// V_MOV_B32_e32_gfx6_gfx7
14665     UINT64_C(2113929728),	// V_MOV_B32_e32_vi
14666     UINT64_C(3582001152),	// V_MOV_B32_e64_gfx10
14667     UINT64_C(3540123648),	// V_MOV_B32_e64_gfx6_gfx7
14668     UINT64_C(3510697984),	// V_MOV_B32_e64_vi
14669     UINT64_C(2113929977),	// V_MOV_B32_sdwa_gfx10
14670     UINT64_C(2113929977),	// V_MOV_B32_sdwa_gfx9
14671     UINT64_C(2113929977),	// V_MOV_B32_sdwa_vi
14672     UINT64_C(2113933824),	// V_MOV_FED_B32_dpp8_gfx10
14673     UINT64_C(2113934074),	// V_MOV_FED_B32_dpp_gfx10
14674     UINT64_C(2113934074),	// V_MOV_FED_B32_dpp_vi
14675     UINT64_C(2113933824),	// V_MOV_FED_B32_e32_gfx10
14676     UINT64_C(2113933824),	// V_MOV_FED_B32_e32_gfx6_gfx7
14677     UINT64_C(2113933824),	// V_MOV_FED_B32_e32_vi
14678     UINT64_C(3582525440),	// V_MOV_FED_B32_e64_gfx10
14679     UINT64_C(3541172224),	// V_MOV_FED_B32_e64_gfx6_gfx7
14680     UINT64_C(3511222272),	// V_MOV_FED_B32_e64_vi
14681     UINT64_C(2113934073),	// V_MOV_FED_B32_sdwa_gfx10
14682     UINT64_C(2113934073),	// V_MOV_FED_B32_sdwa_gfx9
14683     UINT64_C(2113934073),	// V_MOV_FED_B32_sdwa_vi
14684     UINT64_C(3581083648),	// V_MQSAD_PK_U16_U8_gfx10
14685     UINT64_C(3538288640),	// V_MQSAD_PK_U16_U8_gfx6_gfx7
14686     UINT64_C(3521511424),	// V_MQSAD_PK_U16_U8_vi
14687     UINT64_C(3581214720),	// V_MQSAD_U32_U8_gfx10
14688     UINT64_C(3538550784),	// V_MQSAD_U32_U8_gfx7
14689     UINT64_C(3521576960),	// V_MQSAD_U32_U8_vi
14690     UINT64_C(3580952576),	// V_MSAD_U8_gfx10
14691     UINT64_C(3538026496),	// V_MSAD_U8_gfx6_gfx7
14692     UINT64_C(3521380352),	// V_MSAD_U8_vi
14693     UINT64_C(3578789888),	// V_MULLIT_F32_gfx10
14694     UINT64_C(3533701120),	// V_MULLIT_F32_gfx6_gfx7
14695     UINT64_C(1778384896),	// V_MUL_F16_dpp8_gfx10
14696     UINT64_C(1778385146),	// V_MUL_F16_dpp_gfx10
14697     UINT64_C(1140850938),	// V_MUL_F16_dpp_vi
14698     UINT64_C(1778384896),	// V_MUL_F16_e32_gfx10
14699     UINT64_C(1140850688),	// V_MUL_F16_e32_vi
14700     UINT64_C(3577020416),	// V_MUL_F16_e64_gfx10
14701     UINT64_C(3508666368),	// V_MUL_F16_e64_vi
14702     UINT64_C(1778385145),	// V_MUL_F16_sdwa_gfx10
14703     UINT64_C(1140850937),	// V_MUL_F16_sdwa_gfx9
14704     UINT64_C(1140850937),	// V_MUL_F16_sdwa_vi
14705     UINT64_C(268435456),	// V_MUL_F32_dpp8_gfx10
14706     UINT64_C(268435706),	// V_MUL_F32_dpp_gfx10
14707     UINT64_C(167772410),	// V_MUL_F32_dpp_vi
14708     UINT64_C(268435456),	// V_MUL_F32_e32_gfx10
14709     UINT64_C(268435456),	// V_MUL_F32_e32_gfx6_gfx7
14710     UINT64_C(167772160),	// V_MUL_F32_e32_vi
14711     UINT64_C(3574071296),	// V_MUL_F32_e64_gfx10
14712     UINT64_C(3524263936),	// V_MUL_F32_e64_gfx6_gfx7
14713     UINT64_C(3506765824),	// V_MUL_F32_e64_vi
14714     UINT64_C(268435705),	// V_MUL_F32_sdwa_gfx10
14715     UINT64_C(167772409),	// V_MUL_F32_sdwa_gfx9
14716     UINT64_C(167772409),	// V_MUL_F32_sdwa_vi
14717     UINT64_C(3580166144),	// V_MUL_F64_gfx10
14718     UINT64_C(3536453632),	// V_MUL_F64_gfx6_gfx7
14719     UINT64_C(3531669504),	// V_MUL_F64_vi
14720     UINT64_C(335544320),	// V_MUL_HI_I32_I24_dpp8_gfx10
14721     UINT64_C(335544570),	// V_MUL_HI_I32_I24_dpp_gfx10
14722     UINT64_C(234881274),	// V_MUL_HI_I32_I24_dpp_vi
14723     UINT64_C(335544320),	// V_MUL_HI_I32_I24_e32_gfx10
14724     UINT64_C(335544320),	// V_MUL_HI_I32_I24_e32_gfx6_gfx7
14725     UINT64_C(234881024),	// V_MUL_HI_I32_I24_e32_vi
14726     UINT64_C(3574202368),	// V_MUL_HI_I32_I24_e64_gfx10
14727     UINT64_C(3524526080),	// V_MUL_HI_I32_I24_e64_gfx6_gfx7
14728     UINT64_C(3506896896),	// V_MUL_HI_I32_I24_e64_vi
14729     UINT64_C(335544569),	// V_MUL_HI_I32_I24_sdwa_gfx10
14730     UINT64_C(234881273),	// V_MUL_HI_I32_I24_sdwa_gfx9
14731     UINT64_C(234881273),	// V_MUL_HI_I32_I24_sdwa_vi
14732     UINT64_C(3580624896),	// V_MUL_HI_I32_gfx10
14733     UINT64_C(3537371136),	// V_MUL_HI_I32_gfx6_gfx7
14734     UINT64_C(3532062720),	// V_MUL_HI_I32_vi
14735     UINT64_C(402653184),	// V_MUL_HI_U32_U24_dpp8_gfx10
14736     UINT64_C(402653434),	// V_MUL_HI_U32_U24_dpp_gfx10
14737     UINT64_C(301990138),	// V_MUL_HI_U32_U24_dpp_vi
14738     UINT64_C(402653184),	// V_MUL_HI_U32_U24_e32_gfx10
14739     UINT64_C(402653184),	// V_MUL_HI_U32_U24_e32_gfx6_gfx7
14740     UINT64_C(301989888),	// V_MUL_HI_U32_U24_e32_vi
14741     UINT64_C(3574333440),	// V_MUL_HI_U32_U24_e64_gfx10
14742     UINT64_C(3524788224),	// V_MUL_HI_U32_U24_e64_gfx6_gfx7
14743     UINT64_C(3507027968),	// V_MUL_HI_U32_U24_e64_vi
14744     UINT64_C(402653433),	// V_MUL_HI_U32_U24_sdwa_gfx10
14745     UINT64_C(301990137),	// V_MUL_HI_U32_U24_sdwa_gfx9
14746     UINT64_C(301990137),	// V_MUL_HI_U32_U24_sdwa_vi
14747     UINT64_C(3580493824),	// V_MUL_HI_U32_gfx10
14748     UINT64_C(3537108992),	// V_MUL_HI_U32_gfx6_gfx7
14749     UINT64_C(3531997184),	// V_MUL_HI_U32_vi
14750     UINT64_C(301989888),	// V_MUL_I32_I24_dpp8_gfx10
14751     UINT64_C(301990138),	// V_MUL_I32_I24_dpp_gfx10
14752     UINT64_C(201326842),	// V_MUL_I32_I24_dpp_vi
14753     UINT64_C(301989888),	// V_MUL_I32_I24_e32_gfx10
14754     UINT64_C(301989888),	// V_MUL_I32_I24_e32_gfx6_gfx7
14755     UINT64_C(201326592),	// V_MUL_I32_I24_e32_vi
14756     UINT64_C(3574136832),	// V_MUL_I32_I24_e64_gfx10
14757     UINT64_C(3524395008),	// V_MUL_I32_I24_e64_gfx6_gfx7
14758     UINT64_C(3506831360),	// V_MUL_I32_I24_e64_vi
14759     UINT64_C(301990137),	// V_MUL_I32_I24_sdwa_gfx10
14760     UINT64_C(201326841),	// V_MUL_I32_I24_sdwa_gfx9
14761     UINT64_C(201326841),	// V_MUL_I32_I24_sdwa_vi
14762     UINT64_C(234881024),	// V_MUL_LEGACY_F32_dpp8_gfx10
14763     UINT64_C(234881274),	// V_MUL_LEGACY_F32_dpp_gfx10
14764     UINT64_C(134217978),	// V_MUL_LEGACY_F32_dpp_vi
14765     UINT64_C(234881024),	// V_MUL_LEGACY_F32_e32_gfx10
14766     UINT64_C(234881024),	// V_MUL_LEGACY_F32_e32_gfx6_gfx7
14767     UINT64_C(134217728),	// V_MUL_LEGACY_F32_e32_vi
14768     UINT64_C(3574005760),	// V_MUL_LEGACY_F32_e64_gfx10
14769     UINT64_C(3524132864),	// V_MUL_LEGACY_F32_e64_gfx6_gfx7
14770     UINT64_C(3506700288),	// V_MUL_LEGACY_F32_e64_vi
14771     UINT64_C(234881273),	// V_MUL_LEGACY_F32_sdwa_gfx10
14772     UINT64_C(134217977),	// V_MUL_LEGACY_F32_sdwa_gfx9
14773     UINT64_C(134217977),	// V_MUL_LEGACY_F32_sdwa_vi
14774     UINT64_C(3580559360),	// V_MUL_LO_I32_gfx10
14775     UINT64_C(3537240064),	// V_MUL_LO_I32_gfx6_gfx7
14776     UINT64_C(3531931648),	// V_MUL_LO_I32_vi
14777     UINT64_C(1375731962),	// V_MUL_LO_U16_dpp_vi
14778     UINT64_C(1375731712),	// V_MUL_LO_U16_e32_vi
14779     UINT64_C(3509125120),	// V_MUL_LO_U16_e64_vi
14780     UINT64_C(3607429120),	// V_MUL_LO_U16_gfx10
14781     UINT64_C(1375731961),	// V_MUL_LO_U16_sdwa_gfx9
14782     UINT64_C(1375731961),	// V_MUL_LO_U16_sdwa_vi
14783     UINT64_C(3580428288),	// V_MUL_LO_U32_gfx10
14784     UINT64_C(3536977920),	// V_MUL_LO_U32_gfx6_gfx7
14785     UINT64_C(3531931648),	// V_MUL_LO_U32_vi
14786     UINT64_C(369098752),	// V_MUL_U32_U24_dpp8_gfx10
14787     UINT64_C(369099002),	// V_MUL_U32_U24_dpp_gfx10
14788     UINT64_C(268435706),	// V_MUL_U32_U24_dpp_vi
14789     UINT64_C(369098752),	// V_MUL_U32_U24_e32_gfx10
14790     UINT64_C(369098752),	// V_MUL_U32_U24_e32_gfx6_gfx7
14791     UINT64_C(268435456),	// V_MUL_U32_U24_e32_vi
14792     UINT64_C(3574267904),	// V_MUL_U32_U24_e64_gfx10
14793     UINT64_C(3524657152),	// V_MUL_U32_U24_e64_gfx6_gfx7
14794     UINT64_C(3506962432),	// V_MUL_U32_U24_e64_vi
14795     UINT64_C(369099001),	// V_MUL_U32_U24_sdwa_gfx10
14796     UINT64_C(268435705),	// V_MUL_U32_U24_sdwa_gfx9
14797     UINT64_C(268435705),	// V_MUL_U32_U24_sdwa_vi
14798     UINT64_C(2113929216),	// V_NOP_e32_gfx10
14799     UINT64_C(2113929216),	// V_NOP_e32_gfx6_gfx7
14800     UINT64_C(2113929216),	// V_NOP_e32_vi
14801     UINT64_C(3581935616),	// V_NOP_e64_gfx10
14802     UINT64_C(3539992576),	// V_NOP_e64_gfx6_gfx7
14803     UINT64_C(3510632448),	// V_NOP_e64_vi
14804     UINT64_C(2113929465),	// V_NOP_sdwa_gfx10
14805     UINT64_C(2113929465),	// V_NOP_sdwa_gfx9
14806     UINT64_C(2113929465),	// V_NOP_sdwa_vi
14807     UINT64_C(2113957376),	// V_NOT_B32_dpp8_gfx10
14808     UINT64_C(2113957626),	// V_NOT_B32_dpp_gfx10
14809     UINT64_C(2113951482),	// V_NOT_B32_dpp_vi
14810     UINT64_C(2113957376),	// V_NOT_B32_e32_gfx10
14811     UINT64_C(2113957376),	// V_NOT_B32_e32_gfx6_gfx7
14812     UINT64_C(2113951232),	// V_NOT_B32_e32_vi
14813     UINT64_C(3585540096),	// V_NOT_B32_e64_gfx10
14814     UINT64_C(3547201536),	// V_NOT_B32_e64_gfx6_gfx7
14815     UINT64_C(3513450496),	// V_NOT_B32_e64_vi
14816     UINT64_C(2113957625),	// V_NOT_B32_sdwa_gfx10
14817     UINT64_C(2113951481),	// V_NOT_B32_sdwa_gfx9
14818     UINT64_C(2113951481),	// V_NOT_B32_sdwa_vi
14819     UINT64_C(3614572544),	// V_OR3_B32_gfx10
14820     UINT64_C(3523346432),	// V_OR3_B32_vi
14821     UINT64_C(939524096),	// V_OR_B32_dpp8_gfx10
14822     UINT64_C(939524346),	// V_OR_B32_dpp_gfx10
14823     UINT64_C(671088890),	// V_OR_B32_dpp_vi
14824     UINT64_C(939524096),	// V_OR_B32_e32_gfx10
14825     UINT64_C(939524096),	// V_OR_B32_e32_gfx6_gfx7
14826     UINT64_C(671088640),	// V_OR_B32_e32_vi
14827     UINT64_C(3575382016),	// V_OR_B32_e64_gfx10
14828     UINT64_C(3526885376),	// V_OR_B32_e64_gfx6_gfx7
14829     UINT64_C(3507748864),	// V_OR_B32_e64_vi
14830     UINT64_C(939524345),	// V_OR_B32_sdwa_gfx10
14831     UINT64_C(671088889),	// V_OR_B32_sdwa_gfx9
14832     UINT64_C(671088889),	// V_OR_B32_sdwa_vi
14833     UINT64_C(3608215552),	// V_PACK_B32_F16_gfx10
14834     UINT64_C(3533701120),	// V_PACK_B32_F16_vi
14835     UINT64_C(3614900224),	// V_PERMLANE16_B32_gfx10
14836     UINT64_C(3614965760),	// V_PERMLANEX16_B32_gfx10
14837     UINT64_C(3611557888),	// V_PERM_B32_gfx10
14838     UINT64_C(3521970176),	// V_PERM_B32_vi
14839     UINT64_C(2113943040),	// V_PIPEFLUSH_e32_gfx10
14840     UINT64_C(3583705088),	// V_PIPEFLUSH_e64_gfx10
14841     UINT64_C(2113943289),	// V_PIPEFLUSH_sdwa_gfx10
14842     UINT64_C(3423535104),	// V_PK_ADD_F16_gfx10
14843     UINT64_C(3549364224),	// V_PK_ADD_F16_vi
14844     UINT64_C(3422683136),	// V_PK_ADD_I16_gfx10
14845     UINT64_C(3548512256),	// V_PK_ADD_I16_vi
14846     UINT64_C(3423207424),	// V_PK_ADD_U16_gfx10
14847     UINT64_C(3549036544),	// V_PK_ADD_U16_vi
14848     UINT64_C(3422945280),	// V_PK_ASHRREV_I16_gfx10
14849     UINT64_C(3548774400),	// V_PK_ASHRREV_I16_vi
14850     UINT64_C(2013265920),	// V_PK_FMAC_F16_e32_gfx10
14851     UINT64_C(2013265920),	// V_PK_FMAC_F16_e32_vi
14852     UINT64_C(3423469568),	// V_PK_FMA_F16_gfx10
14853     UINT64_C(3549298688),	// V_PK_FMA_F16_vi
14854     UINT64_C(3422814208),	// V_PK_LSHLREV_B16_gfx10
14855     UINT64_C(3548643328),	// V_PK_LSHLREV_B16_vi
14856     UINT64_C(3422879744),	// V_PK_LSHRREV_B16_gfx10
14857     UINT64_C(3548708864),	// V_PK_LSHRREV_B16_vi
14858     UINT64_C(3422552064),	// V_PK_MAD_I16_gfx10
14859     UINT64_C(3548381184),	// V_PK_MAD_I16_vi
14860     UINT64_C(3423141888),	// V_PK_MAD_U16_gfx10
14861     UINT64_C(3548971008),	// V_PK_MAD_U16_vi
14862     UINT64_C(3423731712),	// V_PK_MAX_F16_gfx10
14863     UINT64_C(3549560832),	// V_PK_MAX_F16_vi
14864     UINT64_C(3423010816),	// V_PK_MAX_I16_gfx10
14865     UINT64_C(3548839936),	// V_PK_MAX_I16_vi
14866     UINT64_C(3423338496),	// V_PK_MAX_U16_gfx10
14867     UINT64_C(3549167616),	// V_PK_MAX_U16_vi
14868     UINT64_C(3423666176),	// V_PK_MIN_F16_gfx10
14869     UINT64_C(3549495296),	// V_PK_MIN_F16_vi
14870     UINT64_C(3423076352),	// V_PK_MIN_I16_gfx10
14871     UINT64_C(3548905472),	// V_PK_MIN_I16_vi
14872     UINT64_C(3423404032),	// V_PK_MIN_U16_gfx10
14873     UINT64_C(3549233152),	// V_PK_MIN_U16_vi
14874     UINT64_C(3423600640),	// V_PK_MUL_F16_gfx10
14875     UINT64_C(3549429760),	// V_PK_MUL_F16_vi
14876     UINT64_C(3422617600),	// V_PK_MUL_LO_U16_gfx10
14877     UINT64_C(3548446720),	// V_PK_MUL_LO_U16_vi
14878     UINT64_C(3422748672),	// V_PK_SUB_I16_gfx10
14879     UINT64_C(3548577792),	// V_PK_SUB_I16_vi
14880     UINT64_C(3423272960),	// V_PK_SUB_U16_gfx10
14881     UINT64_C(3549102080),	// V_PK_SUB_U16_vi
14882     UINT64_C(3581018112),	// V_QSAD_PK_U16_U8_gfx10
14883     UINT64_C(3538157568),	// V_QSAD_PK_U16_U8_gfx7
14884     UINT64_C(3521445888),	// V_QSAD_PK_U16_U8_vi
14885     UINT64_C(2113949696),	// V_RCP_CLAMP_F32_e32_gfx6_gfx7
14886     UINT64_C(3545235456),	// V_RCP_CLAMP_F32_e64_gfx6_gfx7
14887     UINT64_C(2113953792),	// V_RCP_CLAMP_F64_e32_gfx6_gfx7
14888     UINT64_C(3546284032),	// V_RCP_CLAMP_F64_e64_gfx6_gfx7
14889     UINT64_C(2113972224),	// V_RCP_F16_dpp8_gfx10
14890     UINT64_C(2113972474),	// V_RCP_F16_dpp_gfx10
14891     UINT64_C(2113960698),	// V_RCP_F16_dpp_vi
14892     UINT64_C(2113972224),	// V_RCP_F16_e32_gfx10
14893     UINT64_C(2113960448),	// V_RCP_F16_e32_vi
14894     UINT64_C(3587440640),	// V_RCP_F16_e64_gfx10
14895     UINT64_C(3514630144),	// V_RCP_F16_e64_vi
14896     UINT64_C(2113972473),	// V_RCP_F16_sdwa_gfx10
14897     UINT64_C(2113960697),	// V_RCP_F16_sdwa_gfx9
14898     UINT64_C(2113960697),	// V_RCP_F16_sdwa_vi
14899     UINT64_C(2113950720),	// V_RCP_F32_dpp8_gfx10
14900     UINT64_C(2113950970),	// V_RCP_F32_dpp_gfx10
14901     UINT64_C(2113946874),	// V_RCP_F32_dpp_vi
14902     UINT64_C(2113950720),	// V_RCP_F32_e32_gfx10
14903     UINT64_C(2113950720),	// V_RCP_F32_e32_gfx6_gfx7
14904     UINT64_C(2113946624),	// V_RCP_F32_e32_vi
14905     UINT64_C(3584688128),	// V_RCP_F32_e64_gfx10
14906     UINT64_C(3545497600),	// V_RCP_F32_e64_gfx6_gfx7
14907     UINT64_C(3512860672),	// V_RCP_F32_e64_vi
14908     UINT64_C(2113950969),	// V_RCP_F32_sdwa_gfx10
14909     UINT64_C(2113946873),	// V_RCP_F32_sdwa_gfx9
14910     UINT64_C(2113946873),	// V_RCP_F32_sdwa_vi
14911     UINT64_C(2113953280),	// V_RCP_F64_e32_gfx10
14912     UINT64_C(2113953280),	// V_RCP_F64_e32_gfx6_gfx7
14913     UINT64_C(2113948160),	// V_RCP_F64_e32_vi
14914     UINT64_C(3585015808),	// V_RCP_F64_e64_gfx10
14915     UINT64_C(3546152960),	// V_RCP_F64_e64_gfx6_gfx7
14916     UINT64_C(3513057280),	// V_RCP_F64_e64_vi
14917     UINT64_C(2113951232),	// V_RCP_IFLAG_F32_dpp8_gfx10
14918     UINT64_C(2113951482),	// V_RCP_IFLAG_F32_dpp_gfx10
14919     UINT64_C(2113947386),	// V_RCP_IFLAG_F32_dpp_vi
14920     UINT64_C(2113951232),	// V_RCP_IFLAG_F32_e32_gfx10
14921     UINT64_C(2113951232),	// V_RCP_IFLAG_F32_e32_gfx6_gfx7
14922     UINT64_C(2113947136),	// V_RCP_IFLAG_F32_e32_vi
14923     UINT64_C(3584753664),	// V_RCP_IFLAG_F32_e64_gfx10
14924     UINT64_C(3545628672),	// V_RCP_IFLAG_F32_e64_gfx6_gfx7
14925     UINT64_C(3512926208),	// V_RCP_IFLAG_F32_e64_vi
14926     UINT64_C(2113951481),	// V_RCP_IFLAG_F32_sdwa_gfx10
14927     UINT64_C(2113947385),	// V_RCP_IFLAG_F32_sdwa_gfx9
14928     UINT64_C(2113947385),	// V_RCP_IFLAG_F32_sdwa_vi
14929     UINT64_C(2113950208),	// V_RCP_LEGACY_F32_e32_gfx6_gfx7
14930     UINT64_C(3545366528),	// V_RCP_LEGACY_F32_e64_gfx6_gfx7
14931     UINT64_C(2113930240),	// V_READFIRSTLANE_B32
14932     UINT64_C(3613392896),	// V_READLANE_B32_gfx10
14933     UINT64_C(33554432),	// V_READLANE_B32_gfx6_gfx7
14934     UINT64_C(3532193792),	// V_READLANE_B32_vi
14935     UINT64_C(2113977344),	// V_RNDNE_F16_dpp8_gfx10
14936     UINT64_C(2113977594),	// V_RNDNE_F16_dpp_gfx10
14937     UINT64_C(2113965818),	// V_RNDNE_F16_dpp_vi
14938     UINT64_C(2113977344),	// V_RNDNE_F16_e32_gfx10
14939     UINT64_C(2113965568),	// V_RNDNE_F16_e32_vi
14940     UINT64_C(3588096000),	// V_RNDNE_F16_e64_gfx10
14941     UINT64_C(3515285504),	// V_RNDNE_F16_e64_vi
14942     UINT64_C(2113977593),	// V_RNDNE_F16_sdwa_gfx10
14943     UINT64_C(2113965817),	// V_RNDNE_F16_sdwa_gfx9
14944     UINT64_C(2113965817),	// V_RNDNE_F16_sdwa_vi
14945     UINT64_C(2113947136),	// V_RNDNE_F32_dpp8_gfx10
14946     UINT64_C(2113947386),	// V_RNDNE_F32_dpp_gfx10
14947     UINT64_C(2113944826),	// V_RNDNE_F32_dpp_vi
14948     UINT64_C(2113947136),	// V_RNDNE_F32_e32_gfx10
14949     UINT64_C(2113947136),	// V_RNDNE_F32_e32_gfx6_gfx7
14950     UINT64_C(2113944576),	// V_RNDNE_F32_e32_vi
14951     UINT64_C(3584229376),	// V_RNDNE_F32_e64_gfx10
14952     UINT64_C(3544580096),	// V_RNDNE_F32_e64_gfx6_gfx7
14953     UINT64_C(3512598528),	// V_RNDNE_F32_e64_vi
14954     UINT64_C(2113947385),	// V_RNDNE_F32_sdwa_gfx10
14955     UINT64_C(2113944825),	// V_RNDNE_F32_sdwa_gfx9
14956     UINT64_C(2113944825),	// V_RNDNE_F32_sdwa_vi
14957     UINT64_C(2113942016),	// V_RNDNE_F64_e32_gfx10
14958     UINT64_C(2113942016),	// V_RNDNE_F64_e32_gfx7
14959     UINT64_C(2113942016),	// V_RNDNE_F64_e32_vi
14960     UINT64_C(3583574016),	// V_RNDNE_F64_e64_gfx10
14961     UINT64_C(3543269376),	// V_RNDNE_F64_e64_gfx7
14962     UINT64_C(3512270848),	// V_RNDNE_F64_e64_vi
14963     UINT64_C(2113951744),	// V_RSQ_CLAMP_F32_e32_gfx6_gfx7
14964     UINT64_C(3545759744),	// V_RSQ_CLAMP_F32_e64_gfx6_gfx7
14965     UINT64_C(2113954816),	// V_RSQ_CLAMP_F64_e32_gfx6_gfx7
14966     UINT64_C(3546546176),	// V_RSQ_CLAMP_F64_e64_gfx6_gfx7
14967     UINT64_C(2113973248),	// V_RSQ_F16_dpp8_gfx10
14968     UINT64_C(2113973498),	// V_RSQ_F16_dpp_gfx10
14969     UINT64_C(2113961722),	// V_RSQ_F16_dpp_vi
14970     UINT64_C(2113973248),	// V_RSQ_F16_e32_gfx10
14971     UINT64_C(2113961472),	// V_RSQ_F16_e32_vi
14972     UINT64_C(3587571712),	// V_RSQ_F16_e64_gfx10
14973     UINT64_C(3514761216),	// V_RSQ_F16_e64_vi
14974     UINT64_C(2113973497),	// V_RSQ_F16_sdwa_gfx10
14975     UINT64_C(2113961721),	// V_RSQ_F16_sdwa_gfx9
14976     UINT64_C(2113961721),	// V_RSQ_F16_sdwa_vi
14977     UINT64_C(2113952768),	// V_RSQ_F32_dpp8_gfx10
14978     UINT64_C(2113953018),	// V_RSQ_F32_dpp_gfx10
14979     UINT64_C(2113947898),	// V_RSQ_F32_dpp_vi
14980     UINT64_C(2113952768),	// V_RSQ_F32_e32_gfx10
14981     UINT64_C(2113952768),	// V_RSQ_F32_e32_gfx6_gfx7
14982     UINT64_C(2113947648),	// V_RSQ_F32_e32_vi
14983     UINT64_C(3584950272),	// V_RSQ_F32_e64_gfx10
14984     UINT64_C(3546021888),	// V_RSQ_F32_e64_gfx6_gfx7
14985     UINT64_C(3512991744),	// V_RSQ_F32_e64_vi
14986     UINT64_C(2113953017),	// V_RSQ_F32_sdwa_gfx10
14987     UINT64_C(2113947897),	// V_RSQ_F32_sdwa_gfx9
14988     UINT64_C(2113947897),	// V_RSQ_F32_sdwa_vi
14989     UINT64_C(2113954304),	// V_RSQ_F64_e32_gfx10
14990     UINT64_C(2113954304),	// V_RSQ_F64_e32_gfx6_gfx7
14991     UINT64_C(2113948672),	// V_RSQ_F64_e32_vi
14992     UINT64_C(3585146880),	// V_RSQ_F64_e64_gfx10
14993     UINT64_C(3546415104),	// V_RSQ_F64_e64_gfx6_gfx7
14994     UINT64_C(3513122816),	// V_RSQ_F64_e64_vi
14995     UINT64_C(2113952256),	// V_RSQ_LEGACY_F32_e32_gfx6_gfx7
14996     UINT64_C(3545890816),	// V_RSQ_LEGACY_F32_e64_gfx6_gfx7
14997     UINT64_C(3579510784),	// V_SAD_HI_U8_gfx10
14998     UINT64_C(3535142912),	// V_SAD_HI_U8_gfx6_gfx7
14999     UINT64_C(3520724992),	// V_SAD_HI_U8_vi
15000     UINT64_C(3579576320),	// V_SAD_U16_gfx10
15001     UINT64_C(3535273984),	// V_SAD_U16_gfx6_gfx7
15002     UINT64_C(3520790528),	// V_SAD_U16_vi
15003     UINT64_C(3579641856),	// V_SAD_U32_gfx10
15004     UINT64_C(3535405056),	// V_SAD_U32_gfx6_gfx7
15005     UINT64_C(3520856064),	// V_SAD_U32_vi
15006     UINT64_C(3579445248),	// V_SAD_U8_gfx10
15007     UINT64_C(3535011840),	// V_SAD_U8_gfx6_gfx7
15008     UINT64_C(3520659456),	// V_SAD_U8_vi
15009     UINT64_C(2113979392),	// V_SAT_PK_U8_I16_dpp8_gfx10
15010     UINT64_C(2113979642),	// V_SAT_PK_U8_I16_dpp_gfx10
15011     UINT64_C(2113969914),	// V_SAT_PK_U8_I16_dpp_vi
15012     UINT64_C(2113979392),	// V_SAT_PK_U8_I16_e32_gfx10
15013     UINT64_C(2113969664),	// V_SAT_PK_U8_I16_e32_vi
15014     UINT64_C(3588358144),	// V_SAT_PK_U8_I16_e64_gfx10
15015     UINT64_C(3515809792),	// V_SAT_PK_U8_I16_e64_vi
15016     UINT64_C(2113979641),	// V_SAT_PK_U8_I16_sdwa_gfx10
15017     UINT64_C(2113969913),	// V_SAT_PK_U8_I16_sdwa_gfx9
15018     UINT64_C(2113969913),	// V_SAT_PK_U8_I16_sdwa_vi
15019     UINT64_C(2113957626),	// V_SCREEN_PARTITION_4SE_B32_dpp_gfx9
15020     UINT64_C(2113957376),	// V_SCREEN_PARTITION_4SE_B32_e32_vi
15021     UINT64_C(3514236928),	// V_SCREEN_PARTITION_4SE_B32_e64_vi
15022     UINT64_C(2113957625),	// V_SCREEN_PARTITION_4SE_B32_sdwa_gfx9
15023     UINT64_C(2113978368),	// V_SIN_F16_dpp8_gfx10
15024     UINT64_C(2113978618),	// V_SIN_F16_dpp_gfx10
15025     UINT64_C(2113966842),	// V_SIN_F16_dpp_vi
15026     UINT64_C(2113978368),	// V_SIN_F16_e32_gfx10
15027     UINT64_C(2113966592),	// V_SIN_F16_e32_vi
15028     UINT64_C(3588227072),	// V_SIN_F16_e64_gfx10
15029     UINT64_C(3515416576),	// V_SIN_F16_e64_vi
15030     UINT64_C(2113978617),	// V_SIN_F16_sdwa_gfx10
15031     UINT64_C(2113966841),	// V_SIN_F16_sdwa_gfx9
15032     UINT64_C(2113966841),	// V_SIN_F16_sdwa_vi
15033     UINT64_C(2113956352),	// V_SIN_F32_dpp8_gfx10
15034     UINT64_C(2113956602),	// V_SIN_F32_dpp_gfx10
15035     UINT64_C(2113950458),	// V_SIN_F32_dpp_vi
15036     UINT64_C(2113956352),	// V_SIN_F32_e32_gfx10
15037     UINT64_C(2113956352),	// V_SIN_F32_e32_gfx6_gfx7
15038     UINT64_C(2113950208),	// V_SIN_F32_e32_vi
15039     UINT64_C(3585409024),	// V_SIN_F32_e64_gfx10
15040     UINT64_C(3546939392),	// V_SIN_F32_e64_gfx6_gfx7
15041     UINT64_C(3513319424),	// V_SIN_F32_e64_vi
15042     UINT64_C(2113956601),	// V_SIN_F32_sdwa_gfx10
15043     UINT64_C(2113950457),	// V_SIN_F32_sdwa_gfx9
15044     UINT64_C(2113950457),	// V_SIN_F32_sdwa_vi
15045     UINT64_C(2113972736),	// V_SQRT_F16_dpp8_gfx10
15046     UINT64_C(2113972986),	// V_SQRT_F16_dpp_gfx10
15047     UINT64_C(2113961210),	// V_SQRT_F16_dpp_vi
15048     UINT64_C(2113972736),	// V_SQRT_F16_e32_gfx10
15049     UINT64_C(2113960960),	// V_SQRT_F16_e32_vi
15050     UINT64_C(3587506176),	// V_SQRT_F16_e64_gfx10
15051     UINT64_C(3514695680),	// V_SQRT_F16_e64_vi
15052     UINT64_C(2113972985),	// V_SQRT_F16_sdwa_gfx10
15053     UINT64_C(2113961209),	// V_SQRT_F16_sdwa_gfx9
15054     UINT64_C(2113961209),	// V_SQRT_F16_sdwa_vi
15055     UINT64_C(2113955328),	// V_SQRT_F32_dpp8_gfx10
15056     UINT64_C(2113955578),	// V_SQRT_F32_dpp_gfx10
15057     UINT64_C(2113949434),	// V_SQRT_F32_dpp_vi
15058     UINT64_C(2113955328),	// V_SQRT_F32_e32_gfx10
15059     UINT64_C(2113955328),	// V_SQRT_F32_e32_gfx6_gfx7
15060     UINT64_C(2113949184),	// V_SQRT_F32_e32_vi
15061     UINT64_C(3585277952),	// V_SQRT_F32_e64_gfx10
15062     UINT64_C(3546677248),	// V_SQRT_F32_e64_gfx6_gfx7
15063     UINT64_C(3513188352),	// V_SQRT_F32_e64_vi
15064     UINT64_C(2113955577),	// V_SQRT_F32_sdwa_gfx10
15065     UINT64_C(2113949433),	// V_SQRT_F32_sdwa_gfx9
15066     UINT64_C(2113949433),	// V_SQRT_F32_sdwa_vi
15067     UINT64_C(2113955840),	// V_SQRT_F64_e32_gfx10
15068     UINT64_C(2113955840),	// V_SQRT_F64_e32_gfx6_gfx7
15069     UINT64_C(2113949696),	// V_SQRT_F64_e32_vi
15070     UINT64_C(3585343488),	// V_SQRT_F64_e64_gfx10
15071     UINT64_C(3546808320),	// V_SQRT_F64_e64_gfx6_gfx7
15072     UINT64_C(3513253888),	// V_SQRT_F64_e64_vi
15073     UINT64_C(1006633210),	// V_SUBBREV_CO_U32_dpp_gfx9
15074     UINT64_C(1006632960),	// V_SUBBREV_CO_U32_e32_gfx9
15075     UINT64_C(3508404224),	// V_SUBBREV_CO_U32_e64_gfx9
15076     UINT64_C(1006633209),	// V_SUBBREV_CO_U32_sdwa_gfx9
15077     UINT64_C(1006633210),	// V_SUBBREV_U32_dpp_vi
15078     UINT64_C(1409286144),	// V_SUBBREV_U32_e32_gfx6_gfx7
15079     UINT64_C(1006632960),	// V_SUBBREV_U32_e32_vi
15080     UINT64_C(3528720384),	// V_SUBBREV_U32_e64_gfx6_gfx7
15081     UINT64_C(3508404224),	// V_SUBBREV_U32_e64_vi
15082     UINT64_C(1006633209),	// V_SUBBREV_U32_sdwa_vi
15083     UINT64_C(973078778),	// V_SUBB_CO_U32_dpp_gfx9
15084     UINT64_C(973078528),	// V_SUBB_CO_U32_e32_gfx9
15085     UINT64_C(3508338688),	// V_SUBB_CO_U32_e64_gfx9
15086     UINT64_C(973078777),	// V_SUBB_CO_U32_sdwa_gfx9
15087     UINT64_C(973078778),	// V_SUBB_U32_dpp_vi
15088     UINT64_C(1375731712),	// V_SUBB_U32_e32_gfx6_gfx7
15089     UINT64_C(973078528),	// V_SUBB_U32_e32_vi
15090     UINT64_C(3528589312),	// V_SUBB_U32_e64_gfx6_gfx7
15091     UINT64_C(3508338688),	// V_SUBB_U32_e64_vi
15092     UINT64_C(973078777),	// V_SUBB_U32_sdwa_vi
15093     UINT64_C(1409286144),	// V_SUBREV_CO_CI_U32_dpp8_gfx10
15094     UINT64_C(1409286144),	// V_SUBREV_CO_CI_U32_dpp8_w32_gfx10
15095     UINT64_C(1409286144),	// V_SUBREV_CO_CI_U32_dpp8_w64_gfx10
15096     UINT64_C(1409286394),	// V_SUBREV_CO_CI_U32_dpp_gfx10
15097     UINT64_C(1409286394),	// V_SUBREV_CO_CI_U32_dpp_w32_gfx10
15098     UINT64_C(1409286394),	// V_SUBREV_CO_CI_U32_dpp_w64_gfx10
15099     UINT64_C(1409286144),	// V_SUBREV_CO_CI_U32_e32_gfx10
15100     UINT64_C(3576299520),	// V_SUBREV_CO_CI_U32_e64_gfx10
15101     UINT64_C(1409286393),	// V_SUBREV_CO_CI_U32_sdwa_gfx10
15102     UINT64_C(1409286393),	// V_SUBREV_CO_CI_U32_sdwa_w32_gfx10
15103     UINT64_C(1409286393),	// V_SUBREV_CO_CI_U32_sdwa_w64_gfx10
15104     UINT64_C(905969914),	// V_SUBREV_CO_U32_dpp_gfx9
15105     UINT64_C(905969664),	// V_SUBREV_CO_U32_e32_gfx9
15106     UINT64_C(3608739840),	// V_SUBREV_CO_U32_e64_gfx10
15107     UINT64_C(3508207616),	// V_SUBREV_CO_U32_e64_gfx9
15108     UINT64_C(905969913),	// V_SUBREV_CO_U32_sdwa_gfx9
15109     UINT64_C(1744830464),	// V_SUBREV_F16_dpp8_gfx10
15110     UINT64_C(1744830714),	// V_SUBREV_F16_dpp_gfx10
15111     UINT64_C(1107296506),	// V_SUBREV_F16_dpp_vi
15112     UINT64_C(1744830464),	// V_SUBREV_F16_e32_gfx10
15113     UINT64_C(1107296256),	// V_SUBREV_F16_e32_vi
15114     UINT64_C(3576954880),	// V_SUBREV_F16_e64_gfx10
15115     UINT64_C(3508600832),	// V_SUBREV_F16_e64_vi
15116     UINT64_C(1744830713),	// V_SUBREV_F16_sdwa_gfx10
15117     UINT64_C(1107296505),	// V_SUBREV_F16_sdwa_gfx9
15118     UINT64_C(1107296505),	// V_SUBREV_F16_sdwa_vi
15119     UINT64_C(167772160),	// V_SUBREV_F32_dpp8_gfx10
15120     UINT64_C(167772410),	// V_SUBREV_F32_dpp_gfx10
15121     UINT64_C(100663546),	// V_SUBREV_F32_dpp_vi
15122     UINT64_C(167772160),	// V_SUBREV_F32_e32_gfx10
15123     UINT64_C(167772160),	// V_SUBREV_F32_e32_gfx6_gfx7
15124     UINT64_C(100663296),	// V_SUBREV_F32_e32_vi
15125     UINT64_C(3573874688),	// V_SUBREV_F32_e64_gfx10
15126     UINT64_C(3523870720),	// V_SUBREV_F32_e64_gfx6_gfx7
15127     UINT64_C(3506634752),	// V_SUBREV_F32_e64_vi
15128     UINT64_C(167772409),	// V_SUBREV_F32_sdwa_gfx10
15129     UINT64_C(100663545),	// V_SUBREV_F32_sdwa_gfx9
15130     UINT64_C(100663545),	// V_SUBREV_F32_sdwa_vi
15131     UINT64_C(1308622848),	// V_SUBREV_I32_e32_gfx6_gfx7
15132     UINT64_C(3528327168),	// V_SUBREV_I32_e64_gfx6_gfx7
15133     UINT64_C(1308622848),	// V_SUBREV_NC_U32_dpp8_gfx10
15134     UINT64_C(1308623098),	// V_SUBREV_NC_U32_dpp_gfx10
15135     UINT64_C(1308622848),	// V_SUBREV_NC_U32_e32_gfx10
15136     UINT64_C(3576102912),	// V_SUBREV_NC_U32_e64_gfx10
15137     UINT64_C(1308623097),	// V_SUBREV_NC_U32_sdwa_gfx10
15138     UINT64_C(1342177530),	// V_SUBREV_U16_dpp_vi
15139     UINT64_C(1342177280),	// V_SUBREV_U16_e32_vi
15140     UINT64_C(3509059584),	// V_SUBREV_U16_e64_vi
15141     UINT64_C(1342177529),	// V_SUBREV_U16_sdwa_gfx9
15142     UINT64_C(1342177529),	// V_SUBREV_U16_sdwa_vi
15143     UINT64_C(1811939578),	// V_SUBREV_U32_dpp_gfx9
15144     UINT64_C(905969914),	// V_SUBREV_U32_dpp_vi
15145     UINT64_C(1811939328),	// V_SUBREV_U32_e32_gfx9
15146     UINT64_C(905969664),	// V_SUBREV_U32_e32_vi
15147     UINT64_C(3509977088),	// V_SUBREV_U32_e64_gfx9
15148     UINT64_C(3508207616),	// V_SUBREV_U32_e64_vi
15149     UINT64_C(1811939577),	// V_SUBREV_U32_sdwa_gfx9
15150     UINT64_C(905969913),	// V_SUBREV_U32_sdwa_vi
15151     UINT64_C(1375731712),	// V_SUB_CO_CI_U32_dpp8_gfx10
15152     UINT64_C(1375731712),	// V_SUB_CO_CI_U32_dpp8_w32_gfx10
15153     UINT64_C(1375731712),	// V_SUB_CO_CI_U32_dpp8_w64_gfx10
15154     UINT64_C(1375731962),	// V_SUB_CO_CI_U32_dpp_gfx10
15155     UINT64_C(1375731962),	// V_SUB_CO_CI_U32_dpp_w32_gfx10
15156     UINT64_C(1375731962),	// V_SUB_CO_CI_U32_dpp_w64_gfx10
15157     UINT64_C(1375731712),	// V_SUB_CO_CI_U32_e32_gfx10
15158     UINT64_C(3576233984),	// V_SUB_CO_CI_U32_e64_gfx10
15159     UINT64_C(1375731961),	// V_SUB_CO_CI_U32_sdwa_gfx10
15160     UINT64_C(1375731961),	// V_SUB_CO_CI_U32_sdwa_w32_gfx10
15161     UINT64_C(1375731961),	// V_SUB_CO_CI_U32_sdwa_w64_gfx10
15162     UINT64_C(872415482),	// V_SUB_CO_U32_dpp_gfx9
15163     UINT64_C(872415232),	// V_SUB_CO_U32_e32_gfx9
15164     UINT64_C(3608150016),	// V_SUB_CO_U32_e64_gfx10
15165     UINT64_C(3508142080),	// V_SUB_CO_U32_e64_gfx9
15166     UINT64_C(872415481),	// V_SUB_CO_U32_sdwa_gfx9
15167     UINT64_C(1711276032),	// V_SUB_F16_dpp8_gfx10
15168     UINT64_C(1711276282),	// V_SUB_F16_dpp_gfx10
15169     UINT64_C(1073742074),	// V_SUB_F16_dpp_vi
15170     UINT64_C(1711276032),	// V_SUB_F16_e32_gfx10
15171     UINT64_C(1073741824),	// V_SUB_F16_e32_vi
15172     UINT64_C(3576889344),	// V_SUB_F16_e64_gfx10
15173     UINT64_C(3508535296),	// V_SUB_F16_e64_vi
15174     UINT64_C(1711276281),	// V_SUB_F16_sdwa_gfx10
15175     UINT64_C(1073742073),	// V_SUB_F16_sdwa_gfx9
15176     UINT64_C(1073742073),	// V_SUB_F16_sdwa_vi
15177     UINT64_C(134217728),	// V_SUB_F32_dpp8_gfx10
15178     UINT64_C(134217978),	// V_SUB_F32_dpp_gfx10
15179     UINT64_C(67109114),	// V_SUB_F32_dpp_vi
15180     UINT64_C(134217728),	// V_SUB_F32_e32_gfx10
15181     UINT64_C(134217728),	// V_SUB_F32_e32_gfx6_gfx7
15182     UINT64_C(67108864),	// V_SUB_F32_e32_vi
15183     UINT64_C(3573809152),	// V_SUB_F32_e64_gfx10
15184     UINT64_C(3523739648),	// V_SUB_F32_e64_gfx6_gfx7
15185     UINT64_C(3506569216),	// V_SUB_F32_e64_vi
15186     UINT64_C(134217977),	// V_SUB_F32_sdwa_gfx10
15187     UINT64_C(67109113),	// V_SUB_F32_sdwa_gfx9
15188     UINT64_C(67109113),	// V_SUB_F32_sdwa_vi
15189     UINT64_C(3533635584),	// V_SUB_I16_vi
15190     UINT64_C(1275068416),	// V_SUB_I32_e32_gfx6_gfx7
15191     UINT64_C(3528196096),	// V_SUB_I32_e64_gfx6_gfx7
15192     UINT64_C(3533504512),	// V_SUB_I32_gfx9_gfx9
15193     UINT64_C(3608018944),	// V_SUB_NC_I16_gfx10
15194     UINT64_C(3614834688),	// V_SUB_NC_I32_gfx10
15195     UINT64_C(3607363584),	// V_SUB_NC_U16_gfx10
15196     UINT64_C(1275068416),	// V_SUB_NC_U32_dpp8_gfx10
15197     UINT64_C(1275068666),	// V_SUB_NC_U32_dpp_gfx10
15198     UINT64_C(1275068416),	// V_SUB_NC_U32_e32_gfx10
15199     UINT64_C(3576037376),	// V_SUB_NC_U32_e64_gfx10
15200     UINT64_C(1275068665),	// V_SUB_NC_U32_sdwa_gfx10
15201     UINT64_C(1308623098),	// V_SUB_U16_dpp_vi
15202     UINT64_C(1308622848),	// V_SUB_U16_e32_vi
15203     UINT64_C(3508994048),	// V_SUB_U16_e64_vi
15204     UINT64_C(1308623097),	// V_SUB_U16_sdwa_gfx9
15205     UINT64_C(1308623097),	// V_SUB_U16_sdwa_vi
15206     UINT64_C(1778385146),	// V_SUB_U32_dpp_gfx9
15207     UINT64_C(872415482),	// V_SUB_U32_dpp_vi
15208     UINT64_C(1778384896),	// V_SUB_U32_e32_gfx9
15209     UINT64_C(872415232),	// V_SUB_U32_e32_vi
15210     UINT64_C(3509911552),	// V_SUB_U32_e64_gfx9
15211     UINT64_C(3508142080),	// V_SUB_U32_e64_vi
15212     UINT64_C(1778385145),	// V_SUB_U32_sdwa_gfx9
15213     UINT64_C(872415481),	// V_SUB_U32_sdwa_vi
15214     UINT64_C(2113982464),	// V_SWAPREL_B32_gfx10
15215     UINT64_C(2113980928),	// V_SWAP_B32_gfx10
15216     UINT64_C(2113970688),	// V_SWAP_B32_vi
15217     UINT64_C(3581149184),	// V_TRIG_PREOP_F64_gfx10
15218     UINT64_C(3538419712),	// V_TRIG_PREOP_F64_gfx6_gfx7
15219     UINT64_C(3532783616),	// V_TRIG_PREOP_F64_vi
15220     UINT64_C(2113976832),	// V_TRUNC_F16_dpp8_gfx10
15221     UINT64_C(2113977082),	// V_TRUNC_F16_dpp_gfx10
15222     UINT64_C(2113965306),	// V_TRUNC_F16_dpp_vi
15223     UINT64_C(2113976832),	// V_TRUNC_F16_e32_gfx10
15224     UINT64_C(2113965056),	// V_TRUNC_F16_e32_vi
15225     UINT64_C(3588030464),	// V_TRUNC_F16_e64_gfx10
15226     UINT64_C(3515219968),	// V_TRUNC_F16_e64_vi
15227     UINT64_C(2113977081),	// V_TRUNC_F16_sdwa_gfx10
15228     UINT64_C(2113965305),	// V_TRUNC_F16_sdwa_gfx9
15229     UINT64_C(2113965305),	// V_TRUNC_F16_sdwa_vi
15230     UINT64_C(2113946112),	// V_TRUNC_F32_dpp8_gfx10
15231     UINT64_C(2113946362),	// V_TRUNC_F32_dpp_gfx10
15232     UINT64_C(2113943802),	// V_TRUNC_F32_dpp_vi
15233     UINT64_C(2113946112),	// V_TRUNC_F32_e32_gfx10
15234     UINT64_C(2113946112),	// V_TRUNC_F32_e32_gfx6_gfx7
15235     UINT64_C(2113943552),	// V_TRUNC_F32_e32_vi
15236     UINT64_C(3584098304),	// V_TRUNC_F32_e64_gfx10
15237     UINT64_C(3544317952),	// V_TRUNC_F32_e64_gfx6_gfx7
15238     UINT64_C(3512467456),	// V_TRUNC_F32_e64_vi
15239     UINT64_C(2113946361),	// V_TRUNC_F32_sdwa_gfx10
15240     UINT64_C(2113943801),	// V_TRUNC_F32_sdwa_gfx9
15241     UINT64_C(2113943801),	// V_TRUNC_F32_sdwa_vi
15242     UINT64_C(2113940992),	// V_TRUNC_F64_e32_gfx10
15243     UINT64_C(2113940992),	// V_TRUNC_F64_e32_gfx7
15244     UINT64_C(2113940992),	// V_TRUNC_F64_e32_vi
15245     UINT64_C(3583442944),	// V_TRUNC_F64_e64_gfx10
15246     UINT64_C(3543007232),	// V_TRUNC_F64_e64_gfx7
15247     UINT64_C(3512139776),	// V_TRUNC_F64_e64_vi
15248     UINT64_C(3613458432),	// V_WRITELANE_B32_gfx10
15249     UINT64_C(67108864),	// V_WRITELANE_B32_gfx6_gfx7
15250     UINT64_C(3532259328),	// V_WRITELANE_B32_vi
15251     UINT64_C(3611623424),	// V_XAD_U32_gfx10
15252     UINT64_C(3522363392),	// V_XAD_U32_vi
15253     UINT64_C(1006632960),	// V_XNOR_B32_dpp8_gfx10
15254     UINT64_C(1006633210),	// V_XNOR_B32_dpp_gfx10
15255     UINT64_C(2046820602),	// V_XNOR_B32_dpp_vi
15256     UINT64_C(1006632960),	// V_XNOR_B32_e32_gfx10
15257     UINT64_C(2046820352),	// V_XNOR_B32_e32_vi
15258     UINT64_C(3575513088),	// V_XNOR_B32_e64_gfx10
15259     UINT64_C(3510435840),	// V_XNOR_B32_e64_vi
15260     UINT64_C(1006633209),	// V_XNOR_B32_sdwa_gfx10
15261     UINT64_C(2046820601),	// V_XNOR_B32_sdwa_gfx9
15262     UINT64_C(2046820601),	// V_XNOR_B32_sdwa_vi
15263     UINT64_C(3581411328),	// V_XOR3_B32_gfx10
15264     UINT64_C(973078528),	// V_XOR_B32_dpp8_gfx10
15265     UINT64_C(973078778),	// V_XOR_B32_dpp_gfx10
15266     UINT64_C(704643322),	// V_XOR_B32_dpp_vi
15267     UINT64_C(973078528),	// V_XOR_B32_e32_gfx10
15268     UINT64_C(973078528),	// V_XOR_B32_e32_gfx6_gfx7
15269     UINT64_C(704643072),	// V_XOR_B32_e32_vi
15270     UINT64_C(3575447552),	// V_XOR_B32_e64_gfx10
15271     UINT64_C(3527016448),	// V_XOR_B32_e64_gfx6_gfx7
15272     UINT64_C(3507814400),	// V_XOR_B32_e64_vi
15273     UINT64_C(973078777),	// V_XOR_B32_sdwa_gfx10
15274     UINT64_C(704643321),	// V_XOR_B32_sdwa_gfx9
15275     UINT64_C(704643321),	// V_XOR_B32_sdwa_vi
15276     UINT64_C(0)
15342       op &= UINT64_C(255);
15347       op &= UINT64_C(65535);
15359       op &= UINT64_C(15);
15363       op &= UINT64_C(63);
15368       op &= UINT64_C(1);
15373       op &= UINT64_C(1);
15378       op &= UINT64_C(255);
15383       op &= UINT64_C(255);
15388       op &= UINT64_C(255);
15393       op &= UINT64_C(255);
15431       op &= UINT64_C(1);
15436       op &= UINT64_C(255);
15441       op &= UINT64_C(65535);
15448       op &= UINT64_C(1);
15453       op &= UINT64_C(255);
15458       op &= UINT64_C(65535);
15525       op &= UINT64_C(1);
15530       op &= UINT64_C(255);
15535       op &= UINT64_C(65535);
15542       op &= UINT64_C(1);
15547       op &= UINT64_C(255);
15552       op &= UINT64_C(65535);
15595       op &= UINT64_C(1);
15600       op &= UINT64_C(255);
15605       op &= UINT64_C(255);
15610       op &= UINT64_C(65535);
15687       op &= UINT64_C(1);
15692       op &= UINT64_C(255);
15697       op &= UINT64_C(255);
15702       op &= UINT64_C(65535);
15714       op &= UINT64_C(1);
15719       op &= UINT64_C(255);
15724       op &= UINT64_C(255);
15729       op &= UINT64_C(255);
15734       op &= UINT64_C(65535);
15752       op &= UINT64_C(1);
15757       op &= UINT64_C(255);
15762       op &= UINT64_C(255);
15767       op &= UINT64_C(255);
15772       op &= UINT64_C(65535);
15782       op &= UINT64_C(1);
15787       op &= UINT64_C(255);
15792       op &= UINT64_C(255);
15797       op &= UINT64_C(255);
15802       op &= UINT64_C(255);
15806       op &= UINT64_C(255);
15821       op &= UINT64_C(1);
15826       op &= UINT64_C(255);
15831       op &= UINT64_C(255);
15836       op &= UINT64_C(255);
15841       op &= UINT64_C(255);
15845       op &= UINT64_C(255);
15861       op &= UINT64_C(65535);
15868       op &= UINT64_C(4095);
15872       op &= UINT64_C(1);
15877       op &= UINT64_C(124);
15882       op &= UINT64_C(1);
15887       op &= UINT64_C(255);
15900       op &= UINT64_C(4095);
15904       op &= UINT64_C(1);
15909       op &= UINT64_C(1);
15914       op &= UINT64_C(255);
15919       op &= UINT64_C(124);
15924       op &= UINT64_C(1);
15929       op &= UINT64_C(255);
15974       op &= UINT64_C(4095);
15978       op &= UINT64_C(1);
15983       op &= UINT64_C(1);
15988       op &= UINT64_C(255);
15993       op &= UINT64_C(124);
15998       op &= UINT64_C(1);
16003       op &= UINT64_C(1);
16008       op &= UINT64_C(255);
16024       op &= UINT64_C(4095);
16028       op &= UINT64_C(1);
16033       op &= UINT64_C(255);
16038       op &= UINT64_C(124);
16043       op &= UINT64_C(1);
16048       op &= UINT64_C(255);
16103       op &= UINT64_C(4095);
16107       op &= UINT64_C(1);
16112       op &= UINT64_C(255);
16117       op &= UINT64_C(124);
16122       op &= UINT64_C(1);
16127       op &= UINT64_C(1);
16132       op &= UINT64_C(255);
16145       op &= UINT64_C(4095);
16149       op &= UINT64_C(1);
16154       op &= UINT64_C(255);
16159       op &= UINT64_C(124);
16164       op &= UINT64_C(1);
16169       op &= UINT64_C(255);
16198       op &= UINT64_C(4095);
16202       op &= UINT64_C(1);
16207       op &= UINT64_C(255);
16212       op &= UINT64_C(124);
16217       op &= UINT64_C(1);
16222       op &= UINT64_C(1);
16227       op &= UINT64_C(255);
16250       op &= UINT64_C(4095);
16254       op &= UINT64_C(1);
16259       op &= UINT64_C(1);
16264       op &= UINT64_C(127);
16269       op &= UINT64_C(255);
16274       op &= UINT64_C(124);
16279       op &= UINT64_C(1);
16284       op &= UINT64_C(1);
16289       op &= UINT64_C(255);
16328       op &= UINT64_C(4095);
16332       op &= UINT64_C(1);
16337       op &= UINT64_C(127);
16342       op &= UINT64_C(255);
16347       op &= UINT64_C(124);
16352       op &= UINT64_C(1);
16357       op &= UINT64_C(1);
16362       op &= UINT64_C(255);
16397       op &= UINT64_C(4095);
16401       op &= UINT64_C(255);
16406       op &= UINT64_C(124);
16411       op &= UINT64_C(1);
16416       op &= UINT64_C(255);
16487       op &= UINT64_C(4095);
16491       op &= UINT64_C(255);
16496       op &= UINT64_C(124);
16501       op &= UINT64_C(1);
16506       op &= UINT64_C(255);
16531       op &= UINT64_C(4095);
16535       op &= UINT64_C(1);
16540       op &= UINT64_C(1);
16545       op &= UINT64_C(255);
16550       op &= UINT64_C(255);
16555       op &= UINT64_C(124);
16560       op &= UINT64_C(1);
16565       op &= UINT64_C(255);
16686       op &= UINT64_C(4095);
16690       op &= UINT64_C(1);
16695       op &= UINT64_C(1);
16700       op &= UINT64_C(255);
16705       op &= UINT64_C(255);
16710       op &= UINT64_C(124);
16715       op &= UINT64_C(1);
16720       op &= UINT64_C(1);
16725       op &= UINT64_C(255);
16759       op &= UINT64_C(4095);
16763       op &= UINT64_C(1);
16768       op &= UINT64_C(255);
16773       op &= UINT64_C(255);
16778       op &= UINT64_C(124);
16783       op &= UINT64_C(1);
16788       op &= UINT64_C(255);
16939       op &= UINT64_C(4095);
16943       op &= UINT64_C(1);
16948       op &= UINT64_C(255);
16953       op &= UINT64_C(255);
16958       op &= UINT64_C(124);
16963       op &= UINT64_C(1);
16968       op &= UINT64_C(1);
16973       op &= UINT64_C(255);
17004       op &= UINT64_C(4095);
17008       op &= UINT64_C(1);
17013       op &= UINT64_C(255);
17018       op &= UINT64_C(255);
17023       op &= UINT64_C(124);
17028       op &= UINT64_C(1);
17033       op &= UINT64_C(255);
17128       op &= UINT64_C(4095);
17132       op &= UINT64_C(1);
17137       op &= UINT64_C(255);
17142       op &= UINT64_C(255);
17147       op &= UINT64_C(124);
17152       op &= UINT64_C(1);
17157       op &= UINT64_C(1);
17162       op &= UINT64_C(255);
17217       op &= UINT64_C(4095);
17221       op &= UINT64_C(1);
17226       op &= UINT64_C(1);
17231       op &= UINT64_C(127);
17236       op &= UINT64_C(255);
17241       op &= UINT64_C(255);
17246       op &= UINT64_C(124);
17251       op &= UINT64_C(1);
17256       op &= UINT64_C(1);
17261       op &= UINT64_C(255);
17372       op &= UINT64_C(4095);
17376       op &= UINT64_C(1);
17381       op &= UINT64_C(127);
17386       op &= UINT64_C(255);
17391       op &= UINT64_C(255);
17396       op &= UINT64_C(124);
17401       op &= UINT64_C(1);
17406       op &= UINT64_C(1);
17411       op &= UINT64_C(255);
17502       op &= UINT64_C(4095);
17506       op &= UINT64_C(255);
17511       op &= UINT64_C(255);
17516       op &= UINT64_C(124);
17521       op &= UINT64_C(1);
17526       op &= UINT64_C(255);
17757       op &= UINT64_C(4095);
17761       op &= UINT64_C(255);
17766       op &= UINT64_C(255);
17771       op &= UINT64_C(124);
17776       op &= UINT64_C(1);
17781       op &= UINT64_C(255);
17814       op &= UINT64_C(4095);
17818       op &= UINT64_C(255);
17823       op &= UINT64_C(124);
17828       op &= UINT64_C(1);
17833       op &= UINT64_C(255);
17904       op &= UINT64_C(4095);
17908       op &= UINT64_C(255);
17913       op &= UINT64_C(124);
17918       op &= UINT64_C(1);
17923       op &= UINT64_C(255);
18008       op &= UINT64_C(4095);
18012       op &= UINT64_C(255);
18017       op &= UINT64_C(255);
18022       op &= UINT64_C(124);
18027       op &= UINT64_C(1);
18032       op &= UINT64_C(255);
18263       op &= UINT64_C(4095);
18267       op &= UINT64_C(255);
18272       op &= UINT64_C(255);
18277       op &= UINT64_C(124);
18282       op &= UINT64_C(1);
18287       op &= UINT64_C(255);
18308       op &= UINT64_C(127);
18313       op &= UINT64_C(255);
18318       op &= UINT64_C(1);
18323       op &= UINT64_C(1);
18328       op &= UINT64_C(1);
18333       op &= UINT64_C(4095);
18353       op &= UINT64_C(127);
18358       op &= UINT64_C(255);
18363       op &= UINT64_C(1);
18368       op &= UINT64_C(1);
18373       op &= UINT64_C(8191);
18385       op &= UINT64_C(126);
18390       op &= UINT64_C(1048575);
18399       op &= UINT64_C(126);
18404       op &= UINT64_C(127);
18431       op &= UINT64_C(126);
18436       op &= UINT64_C(127);
18441       op &= UINT64_C(255);
18457       op &= UINT64_C(126);
18462       op &= UINT64_C(127);
18467       op &= UINT64_C(4294967295);
18526       op &= UINT64_C(126);
18531       op &= UINT64_C(1048575);
18536       op &= UINT64_C(1);
18541       op &= UINT64_C(127);
18557       op &= UINT64_C(126);
18562       op &= UINT64_C(1048575);
18567       op &= UINT64_C(1);
18572       op &= UINT64_C(1);
18577       op &= UINT64_C(127);
18602       op &= UINT64_C(126);
18607       op &= UINT64_C(1048575);
18612       op &= UINT64_C(1);
18617       op &= UINT64_C(127);
18734       op &= UINT64_C(126);
18739       op &= UINT64_C(1048575);
18744       op &= UINT64_C(127);
18803       op &= UINT64_C(126);
18808       op &= UINT64_C(127);
18813       op &= UINT64_C(1);
18818       op &= UINT64_C(127);
18834       op &= UINT64_C(126);
18839       op &= UINT64_C(127);
18844       op &= UINT64_C(1);
18849       op &= UINT64_C(1);
18854       op &= UINT64_C(127);
18863       op &= UINT64_C(126);
18868       op &= UINT64_C(127);
18873       op &= UINT64_C(127);
18906       op &= UINT64_C(126);
18911       op &= UINT64_C(127);
18916       op &= UINT64_C(1048575);
18921       op &= UINT64_C(1);
18941       op &= UINT64_C(126);
18946       op &= UINT64_C(127);
18951       op &= UINT64_C(1048575);
18956       op &= UINT64_C(1);
18961       op &= UINT64_C(1);
18981       op &= UINT64_C(126);
18986       op &= UINT64_C(127);
18991       op &= UINT64_C(127);
18996       op &= UINT64_C(1);
19001       op &= UINT64_C(1);
19112       op &= UINT64_C(126);
19117       op &= UINT64_C(127);
19122       op &= UINT64_C(1048575);
19181       op &= UINT64_C(126);
19186       op &= UINT64_C(127);
19191       op &= UINT64_C(1048575);
19196       op &= UINT64_C(1);
19255       op &= UINT64_C(126);
19260       op &= UINT64_C(127);
19265       op &= UINT64_C(127);
19270       op &= UINT64_C(1);
19278       op &= UINT64_C(127);
19288       op &= UINT64_C(127);
19347       op &= UINT64_C(127);
19352       op &= UINT64_C(65535);
19364       op &= UINT64_C(127);
19369       op &= UINT64_C(65535);
19379       op &= UINT64_C(127);
19384       op &= UINT64_C(65535);
19550       op &= UINT64_C(127);
19555       op &= UINT64_C(255);
19705       op &= UINT64_C(127);
19710       op &= UINT64_C(255);
19714       op &= UINT64_C(255);
19726       op &= UINT64_C(127);
19735       op &= UINT64_C(127);
19740       op &= UINT64_C(65535);
19766       op &= UINT64_C(65535);
19775       op &= UINT64_C(65535);
19779       op &= UINT64_C(4294967295);
19808       op &= UINT64_C(65535);
19823       op &= UINT64_C(255);
19852       op &= UINT64_C(255);
19856       op &= UINT64_C(255);
20447       op &= UINT64_C(511);
20451       op &= UINT64_C(255);
20502       op &= UINT64_C(511);
20507       op &= UINT64_C(511);
20523       op &= UINT64_C(511);
20528       op &= UINT64_C(1);
20533       op &= UINT64_C(3);
20538       op &= UINT64_C(255);
20566       op &= UINT64_C(511);
20571       op &= UINT64_C(1);
20576       op &= UINT64_C(3);
20581       op &= UINT64_C(255);
20593       op &= UINT64_C(511);
20598       op &= UINT64_C(511);
20603       op &= UINT64_C(1);
20608       op &= UINT64_C(255);
20818       op &= UINT64_C(511);
20823       op &= UINT64_C(511);
20828       op &= UINT64_C(255);
20844       op &= UINT64_C(511);
20849       op &= UINT64_C(511);
20854       op &= UINT64_C(511);
20859       op &= UINT64_C(1);
20864       op &= UINT64_C(255);
20894       op &= UINT64_C(511);
20899       op &= UINT64_C(511);
20904       op &= UINT64_C(511);
20909       op &= UINT64_C(1);
20914       op &= UINT64_C(255);
20973       op &= UINT64_C(511);
20978       op &= UINT64_C(511);
20983       op &= UINT64_C(511);
20988       op &= UINT64_C(255);
21109       op &= UINT64_C(511);
21114       op &= UINT64_C(511);
21119       op &= UINT64_C(255);
21159       op &= UINT64_C(511);
21164       op &= UINT64_C(255);
21171       op &= UINT64_C(511);
21176       op &= UINT64_C(1);
21181       op &= UINT64_C(3);
21186       op &= UINT64_C(255);
21190       op &= UINT64_C(63);
21195       op &= UINT64_C(3);
21203       op &= UINT64_C(511);
21208       op &= UINT64_C(1);
21213       op &= UINT64_C(3);
21218       op &= UINT64_C(255);
21222       op &= UINT64_C(3);
21227       op &= UINT64_C(63);
21290       op &= UINT64_C(255);
21295       op &= UINT64_C(16777215);
21300       op &= UINT64_C(511);
21304       op &= UINT64_C(255);
21356       op &= UINT64_C(255);
21361       op &= UINT64_C(16777215);
21366       op &= UINT64_C(511);
21370       op &= UINT64_C(255);
21375       op &= UINT64_C(255);
21387       op &= UINT64_C(255);
21392       op &= UINT64_C(16777215);
21397       op &= UINT64_C(511);
21401       op &= UINT64_C(255);
21406       op &= UINT64_C(255);
21430       op &= UINT64_C(255);
21435       op &= UINT64_C(511);
21440       op &= UINT64_C(1);
21445       op &= UINT64_C(15);
21450       op &= UINT64_C(15);
21455       op &= UINT64_C(1);
21460       op &= UINT64_C(255);
21485       op &= UINT64_C(255);
21490       op &= UINT64_C(511);
21495       op &= UINT64_C(1);
21500       op &= UINT64_C(15);
21505       op &= UINT64_C(15);
21510       op &= UINT64_C(255);
21544       op &= UINT64_C(255);
21549       op &= UINT64_C(511);
21554       op &= UINT64_C(1);
21559       op &= UINT64_C(15);
21564       op &= UINT64_C(15);
21569       op &= UINT64_C(1);
21574       op &= UINT64_C(255);
21579       op &= UINT64_C(255);
21627       op &= UINT64_C(255);
21632       op &= UINT64_C(511);
21637       op &= UINT64_C(1);
21642       op &= UINT64_C(15);
21647       op &= UINT64_C(15);
21652       op &= UINT64_C(255);
21657       op &= UINT64_C(255);
21692       Value |= (op & UINT64_C(256)) << 47;
21693       Value |= (op & UINT64_C(255)) << 32;
21696       op &= UINT64_C(7);
21701       op &= UINT64_C(1);
21706       op &= UINT64_C(7);
21711       op &= UINT64_C(1);
21716       Value |= (op & UINT64_C(256)) << 55;
21717       Value |= (op & UINT64_C(255)) << 9;
21724       Value |= (op & UINT64_C(256)) << 47;
21725       Value |= (op & UINT64_C(255)) << 32;
21728       op &= UINT64_C(7);
21733       op &= UINT64_C(3);
21738       op &= UINT64_C(7);
21743       op &= UINT64_C(1);
21748       Value |= (op & UINT64_C(256)) << 55;
21749       Value |= (op & UINT64_C(255)) << 9;
21786       Value |= (op & UINT64_C(256)) << 47;
21787       Value |= (op & UINT64_C(255)) << 32;
21790       op &= UINT64_C(7);
21795       op &= UINT64_C(3);
21800       op &= UINT64_C(7);
21805       op &= UINT64_C(3);
21810       Value |= (op & UINT64_C(256)) << 55;
21811       Value |= (op & UINT64_C(255)) << 9;
21833       Value |= (op & UINT64_C(256)) << 47;
21834       Value |= (op & UINT64_C(255)) << 32;
21837       op &= UINT64_C(7);
21842       op &= UINT64_C(1);
21847       op &= UINT64_C(7);
21852       op &= UINT64_C(3);
21857       op &= UINT64_C(1);
21862       op &= UINT64_C(255);
21961       Value |= (op & UINT64_C(256)) << 47;
21962       Value |= (op & UINT64_C(255)) << 32;
21965       op &= UINT64_C(7);
21970       op &= UINT64_C(1);
21975       op &= UINT64_C(7);
21980       op &= UINT64_C(1);
21985       op &= UINT64_C(255);
21990       Value |= (op & UINT64_C(256)) << 55;
21991       Value |= (op & UINT64_C(255)) << 9;
22016       Value |= (op & UINT64_C(256)) << 47;
22017       Value |= (op & UINT64_C(255)) << 32;
22020       op &= UINT64_C(7);
22025       op &= UINT64_C(3);
22030       op &= UINT64_C(7);
22035       op &= UINT64_C(3);
22040       op &= UINT64_C(1);
22045       op &= UINT64_C(255);
22058       Value |= (op & UINT64_C(256)) << 47;
22059       Value |= (op & UINT64_C(255)) << 32;
22062       op &= UINT64_C(7);
22067       op &= UINT64_C(3);
22072       op &= UINT64_C(7);
22077       op &= UINT64_C(1);
22082       op &= UINT64_C(255);
22087       Value |= (op & UINT64_C(256)) << 55;
22088       Value |= (op & UINT64_C(255)) << 9;
22189       Value |= (op & UINT64_C(256)) << 47;
22190       Value |= (op & UINT64_C(255)) << 32;
22193       op &= UINT64_C(7);
22198       op &= UINT64_C(3);
22203       op &= UINT64_C(7);
22208       op &= UINT64_C(3);
22213       op &= UINT64_C(255);
22218       Value |= (op & UINT64_C(256)) << 55;
22219       Value |= (op & UINT64_C(255)) << 9;
22242       Value |= (op & UINT64_C(256)) << 47;
22243       Value |= (op & UINT64_C(255)) << 32;
22246       op &= UINT64_C(7);
22251       op &= UINT64_C(1);
22256       op &= UINT64_C(7);
22261       op &= UINT64_C(3);
22266       op &= UINT64_C(1);
22271       op &= UINT64_C(3);
22276       op &= UINT64_C(255);
22343       Value |= (op & UINT64_C(256)) << 47;
22344       Value |= (op & UINT64_C(255)) << 32;
22347       op &= UINT64_C(7);
22352       op &= UINT64_C(3);
22357       op &= UINT64_C(7);
22362       op &= UINT64_C(3);
22367       op &= UINT64_C(1);
22372       op &= UINT64_C(3);
22377       op &= UINT64_C(255);
22450       Value |= (op & UINT64_C(256)) << 47;
22451       Value |= (op & UINT64_C(255)) << 32;
22454       op &= UINT64_C(7);
22459       op &= UINT64_C(1);
22464       op &= UINT64_C(7);
22469       op &= UINT64_C(1);
22474       op &= UINT64_C(7);
22479       op &= UINT64_C(3);
22484       op &= UINT64_C(1);
22489       op &= UINT64_C(255);
22494       Value |= (op & UINT64_C(256)) << 55;
22495       Value |= (op & UINT64_C(255)) << 9;
22502       Value |= (op & UINT64_C(256)) << 47;
22503       Value |= (op & UINT64_C(255)) << 32;
22506       op &= UINT64_C(7);
22511       op &= UINT64_C(3);
22516       op &= UINT64_C(7);
22521       op &= UINT64_C(1);
22526       op &= UINT64_C(7);
22531       op &= UINT64_C(3);
22536       op &= UINT64_C(1);
22541       op &= UINT64_C(3);
22546       op &= UINT64_C(255);
22551       Value |= (op & UINT64_C(256)) << 55;
22552       Value |= (op & UINT64_C(255)) << 9;
22584       Value |= (op & UINT64_C(256)) << 47;
22585       Value |= (op & UINT64_C(255)) << 32;
22588       op &= UINT64_C(7);
22593       op &= UINT64_C(3);
22598       op &= UINT64_C(7);
22603       op &= UINT64_C(3);
22608       op &= UINT64_C(7);
22613       op &= UINT64_C(3);
22618       op &= UINT64_C(1);
22623       op &= UINT64_C(3);
22628       op &= UINT64_C(255);
22633       Value |= (op & UINT64_C(256)) << 55;
22634       Value |= (op & UINT64_C(255)) << 9;
22642       op &= UINT64_C(255);
22647       op &= UINT64_C(7);
22652       op &= UINT64_C(3);
22657       op &= UINT64_C(7);
22662       op &= UINT64_C(3);
22667       op &= UINT64_C(7);
22672       op &= UINT64_C(3);
22677       op &= UINT64_C(1);
22682       op &= UINT64_C(255);
22687       op &= UINT64_C(255);
22702       op &= UINT64_C(255);
22707       op &= UINT64_C(7);
22712       op &= UINT64_C(1);
22717       op &= UINT64_C(7);
22722       op &= UINT64_C(3);
22727       op &= UINT64_C(1);
22732       op &= UINT64_C(255);
22803       op &= UINT64_C(255);
22808       op &= UINT64_C(7);
22813       op &= UINT64_C(1);
22818       op &= UINT64_C(7);
22823       op &= UINT64_C(1);
22828       op &= UINT64_C(1);
22833       op &= UINT64_C(255);
22850       op &= UINT64_C(255);
22855       op &= UINT64_C(7);
22860       op &= UINT64_C(3);
22865       op &= UINT64_C(7);
22870       op &= UINT64_C(3);
22875       op &= UINT64_C(1);
22880       op &= UINT64_C(255);
22891       op &= UINT64_C(255);
22896       op &= UINT64_C(7);
22901       op &= UINT64_C(3);
22906       op &= UINT64_C(7);
22911       op &= UINT64_C(1);
22916       op &= UINT64_C(1);
22921       op &= UINT64_C(255);
22992       op &= UINT64_C(255);
22997       op &= UINT64_C(7);
23002       op &= UINT64_C(3);
23007       op &= UINT64_C(7);
23012       op &= UINT64_C(3);
23017       op &= UINT64_C(1);
23022       op &= UINT64_C(255);
23038       op &= UINT64_C(255);
23043       op &= UINT64_C(7);
23048       op &= UINT64_C(1);
23053       op &= UINT64_C(7);
23058       op &= UINT64_C(3);
23063       op &= UINT64_C(1);
23068       op &= UINT64_C(255);
23106       op &= UINT64_C(255);
23111       op &= UINT64_C(7);
23116       op &= UINT64_C(3);
23121       op &= UINT64_C(7);
23126       op &= UINT64_C(3);
23131       op &= UINT64_C(1);
23136       op &= UINT64_C(255);
23176       op &= UINT64_C(255);
23181       op &= UINT64_C(7);
23186       op &= UINT64_C(1);
23191       op &= UINT64_C(7);
23196       op &= UINT64_C(1);
23201       op &= UINT64_C(7);
23206       op &= UINT64_C(3);
23211       op &= UINT64_C(1);
23216       op &= UINT64_C(255);
23221       op &= UINT64_C(255);
23229       op &= UINT64_C(255);
23234       op &= UINT64_C(7);
23239       op &= UINT64_C(3);
23244       op &= UINT64_C(7);
23249       op &= UINT64_C(1);
23254       op &= UINT64_C(7);
23259       op &= UINT64_C(3);
23264       op &= UINT64_C(1);
23269       op &= UINT64_C(255);
23274       op &= UINT64_C(255);
23294       op &= UINT64_C(255);
23299       op &= UINT64_C(7);
23304       op &= UINT64_C(3);
23309       op &= UINT64_C(7);
23314       op &= UINT64_C(3);
23319       op &= UINT64_C(7);
23324       op &= UINT64_C(3);
23329       op &= UINT64_C(1);
23334       op &= UINT64_C(255);
23339       op &= UINT64_C(255);
23349       Value |= (op & UINT64_C(1)) << 61;
23350       Value |= (op & UINT64_C(2)) << 7;
23353       op &= UINT64_C(511);
23358       op &= UINT64_C(511);
23413       Value |= (op & UINT64_C(1)) << 61;
23414       Value |= (op & UINT64_C(2)) << 7;
23417       op &= UINT64_C(511);
23422       Value |= (op & UINT64_C(1)) << 62;
23423       Value |= (op & UINT64_C(2)) << 8;
23426       op &= UINT64_C(511);
23431       op &= UINT64_C(1);
23474       Value |= (op & UINT64_C(1)) << 61;
23475       Value |= (op & UINT64_C(2)) << 7;
23478       op &= UINT64_C(511);
23483       op &= UINT64_C(1);
23488       op &= UINT64_C(3);
23493       op &= UINT64_C(255);
23507       Value |= (op & UINT64_C(1)) << 61;
23508       Value |= (op & UINT64_C(2)) << 7;
23511       op &= UINT64_C(511);
23516       op &= UINT64_C(1);
23521       op &= UINT64_C(255);
23609       Value |= (op & UINT64_C(1)) << 61;
23610       Value |= (op & UINT64_C(2)) << 7;
23613       op &= UINT64_C(511);
23618       op &= UINT64_C(1);
23623       op &= UINT64_C(3);
23628       op &= UINT64_C(255);
23660       Value |= (op & UINT64_C(1)) << 61;
23661       Value |= (op & UINT64_C(2)) << 7;
23664       op &= UINT64_C(511);
23669       op &= UINT64_C(1);
23674       op &= UINT64_C(255);
23693       Value |= (op & UINT64_C(1)) << 61;
23694       Value |= (op & UINT64_C(2)) << 7;
23697       op &= UINT64_C(511);
23702       op &= UINT64_C(511);
23707       op &= UINT64_C(255);
23731       Value |= (op & UINT64_C(1)) << 61;
23732       Value |= (op & UINT64_C(2)) << 7;
23735       op &= UINT64_C(511);
23740       Value |= (op & UINT64_C(1)) << 62;
23741       Value |= (op & UINT64_C(2)) << 8;
23744       op &= UINT64_C(511);
23749       op &= UINT64_C(1);
23754       op &= UINT64_C(3);
23759       op &= UINT64_C(255);
23893       Value |= (op & UINT64_C(1)) << 61;
23894       Value |= (op & UINT64_C(2)) << 7;
23897       op &= UINT64_C(511);
23902       Value |= (op & UINT64_C(1)) << 62;
23903       Value |= (op & UINT64_C(2)) << 8;
23906       op &= UINT64_C(511);
23911       op &= UINT64_C(1);
23916       op &= UINT64_C(255);
23925       Value |= (op & UINT64_C(1)) << 61;
23926       Value |= (op & UINT64_C(2)) << 7;
23929       op &= UINT64_C(511);
23934       Value |= (op & UINT64_C(1)) << 62;
23935       Value |= (op & UINT64_C(2)) << 8;
23938       op &= UINT64_C(511);
23943       op &= UINT64_C(1);
23948       op &= UINT64_C(255);
23999       Value |= (op & UINT64_C(1)) << 61;
24000       Value |= (op & UINT64_C(2)) << 7;
24003       op &= UINT64_C(511);
24008       Value |= (op & UINT64_C(1)) << 62;
24009       Value |= (op & UINT64_C(2)) << 8;
24012       op &= UINT64_C(511);
24017       op &= UINT64_C(1);
24022       op &= UINT64_C(3);
24027       op &= UINT64_C(255);
24177       Value |= (op & UINT64_C(1)) << 61;
24178       Value |= (op & UINT64_C(2)) << 7;
24181       op &= UINT64_C(511);
24186       Value |= (op & UINT64_C(1)) << 62;
24187       Value |= (op & UINT64_C(2)) << 8;
24190       op &= UINT64_C(511);
24195       op &= UINT64_C(1);
24200       op &= UINT64_C(255);
24211       Value |= (op & UINT64_C(1)) << 61;
24212       Value |= (op & UINT64_C(2)) << 7;
24215       op &= UINT64_C(511);
24220       Value |= (op & UINT64_C(1)) << 62;
24221       Value |= (op & UINT64_C(2)) << 8;
24224       op &= UINT64_C(511);
24229       op &= UINT64_C(1);
24234       op &= UINT64_C(255);
24241       Value |= (op & UINT64_C(1)) << 61;
24242       Value |= (op & UINT64_C(2)) << 7;
24245       op &= UINT64_C(511);
24250       Value |= (op & UINT64_C(1)) << 62;
24251       Value |= (op & UINT64_C(2)) << 8;
24254       op &= UINT64_C(511);
24259       op &= UINT64_C(1);
24264       op &= UINT64_C(3);
24269       op &= UINT64_C(255);
24281       Value |= (op & UINT64_C(1)) << 61;
24282       Value |= (op & UINT64_C(2)) << 7;
24285       op &= UINT64_C(511);
24290       Value |= (op & UINT64_C(1)) << 62;
24291       Value |= (op & UINT64_C(2)) << 8;
24294       op &= UINT64_C(511);
24299       op &= UINT64_C(1);
24304       op &= UINT64_C(3);
24309       op &= UINT64_C(255);
24318       Value |= (op & UINT64_C(1)) << 61;
24319       Value |= (op & UINT64_C(2)) << 7;
24322       op &= UINT64_C(511);
24327       Value |= (op & UINT64_C(1)) << 62;
24328       Value |= (op & UINT64_C(2)) << 8;
24331       op &= UINT64_C(511);
24336       op &= UINT64_C(511);
24341       op &= UINT64_C(255);
24363       Value |= (op & UINT64_C(1)) << 61;
24364       Value |= (op & UINT64_C(2)) << 7;
24367       op &= UINT64_C(511);
24372       Value |= (op & UINT64_C(1)) << 62;
24373       Value |= (op & UINT64_C(2)) << 8;
24376       op &= UINT64_C(511);
24381       Value |= (op & UINT64_C(1)) << 63;
24382       Value |= (op & UINT64_C(2)) << 9;
24385       op &= UINT64_C(511);
24390       op &= UINT64_C(1);
24395       op &= UINT64_C(3);
24400       op &= UINT64_C(255);
24407       Value |= (op & UINT64_C(1)) << 61;
24408       Value |= (op & UINT64_C(2)) << 7;
24411       op &= UINT64_C(511);
24416       Value |= (op & UINT64_C(1)) << 62;
24417       Value |= (op & UINT64_C(2)) << 8;
24420       op &= UINT64_C(511);
24425       Value |= (op & UINT64_C(1)) << 63;
24426       Value |= (op & UINT64_C(2)) << 9;
24429       op &= UINT64_C(511);
24434       op &= UINT64_C(1);
24439       op &= UINT64_C(255);
24482       Value |= (op & UINT64_C(1)) << 61;
24483       Value |= (op & UINT64_C(2)) << 7;
24486       op &= UINT64_C(511);
24491       Value |= (op & UINT64_C(1)) << 62;
24492       Value |= (op & UINT64_C(2)) << 8;
24495       op &= UINT64_C(511);
24500       Value |= (op & UINT64_C(1)) << 63;
24501       Value |= (op & UINT64_C(2)) << 9;
24504       op &= UINT64_C(511);
24509       op &= UINT64_C(1);
24514       op &= UINT64_C(3);
24519       op &= UINT64_C(255);
24527       Value |= (op & UINT64_C(1)) << 61;
24528       Value |= (op & UINT64_C(2)) << 7;
24531       op &= UINT64_C(511);
24536       Value |= (op & UINT64_C(1)) << 62;
24537       Value |= (op & UINT64_C(2)) << 8;
24540       op &= UINT64_C(511);
24545       Value |= (op & UINT64_C(1)) << 63;
24546       Value |= (op & UINT64_C(2)) << 9;
24549       op &= UINT64_C(511);
24554       op &= UINT64_C(1);
24559       op &= UINT64_C(255);
24571       Value |= (op & UINT64_C(1)) << 61;
24572       Value |= (op & UINT64_C(8)) << 11;
24573       Value |= (op & UINT64_C(4)) << 9;
24574       Value |= (op & UINT64_C(2)) << 7;
24577       op &= UINT64_C(511);
24582       Value |= (op & UINT64_C(1)) << 62;
24583       Value |= (op & UINT64_C(4)) << 10;
24584       Value |= (op & UINT64_C(2)) << 8;
24587       op &= UINT64_C(511);
24592       op &= UINT64_C(1);
24597       op &= UINT64_C(255);
24614       Value |= (op & UINT64_C(1)) << 61;
24615       Value |= (op & UINT64_C(8)) << 11;
24616       Value |= (op & UINT64_C(4)) << 9;
24617       Value |= (op & UINT64_C(2)) << 7;
24620       op &= UINT64_C(511);
24625       Value |= (op & UINT64_C(1)) << 62;
24626       Value |= (op & UINT64_C(4)) << 10;
24627       Value |= (op & UINT64_C(2)) << 8;
24630       op &= UINT64_C(511);
24635       Value |= (op & UINT64_C(1)) << 63;
24636       Value |= (op & UINT64_C(4)) << 11;
24637       Value |= (op & UINT64_C(2)) << 9;
24640       op &= UINT64_C(511);
24645       op &= UINT64_C(1);
24650       op &= UINT64_C(255);
24658       Value |= (op & UINT64_C(1)) << 62;
24659       Value |= (op & UINT64_C(2)) << 8;
24662       op &= UINT64_C(511);
24667       op &= UINT64_C(1);
24672       op &= UINT64_C(3);
24677       op &= UINT64_C(255);
24681       op &= UINT64_C(63);
24686       op &= UINT64_C(3);
24695       Value |= (op & UINT64_C(1)) << 62;
24696       Value |= (op & UINT64_C(2)) << 8;
24699       op &= UINT64_C(511);
24704       op &= UINT64_C(1);
24709       op &= UINT64_C(3);
24714       op &= UINT64_C(255);
24718       op &= UINT64_C(3);
24723       op &= UINT64_C(63);
24731       Value |= (op & UINT64_C(1)) << 62;
24732       Value |= (op & UINT64_C(2)) << 8;
24735       op &= UINT64_C(511);
24740       op &= UINT64_C(1);
24745       op &= UINT64_C(3);
24750       op &= UINT64_C(255);
24754       op &= UINT64_C(63);
24759       op &= UINT64_C(3);
24764       op &= UINT64_C(1);
24772       Value |= (op & UINT64_C(1)) << 62;
24773       Value |= (op & UINT64_C(2)) << 8;
24776       op &= UINT64_C(511);
24781       op &= UINT64_C(1);
24786       op &= UINT64_C(3);
24791       op &= UINT64_C(255);
24795       op &= UINT64_C(3);
24800       op &= UINT64_C(63);
24805       op &= UINT64_C(1);
24813       Value |= (op & UINT64_C(1)) << 62;
24814       Value |= (op & UINT64_C(2)) << 8;
24817       op &= UINT64_C(511);
24822       Value |= (op & UINT64_C(1)) << 63;
24823       Value |= (op & UINT64_C(2)) << 9;
24826       op &= UINT64_C(511);
24831       op &= UINT64_C(1);
24836       op &= UINT64_C(3);
24841       op &= UINT64_C(255);
24845       op &= UINT64_C(63);
24850       op &= UINT64_C(3);
24855       op &= UINT64_C(1);
24863       Value |= (op & UINT64_C(1)) << 62;
24864       Value |= (op & UINT64_C(2)) << 8;
24867       op &= UINT64_C(511);
24872       Value |= (op & UINT64_C(1)) << 63;
24873       Value |= (op & UINT64_C(2)) << 9;
24876       op &= UINT64_C(511);
24881       op &= UINT64_C(1);
24886       op &= UINT64_C(3);
24891       op &= UINT64_C(255);
24895       op &= UINT64_C(3);
24900       op &= UINT64_C(63);
24905       op &= UINT64_C(1);
24913       Value |= (op & UINT64_C(1)) << 62;
24914       Value |= (op & UINT64_C(2)) << 8;
24917       op &= UINT64_C(511);
24922       Value |= (op & UINT64_C(1)) << 63;
24923       Value |= (op & UINT64_C(2)) << 9;
24926       op &= UINT64_C(511);
24931       op &= UINT64_C(1);
24936       op &= UINT64_C(255);
24940       op &= UINT64_C(63);
24945       op &= UINT64_C(3);
24950       op &= UINT64_C(1);
24960       Value |= (op & UINT64_C(1)) << 62;
24961       Value |= (op & UINT64_C(2)) << 8;
24964       op &= UINT64_C(511);
24969       Value |= (op & UINT64_C(1)) << 63;
24970       Value |= (op & UINT64_C(2)) << 9;
24973       op &= UINT64_C(511);
24978       op &= UINT64_C(1);
24983       op &= UINT64_C(255);
24987       op &= UINT64_C(3);
24992       op &= UINT64_C(63);
24997       op &= UINT64_C(1);
25008       Value |= (op & UINT64_C(8)) << 11;
25009       Value |= (op & UINT64_C(4)) << 9;
25012       op &= UINT64_C(511);
25017       op &= UINT64_C(4);
25022       op &= UINT64_C(511);
25027       op &= UINT64_C(1);
25032       op &= UINT64_C(255);
25058       Value |= (op & UINT64_C(8)) << 11;
25059       Value |= (op & UINT64_C(4)) << 9;
25062       op &= UINT64_C(511);
25067       op &= UINT64_C(4);
25072       op &= UINT64_C(511);
25077       op &= UINT64_C(4);
25082       op &= UINT64_C(511);
25087       op &= UINT64_C(1);
25092       op &= UINT64_C(255);
25100       Value |= (op & UINT64_C(8)) << 11;
25101       Value |= (op & UINT64_C(4)) << 9;
25104       op &= UINT64_C(511);
25109       op &= UINT64_C(4);
25114       op &= UINT64_C(511);
25119       op &= UINT64_C(4);
25124       op &= UINT64_C(511);
25129       op &= UINT64_C(255);
25140       op &= UINT64_C(3);
25145       op &= UINT64_C(255);
25150       op &= UINT64_C(3);
25155       op &= UINT64_C(511);
25160       op &= UINT64_C(1);
25165       op &= UINT64_C(15);
25170       op &= UINT64_C(15);
25175       op &= UINT64_C(1);
25180       op &= UINT64_C(255);
25185       op &= UINT64_C(255);
25199       op &= UINT64_C(3);
25204       op &= UINT64_C(255);
25209       op &= UINT64_C(3);
25214       op &= UINT64_C(511);
25219       op &= UINT64_C(1);
25224       op &= UINT64_C(15);
25229       op &= UINT64_C(15);
25234       op &= UINT64_C(255);
25239       op &= UINT64_C(255);
25285       op &= UINT64_C(3);
25290       op &= UINT64_C(255);
25295       op &= UINT64_C(511);
25300       op &= UINT64_C(1);
25305       op &= UINT64_C(15);
25310       op &= UINT64_C(15);
25315       op &= UINT64_C(1);
25320       op &= UINT64_C(255);
25368       op &= UINT64_C(3);
25373       op &= UINT64_C(255);
25378       op &= UINT64_C(511);
25383       op &= UINT64_C(1);
25388       op &= UINT64_C(15);
25393       op &= UINT64_C(15);
25398       op &= UINT64_C(255);
25423       op &= UINT64_C(3);
25428       op &= UINT64_C(255);
25433       op &= UINT64_C(3);
25438       op &= UINT64_C(511);
25443       op &= UINT64_C(1);
25448       op &= UINT64_C(15);
25453       op &= UINT64_C(15);
25458       op &= UINT64_C(1);
25463       op &= UINT64_C(255);
25468       op &= UINT64_C(255);
25490       op &= UINT64_C(3);
25495       op &= UINT64_C(255);
25500       op &= UINT64_C(3);
25505       op &= UINT64_C(511);
25510       op &= UINT64_C(1);
25515       op &= UINT64_C(15);
25520       op &= UINT64_C(15);
25525       op &= UINT64_C(255);
25530       op &= UINT64_C(255);
25569       op &= UINT64_C(255);
25574       op &= UINT64_C(255);
25579       op &= UINT64_C(127);
25584       op &= UINT64_C(1);
25589       op &= UINT64_C(4095);
25623       op &= UINT64_C(255);
25628       op &= UINT64_C(255);
25633       op &= UINT64_C(127);
25638       op &= UINT64_C(1);
25643       op &= UINT64_C(8191);
25657       op &= UINT64_C(255);
25662       op &= UINT64_C(255);
25667       op &= UINT64_C(127);
25672       op &= UINT64_C(1);
25677       op &= UINT64_C(1);
25682       op &= UINT64_C(1);
25687       op &= UINT64_C(4095);
25701       op &= UINT64_C(255);
25706       op &= UINT64_C(255);
25711       op &= UINT64_C(127);
25716       op &= UINT64_C(1);
25721       op &= UINT64_C(1);
25726       op &= UINT64_C(8191);
25796       op &= UINT64_C(255);
25801       op &= UINT64_C(255);
25806       op &= UINT64_C(1);
25811       op &= UINT64_C(4095);
25903       op &= UINT64_C(255);
25908       op &= UINT64_C(255);
25913       op &= UINT64_C(1);
25918       op &= UINT64_C(8191);
25940       op &= UINT64_C(255);
25945       op &= UINT64_C(255);
25950       op &= UINT64_C(1);
25955       op &= UINT64_C(1);
25960       op &= UINT64_C(1);
25965       op &= UINT64_C(4095);
25993       op &= UINT64_C(255);
25998       op &= UINT64_C(255);
26003       op &= UINT64_C(1);
26008       op &= UINT64_C(1);
26013       op &= UINT64_C(8191);
26033       op &= UINT64_C(255);
26038       op &= UINT64_C(127);
26043       op &= UINT64_C(255);
26048       op &= UINT64_C(1);
26053       op &= UINT64_C(1);
26058       op &= UINT64_C(1);
26063       op &= UINT64_C(4095);
26083       op &= UINT64_C(255);
26088       op &= UINT64_C(127);
26093       op &= UINT64_C(255);
26098       op &= UINT64_C(1);
26103       op &= UINT64_C(1);
26108       op &= UINT64_C(8191);
26122       op &= UINT64_C(255);
26127       op &= UINT64_C(255);
26132       op &= UINT64_C(1);
26137       op &= UINT64_C(1);
26142       op &= UINT64_C(1);
26147       op &= UINT64_C(4095);
26161       op &= UINT64_C(255);
26166       op &= UINT64_C(255);
26171       op &= UINT64_C(1);
26176       op &= UINT64_C(1);
26181       op &= UINT64_C(8191);
26219       op &= UINT64_C(255);
26224       op &= UINT64_C(255);
26229       op &= UINT64_C(127);
26234       op &= UINT64_C(255);
26239       op &= UINT64_C(1);
26244       op &= UINT64_C(4095);
26276       op &= UINT64_C(255);
26281       op &= UINT64_C(255);
26286       op &= UINT64_C(127);
26291       op &= UINT64_C(255);
26296       op &= UINT64_C(1);
26301       op &= UINT64_C(8191);
26371       op &= UINT64_C(255);
26376       op &= UINT64_C(255);
26381       op &= UINT64_C(255);
26386       op &= UINT64_C(1);
26391       op &= UINT64_C(4095);
26481       op &= UINT64_C(255);
26486       op &= UINT64_C(255);
26491       op &= UINT64_C(255);
26496       op &= UINT64_C(1);
26501       op &= UINT64_C(8191);
26549       op &= UINT64_C(255);
26554       op &= UINT64_C(255);
26559       op &= UINT64_C(1);
26564       op &= UINT64_C(1);
26569       op &= UINT64_C(1);
26574       op &= UINT64_C(4095);
26630       op &= UINT64_C(255);
26635       op &= UINT64_C(255);
26640       op &= UINT64_C(1);
26645       op &= UINT64_C(1);
26650       op &= UINT64_C(8191);
26724       op &= UINT64_C(255);
26729       op &= UINT64_C(15);
26734       op &= UINT64_C(1);
26739       op &= UINT64_C(1);
26744       op &= UINT64_C(1);
26749       op &= UINT64_C(1);
26754       op &= UINT64_C(1);
26759       op &= UINT64_C(1);
26764       op &= UINT64_C(1);
26769       op &= UINT64_C(124);
26774       op &= UINT64_C(124);
26779       op &= UINT64_C(255);
26784       op &= UINT64_C(7);
26789       op &= UINT64_C(1);
26856       op &= UINT64_C(255);
26861       op &= UINT64_C(15);
26866       op &= UINT64_C(1);
26871       op &= UINT64_C(1);
26876       op &= UINT64_C(1);
26881       op &= UINT64_C(1);
26886       op &= UINT64_C(1);
26891       op &= UINT64_C(1);
26896       op &= UINT64_C(1);
26901       op &= UINT64_C(124);
26906       op &= UINT64_C(124);
26911       op &= UINT64_C(255);
26916       op &= UINT64_C(7);
26921       op &= UINT64_C(1);
26978       op &= UINT64_C(255);
26983       op &= UINT64_C(15);
26988       op &= UINT64_C(1);
26993       op &= UINT64_C(1);
26998       op &= UINT64_C(1);
27003       op &= UINT64_C(1);
27008       op &= UINT64_C(1);
27013       op &= UINT64_C(1);
27018       op &= UINT64_C(1);
27023       op &= UINT64_C(124);
27028       op &= UINT64_C(124);
27033       op &= UINT64_C(255);
27038       op &= UINT64_C(7);
27043       op &= UINT64_C(1);
27090       op &= UINT64_C(255);
27095       op &= UINT64_C(15);
27100       op &= UINT64_C(1);
27105       op &= UINT64_C(1);
27110       op &= UINT64_C(1);
27115       op &= UINT64_C(1);
27120       op &= UINT64_C(1);
27125       op &= UINT64_C(1);
27130       op &= UINT64_C(1);
27135       op &= UINT64_C(124);
27140       op &= UINT64_C(124);
27145       op &= UINT64_C(255);
27150       op &= UINT64_C(7);
27155       op &= UINT64_C(1);
27192       op &= UINT64_C(255);
27197       op &= UINT64_C(15);
27202       op &= UINT64_C(1);
27207       op &= UINT64_C(1);
27212       op &= UINT64_C(1);
27217       op &= UINT64_C(1);
27222       op &= UINT64_C(1);
27227       op &= UINT64_C(1);
27232       op &= UINT64_C(1);
27237       op &= UINT64_C(124);
27242       op &= UINT64_C(124);
27247       op &= UINT64_C(255);
27252       op &= UINT64_C(7);
27257       op &= UINT64_C(1);
27274       op &= UINT64_C(255);
27279       op &= UINT64_C(15);
27284       op &= UINT64_C(1);
27289       op &= UINT64_C(1);
27294       op &= UINT64_C(1);
27299       op &= UINT64_C(1);
27304       op &= UINT64_C(1);
27309       op &= UINT64_C(1);
27314       op &= UINT64_C(1);
27319       op &= UINT64_C(124);
27324       op &= UINT64_C(124);
27329       op &= UINT64_C(255);
27334       op &= UINT64_C(7);
27339       op &= UINT64_C(1);
27418       op &= UINT64_C(255);
27423       op &= UINT64_C(15);
27428       op &= UINT64_C(1);
27433       op &= UINT64_C(1);
27438       op &= UINT64_C(1);
27443       op &= UINT64_C(1);
27448       op &= UINT64_C(1);
27453       op &= UINT64_C(1);
27458       op &= UINT64_C(1);
27463       op &= UINT64_C(124);
27468       op &= UINT64_C(255);
27473       op &= UINT64_C(1);
27612       op &= UINT64_C(255);
27617       op &= UINT64_C(15);
27622       op &= UINT64_C(1);
27627       op &= UINT64_C(1);
27632       op &= UINT64_C(1);
27637       op &= UINT64_C(1);
27642       op &= UINT64_C(1);
27647       op &= UINT64_C(1);
27652       op &= UINT64_C(124);
27657       op &= UINT64_C(255);
27662       op &= UINT64_C(1);
27741       op &= UINT64_C(255);
27746       op &= UINT64_C(15);
27751       op &= UINT64_C(1);
27756       op &= UINT64_C(1);
27761       op &= UINT64_C(1);
27766       op &= UINT64_C(1);
27771       op &= UINT64_C(1);
27776       op &= UINT64_C(1);
27781       op &= UINT64_C(1);
27786       op &= UINT64_C(124);
27791       op &= UINT64_C(255);
27796       op &= UINT64_C(7);
27801       op &= UINT64_C(1);
27940       op &= UINT64_C(255);
27945       op &= UINT64_C(15);
27950       op &= UINT64_C(1);
27955       op &= UINT64_C(1);
27960       op &= UINT64_C(1);
27965       op &= UINT64_C(1);
27970       op &= UINT64_C(1);
27975       op &= UINT64_C(1);
27980       op &= UINT64_C(124);
27985       op &= UINT64_C(255);
27990       op &= UINT64_C(7);
27995       op &= UINT64_C(1);
28954       op &= UINT64_C(255);
28959       op &= UINT64_C(15);
28964       op &= UINT64_C(1);
28969       op &= UINT64_C(1);
28974       op &= UINT64_C(1);
28979       op &= UINT64_C(1);
28984       op &= UINT64_C(1);
28989       op &= UINT64_C(1);
28994       op &= UINT64_C(1);
28999       op &= UINT64_C(124);
29004       op &= UINT64_C(124);
29009       op &= UINT64_C(255);
29014       op &= UINT64_C(1);
29041       op &= UINT64_C(255);
29046       op &= UINT64_C(15);
29051       op &= UINT64_C(1);
29056       op &= UINT64_C(1);
29061       op &= UINT64_C(1);
29066       op &= UINT64_C(1);
29071       op &= UINT64_C(1);
29076       op &= UINT64_C(1);
29081       op &= UINT64_C(124);
29086       op &= UINT64_C(124);
29091       op &= UINT64_C(255);
29096       op &= UINT64_C(1);
30055       op &= UINT64_C(255);
30060       op &= UINT64_C(15);
30065       op &= UINT64_C(1);
30070       op &= UINT64_C(1);
30075       op &= UINT64_C(1);
30080       op &= UINT64_C(1);
30085       op &= UINT64_C(1);
30090       op &= UINT64_C(1);
30095       op &= UINT64_C(1);
30100       op &= UINT64_C(124);
30105       op &= UINT64_C(124);
30110       op &= UINT64_C(255);
30115       op &= UINT64_C(7);
30120       op &= UINT64_C(1);
30145       op &= UINT64_C(255);
30150       op &= UINT64_C(15);
30155       op &= UINT64_C(1);
30160       op &= UINT64_C(1);
30165       op &= UINT64_C(1);
30170       op &= UINT64_C(1);
30175       op &= UINT64_C(1);
30180       op &= UINT64_C(1);
30185       op &= UINT64_C(1);
30190       op &= UINT64_C(124);
30195       op &= UINT64_C(255);
30200       op &= UINT64_C(7);
30205       op &= UINT64_C(1);
30232       op &= UINT64_C(255);
30237       op &= UINT64_C(15);
30242       op &= UINT64_C(1);
30247       op &= UINT64_C(1);
30252       op &= UINT64_C(1);
30257       op &= UINT64_C(1);
30262       op &= UINT64_C(1);
30267       op &= UINT64_C(1);
30272       op &= UINT64_C(124);
30277       op &= UINT64_C(124);
30282       op &= UINT64_C(255);
30287       op &= UINT64_C(7);
30292       op &= UINT64_C(1);
30332       op &= UINT64_C(255);
30337       op &= UINT64_C(15);
30342       op &= UINT64_C(1);
30347       op &= UINT64_C(1);
30352       op &= UINT64_C(1);
30357       op &= UINT64_C(1);
30362       op &= UINT64_C(1);
30367       op &= UINT64_C(1);
30372       op &= UINT64_C(124);
30377       op &= UINT64_C(255);
30382       op &= UINT64_C(7);
30387       op &= UINT64_C(1);
30526       op &= UINT64_C(255);
30531       op &= UINT64_C(15);
30536       op &= UINT64_C(1);
30541       op &= UINT64_C(1);
30546       op &= UINT64_C(1);
30551       op &= UINT64_C(1);
30556       op &= UINT64_C(1);
30561       op &= UINT64_C(1);
30566       op &= UINT64_C(1);
30571       op &= UINT64_C(124);
30576       op &= UINT64_C(124);
30581       op &= UINT64_C(255);
30586       op &= UINT64_C(7);
30591       op &= UINT64_C(1);
30616       op &= UINT64_C(255);
30621       op &= UINT64_C(15);
30626       op &= UINT64_C(1);
30631       op &= UINT64_C(1);
30636       op &= UINT64_C(1);
30641       op &= UINT64_C(1);
30646       op &= UINT64_C(1);
30651       op &= UINT64_C(1);
30656       op &= UINT64_C(1);
30661       op &= UINT64_C(124);
30666       op &= UINT64_C(255);
30671       op &= UINT64_C(7);
30676       op &= UINT64_C(1);
30688       op &= UINT64_C(255);
30693       op &= UINT64_C(15);
30698       op &= UINT64_C(1);
30703       op &= UINT64_C(1);
30708       op &= UINT64_C(1);
30713       op &= UINT64_C(1);
30718       op &= UINT64_C(1);
30723       op &= UINT64_C(1);
30728       op &= UINT64_C(124);
30733       op &= UINT64_C(124);
30738       op &= UINT64_C(255);
30743       op &= UINT64_C(7);
30748       op &= UINT64_C(1);
30788       op &= UINT64_C(255);
30793       op &= UINT64_C(15);
30798       op &= UINT64_C(1);
30803       op &= UINT64_C(1);
30808       op &= UINT64_C(1);
30813       op &= UINT64_C(1);
30818       op &= UINT64_C(1);
30823       op &= UINT64_C(1);
30828       op &= UINT64_C(124);
30833       op &= UINT64_C(255);
30838       op &= UINT64_C(7);
30843       op &= UINT64_C(1);
31086       op &= UINT64_C(255);
31091       op &= UINT64_C(15);
31096       op &= UINT64_C(1);
31101       op &= UINT64_C(1);
31106       op &= UINT64_C(1);
31111       op &= UINT64_C(1);
31116       op &= UINT64_C(1);
31121       op &= UINT64_C(1);
31126       op &= UINT64_C(1);
31131       op &= UINT64_C(124);
31136       op &= UINT64_C(124);
31141       op &= UINT64_C(255);
31146       op &= UINT64_C(7);
31151       op &= UINT64_C(1);
31176       op &= UINT64_C(255);
31181       op &= UINT64_C(15);
31186       op &= UINT64_C(1);
31191       op &= UINT64_C(1);
31196       op &= UINT64_C(1);
31201       op &= UINT64_C(1);
31206       op &= UINT64_C(1);
31211       op &= UINT64_C(1);
31216       op &= UINT64_C(1);
31221       op &= UINT64_C(124);
31226       op &= UINT64_C(255);
31231       op &= UINT64_C(7);
31236       op &= UINT64_C(1);
31248       op &= UINT64_C(255);
31253       op &= UINT64_C(15);
31258       op &= UINT64_C(1);
31263       op &= UINT64_C(1);
31268       op &= UINT64_C(1);
31273       op &= UINT64_C(1);
31278       op &= UINT64_C(1);
31283       op &= UINT64_C(1);
31288       op &= UINT64_C(124);
31293       op &= UINT64_C(124);
31298       op &= UINT64_C(255);
31303       op &= UINT64_C(7);
31308       op &= UINT64_C(1);
31348       op &= UINT64_C(255);
31353       op &= UINT64_C(15);
31358       op &= UINT64_C(1);
31363       op &= UINT64_C(1);
31368       op &= UINT64_C(1);
31373       op &= UINT64_C(1);
31378       op &= UINT64_C(1);
31383       op &= UINT64_C(1);
31388       op &= UINT64_C(124);
31393       op &= UINT64_C(255);
31398       op &= UINT64_C(7);
31403       op &= UINT64_C(1);
31666       op &= UINT64_C(255);
31671       op &= UINT64_C(15);
31676       op &= UINT64_C(1);
31681       op &= UINT64_C(1);
31686       op &= UINT64_C(1);
31691       op &= UINT64_C(1);
31696       op &= UINT64_C(1);
31701       op &= UINT64_C(1);
31706       op &= UINT64_C(1);
31711       op &= UINT64_C(124);
31716       op &= UINT64_C(124);
31721       op &= UINT64_C(255);
31726       op &= UINT64_C(7);
31731       op &= UINT64_C(1);
31938       op &= UINT64_C(255);
31943       op &= UINT64_C(15);
31948       op &= UINT64_C(1);
31953       op &= UINT64_C(1);
31958       op &= UINT64_C(1);
31963       op &= UINT64_C(1);
31968       op &= UINT64_C(1);
31973       op &= UINT64_C(1);
31978       op &= UINT64_C(1);
31983       op &= UINT64_C(124);
31988       op &= UINT64_C(124);
31993       op &= UINT64_C(255);
31998       op &= UINT64_C(7);
32003       op &= UINT64_C(1);
32128       op &= UINT64_C(255);
32133       op &= UINT64_C(15);
32138       op &= UINT64_C(1);
32143       op &= UINT64_C(1);
32148       op &= UINT64_C(1);
32153       op &= UINT64_C(1);
32158       op &= UINT64_C(1);
32163       op &= UINT64_C(1);
32168       op &= UINT64_C(1);
32173       op &= UINT64_C(124);
32178       op &= UINT64_C(124);
32183       op &= UINT64_C(255);
32188       op &= UINT64_C(7);
32193       op &= UINT64_C(1);
32208       op &= UINT64_C(255);
32213       op &= UINT64_C(127);
32218       op &= UINT64_C(1);
32223       op &= UINT64_C(1);
32228       op &= UINT64_C(1);
32233       op &= UINT64_C(4095);
32247       op &= UINT64_C(255);
32252       op &= UINT64_C(127);
32257       op &= UINT64_C(1);
32262       op &= UINT64_C(1);
32267       op &= UINT64_C(8191);
32481       op &= UINT64_C(255);
32486       op &= UINT64_C(15);
32491       op &= UINT64_C(1);
32496       op &= UINT64_C(1);
32501       op &= UINT64_C(1);
32506       op &= UINT64_C(1);
32511       op &= UINT64_C(1);
32516       op &= UINT64_C(1);
32521       op &= UINT64_C(124);
32526       op &= UINT64_C(255);
32531       op &= UINT64_C(1);
32642       op &= UINT64_C(255);
32647       op &= UINT64_C(15);
32652       op &= UINT64_C(1);
32657       op &= UINT64_C(1);
32662       op &= UINT64_C(1);
32667       op &= UINT64_C(1);
32672       op &= UINT64_C(1);
32677       op &= UINT64_C(1);
32682       op &= UINT64_C(124);
32687       op &= UINT64_C(255);
32692       op &= UINT64_C(7);
32697       op &= UINT64_C(1);
32730       op &= UINT64_C(255);
32735       op &= UINT64_C(15);
32740       op &= UINT64_C(1);
32745       op &= UINT64_C(1);
32750       op &= UINT64_C(1);
32755       op &= UINT64_C(1);
32760       op &= UINT64_C(1);
32765       op &= UINT64_C(1);
32770       op &= UINT64_C(124);
32775       op &= UINT64_C(255);
32780       op &= UINT64_C(7);
32785       op &= UINT64_C(1);
32818       op &= UINT64_C(255);
32823       op &= UINT64_C(15);
32828       op &= UINT64_C(1);
32833       op &= UINT64_C(1);
32838       op &= UINT64_C(1);
32843       op &= UINT64_C(1);
32848       op &= UINT64_C(1);
32853       op &= UINT64_C(1);
32858       op &= UINT64_C(124);
32863       op &= UINT64_C(255);
32868       op &= UINT64_C(7);
32873       op &= UINT64_C(1);
32906       op &= UINT64_C(255);
32911       op &= UINT64_C(15);
32916       op &= UINT64_C(1);
32921       op &= UINT64_C(1);
32926       op &= UINT64_C(1);
32931       op &= UINT64_C(1);
32936       op &= UINT64_C(1);
32941       op &= UINT64_C(1);
32946       op &= UINT64_C(124);
32951       op &= UINT64_C(255);
32956       op &= UINT64_C(7);
32961       op &= UINT64_C(1);
32969       op &= UINT64_C(255);
32973       Value |= (op & UINT64_C(512)) << 50;
32974       Value |= (op & UINT64_C(511)) << 32;
32999       op &= UINT64_C(255);
33003       Value |= (op & UINT64_C(512)) << 50;
33004       Value |= (op & UINT64_C(511)) << 32;
33007       Value |= (op & UINT64_C(512)) << 51;
33008       Value |= (op & UINT64_C(511)) << 41;
33011       op &= UINT64_C(511);
33016       op &= UINT64_C(7);
33021       op &= UINT64_C(7);
33026       op &= UINT64_C(15);
33034       op &= UINT64_C(255);
33038       Value |= (op & UINT64_C(512)) << 50;
33039       Value |= (op & UINT64_C(511)) << 32;
33047       op &= UINT64_C(255);
33051       op &= UINT64_C(511);
33056       op &= UINT64_C(511);
33061       op &= UINT64_C(127);
33077       op &= UINT64_C(255);
33081       op &= UINT64_C(511);
33086       op &= UINT64_C(511);
33091       op &= UINT64_C(127);
33096       op &= UINT64_C(1);
33114       op &= UINT64_C(255);
33118       op &= UINT64_C(511);
33123       op &= UINT64_C(511);
33128       op &= UINT64_C(511);
33133       op &= UINT64_C(127);
33153       op &= UINT64_C(255);
33157       op &= UINT64_C(511);
33162       op &= UINT64_C(511);
33167       op &= UINT64_C(511);
33172       op &= UINT64_C(127);
33177       op &= UINT64_C(1);
33192       op &= UINT64_C(255);
33196       Value |= (op & UINT64_C(1)) << 61;
33197       Value |= (op & UINT64_C(8)) << 56;
33198       Value |= (op & UINT64_C(4)) << 9;
33199       Value |= (op & UINT64_C(2)) << 7;
33202       op &= UINT64_C(511);
33207       Value |= (op & UINT64_C(1)) << 62;
33208       Value |= (op & UINT64_C(8)) << 57;
33209       Value |= (op & UINT64_C(4)) << 10;
33210       Value |= (op & UINT64_C(2)) << 8;
33213       op &= UINT64_C(511);
33218       op &= UINT64_C(1);
33246       op &= UINT64_C(255);
33250       Value |= (op & UINT64_C(1)) << 61;
33251       Value |= (op & UINT64_C(8)) << 56;
33252       Value |= (op & UINT64_C(4)) << 9;
33253       Value |= (op & UINT64_C(2)) << 7;
33256       op &= UINT64_C(511);
33261       Value |= (op & UINT64_C(1)) << 62;
33262       Value |= (op & UINT64_C(8)) << 57;
33263       Value |= (op & UINT64_C(4)) << 10;
33264       Value |= (op & UINT64_C(2)) << 8;
33267       op &= UINT64_C(511);
33272       Value |= (op & UINT64_C(1)) << 63;
33273       Value |= (op & UINT64_C(12)) << 11;
33274       Value |= (op & UINT64_C(2)) << 9;
33277       op &= UINT64_C(511);
33282       op &= UINT64_C(1);
33313       op &= UINT64_C(255);
33317       Value |= (op & UINT64_C(1)) << 61;
33318       Value |= (op & UINT64_C(8)) << 56;
33319       Value |= (op & UINT64_C(4)) << 9;
33320       Value |= (op & UINT64_C(2)) << 7;
33323       op &= UINT64_C(511);
33328       Value |= (op & UINT64_C(8)) << 57;
33329       Value |= (op & UINT64_C(4)) << 10;
33332       op &= UINT64_C(511);
33337       op &= UINT64_C(1);
33348       op &= UINT64_C(255);
33352       Value |= (op & UINT64_C(1)) << 61;
33353       Value |= (op & UINT64_C(8)) << 56;
33354       Value |= (op & UINT64_C(4)) << 9;
33355       Value |= (op & UINT64_C(2)) << 7;
33358       op &= UINT64_C(511);
33363       Value |= (op & UINT64_C(8)) << 57;
33364       Value |= (op & UINT64_C(4)) << 10;
33367       op &= UINT64_C(511);
33372       Value |= (op & UINT64_C(1)) << 63;
33373       Value |= (op & UINT64_C(12)) << 11;
33374       Value |= (op & UINT64_C(2)) << 9;
33377       op &= UINT64_C(511);
33382       op &= UINT64_C(1);
33393       op &= UINT64_C(255);
33397       Value |= (op & UINT64_C(1)) << 61;
33398       Value |= (op & UINT64_C(8)) << 56;
33399       Value |= (op & UINT64_C(4)) << 9;
33400       Value |= (op & UINT64_C(2)) << 7;
33403       op &= UINT64_C(511);
33408       Value |= (op & UINT64_C(8)) << 57;
33409       Value |= (op & UINT64_C(4)) << 10;
33412       op &= UINT64_C(511);
33417       op &= UINT64_C(12);
33422       op &= UINT64_C(511);
33427       op &= UINT64_C(1);
33652       op &= UINT64_C(255);
33657       op &= UINT64_C(511);
33819       op &= UINT64_C(255);
33824       op &= UINT64_C(511);
33828       op &= UINT64_C(255);
33841       op &= UINT64_C(255);
33846       op &= UINT64_C(511);
33850       op &= UINT64_C(255);
33855       op &= UINT64_C(4294967295);
33868       op &= UINT64_C(255);
33873       op &= UINT64_C(511);
33877       op &= UINT64_C(255);
33882       op &= UINT64_C(4294967295);
33892       op &= UINT64_C(255);
33897       op &= UINT64_C(511);
33912       op &= UINT64_C(255);
33917       op &= UINT64_C(255);
33921       op &= UINT64_C(3);
33926       op &= UINT64_C(63);
33936       op &= UINT64_C(255);
33941       op &= UINT64_C(255);
33945       op &= UINT64_C(3);
33950       op &= UINT64_C(63);
33961       op &= UINT64_C(255);
33966       op &= UINT64_C(255);
33971       op &= UINT64_C(255);
33976       op &= UINT64_C(65535);
33985       op &= UINT64_C(255);
33990       op &= UINT64_C(255);
33995       op &= UINT64_C(65535);
34004       op &= UINT64_C(255);
34009       op &= UINT64_C(1);
34014       op &= UINT64_C(65535);
34025       op &= UINT64_C(255);
34030       op &= UINT64_C(1);
34035       op &= UINT64_C(65535);
34056       op &= UINT64_C(255);
34061       op &= UINT64_C(1);
34066       op &= UINT64_C(255);
34071       op &= UINT64_C(65535);
34101       op &= UINT64_C(255);
34106       op &= UINT64_C(1);
34111       op &= UINT64_C(255);
34116       op &= UINT64_C(65535);
34154       op &= UINT64_C(255);
34159       op &= UINT64_C(1);
34164       op &= UINT64_C(255);
34169       op &= UINT64_C(255);
34174       op &= UINT64_C(65535);
34184       op &= UINT64_C(255);
34189       op &= UINT64_C(1);
34194       op &= UINT64_C(255);
34199       op &= UINT64_C(255);
34203       op &= UINT64_C(255);
34273       op &= UINT64_C(255);
34278       op &= UINT64_C(1);
34283       op &= UINT64_C(255);
34288       op &= UINT64_C(255);
34293       op &= UINT64_C(65535);
34307       op &= UINT64_C(255);
34312       op &= UINT64_C(1);
34317       op &= UINT64_C(255);
34322       op &= UINT64_C(255);
34326       op &= UINT64_C(255);
34340       op &= UINT64_C(255);
34345       op &= UINT64_C(1);
34350       op &= UINT64_C(255);
34355       op &= UINT64_C(255);
34360       op &= UINT64_C(255);
34365       op &= UINT64_C(65535);
34385       op &= UINT64_C(255);
34390       op &= UINT64_C(1);
34395       op &= UINT64_C(255);
34400       op &= UINT64_C(255);
34405       op &= UINT64_C(255);
34410       op &= UINT64_C(65535);
34420       op &= UINT64_C(255);
34425       op &= UINT64_C(1);
34430       op &= UINT64_C(255);
34435       op &= UINT64_C(255);
34440       op &= UINT64_C(255);
34445       op &= UINT64_C(255);
34449       op &= UINT64_C(255);
34464       op &= UINT64_C(255);
34469       op &= UINT64_C(1);
34474       op &= UINT64_C(255);
34479       op &= UINT64_C(255);
34484       op &= UINT64_C(255);
34489       op &= UINT64_C(255);
34493       op &= UINT64_C(255);
gen/lib/Target/AMDGPU/R600GenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),	// ADD
  246     UINT64_C(45079976738816),	// ADDC_UINT
  247     UINT64_C(28587302322176),	// ADD_INT
  248     UINT64_C(0),	// ALU_CLAUSE
  249     UINT64_C(26388279066624),	// AND_INT
  250     UINT64_C(11544872091648),	// ASHR_eg
  251     UINT64_C(61572651155456),	// ASHR_r600
  252     UINT64_C(93458488360960),	// BCNT_INT
  253     UINT64_C(175921860444160),	// BFE_INT_eg
  254     UINT64_C(140737488355328),	// BFE_UINT_eg
  255     UINT64_C(211106232532992),	// BFI_INT_eg
  256     UINT64_C(87960930222080),	// BFM_INT_eg
  257     UINT64_C(422212465065984),	// BIT_ALIGN_INT_eg
  258     UINT64_C(9895604649984),	// CEIL
  259     UINT64_C(11529215046068469760),	// CF_ALU
  260     UINT64_C(13258597302978740224),	// CF_ALU_BREAK
  261     UINT64_C(12970366926827028480),	// CF_ALU_CONTINUE
  262     UINT64_C(13546827679130451968),	// CF_ALU_ELSE_AFTER
  263     UINT64_C(12105675798371893248),	// CF_ALU_POP_AFTER
  264     UINT64_C(11817445422220181504),	// CF_ALU_PUSH_BEFORE
  265     UINT64_C(9565645608534933504),	// CF_CALL_FS_EG
  266     UINT64_C(9907919180215091200),	// CF_CALL_FS_R600
  267     UINT64_C(9367487224930631680),	// CF_CONTINUE_EG
  268     UINT64_C(9511602413006487552),	// CF_CONTINUE_R600
  269     UINT64_C(9457559217478041600),	// CF_ELSE_EG
  270     UINT64_C(9691746398101307392),	// CF_ELSE_R600
  271     UINT64_C(9799832789158199296),	// CF_END_CM
  272     UINT64_C(9232379236109516800),	// CF_END_EG
  273     UINT64_C(9232379236109516800),	// CF_END_R600
  274     UINT64_C(9403516021949595648),	// CF_JUMP_EG
  275     UINT64_C(9583660007044415488),	// CF_JUMP_R600
  276     UINT64_C(9421530420459077632),	// CF_PUSH_EG
  277     UINT64_C(9655717601082343424),	// CF_PUSH_ELSE_R600
  278     UINT64_C(9241386435364257792),	// CF_TC_EG
  279     UINT64_C(9259400833873739776),	// CF_TC_R600
  280     UINT64_C(9259400833873739776),	// CF_VC_EG
  281     UINT64_C(9295429630892703744),	// CF_VC_R600
  282     UINT64_C(985162418487296),	// CNDE_INT
  283     UINT64_C(879609302220800),	// CNDE_eg
  284     UINT64_C(844424930131968),	// CNDE_r600
  285     UINT64_C(1055531162664960),	// CNDGE_INT
  286     UINT64_C(949978046398464),	// CNDGE_eg
  287     UINT64_C(914793674309632),	// CNDGE_r600
  288     UINT64_C(1020346790576128),	// CNDGT_INT
  289     UINT64_C(914793674309632),	// CNDGT_eg
  290     UINT64_C(879609302220800),	// CNDGT_r600
  291     UINT64_C(78065325572096),	// COS_cm
  292     UINT64_C(78065325572096),	// COS_eg
  293     UINT64_C(61022895341568),	// COS_r600
  294     UINT64_C(61022895341568),	// COS_r700
  295     UINT64_C(105553116266496),	// CUBE_eg_real
  296     UINT64_C(45079976738816),	// CUBE_r600_real
  297     UINT64_C(104453604638720),	// DOT4_eg
  298     UINT64_C(43980465111040),	// DOT4_r600
  299     UINT64_C(9223372036854775808),	// EG_ExportBuf
  300     UINT64_C(9223372040076001280),	// EG_ExportSwz
  301     UINT64_C(9313444029402185728),	// END_LOOP_EG
  302     UINT64_C(9403516021949595648),	// END_LOOP_R600
  303     UINT64_C(70918499991552),	// EXP_IEEE_cm
  304     UINT64_C(70918499991552),	// EXP_IEEE_eg
  305     UINT64_C(53326313947136),	// EXP_IEEE_r600
  306     UINT64_C(0),	// FETCH_CLAUSE
  307     UINT64_C(94008244174848),	// FFBH_UINT
  308     UINT64_C(94557999988736),	// FFBL_INT
  309     UINT64_C(10995116277760),	// FLOOR
  310     UINT64_C(89610197663744),	// FLT16_TO_FLT32
  311     UINT64_C(89060441849856),	// FLT32_TO_FLT16
  312     UINT64_C(43980465111040),	// FLT_TO_INT_eg
  313     UINT64_C(58823872086016),	// FLT_TO_INT_r600
  314     UINT64_C(84662395338752),	// FLT_TO_UINT_eg
  315     UINT64_C(66520453480448),	// FLT_TO_UINT_r600
  316     UINT64_C(246290604621824),	// FMA_eg
  317     UINT64_C(8796093022208),	// FRACT
  318     UINT64_C(46181635850240),	// GROUP_BARRIER
  319     UINT64_C(123145302310912),	// INTERP_LOAD_P0
  320     UINT64_C(4294967295),	// INTERP_PAIR_XY
  321     UINT64_C(4294967295),	// INTERP_PAIR_ZW
  322     UINT64_C(4294967295),	// INTERP_VEC_LOAD
  323     UINT64_C(5747147278385152),	// INTERP_XY
  324     UINT64_C(5747697034199040),	// INTERP_ZW
  325     UINT64_C(85212151152640),	// INT_TO_FLT_eg
  326     UINT64_C(59373627899904),	// INT_TO_FLT_r600
  327     UINT64_C(24739011624960),	// KILLGT
  328     UINT64_C(598134325510144),	// LDS_ADD
  329     UINT64_C(288828510477221888),	// LDS_ADD_RET
  330     UINT64_C(81662927618179072),	// LDS_AND
  331     UINT64_C(369893303769890816),	// LDS_AND_RET
  332     UINT64_C(486986894081523712),	// LDS_BYTE_READ_RET
  333     UINT64_C(162727720910848000),	// LDS_BYTE_WRITE
  334     UINT64_C(144713322401366016),	// LDS_CMPST
  335     UINT64_C(432943698553077760),	// LDS_CMPST_RET
  336     UINT64_C(54641329853956096),	// LDS_MAX_INT
  337     UINT64_C(342871706005667840),	// LDS_MAX_INT_RET
  338     UINT64_C(72655728363438080),	// LDS_MAX_UINT
  339     UINT64_C(360886104515149824),	// LDS_MAX_UINT_RET
  340     UINT64_C(45634130599215104),	// LDS_MIN_INT
  341     UINT64_C(333864506750926848),	// LDS_MIN_INT_RET
  342     UINT64_C(63648529108697088),	// LDS_MIN_UINT
  343     UINT64_C(351878905260408832),	// LDS_MIN_UINT_RET
  344     UINT64_C(90670126872920064),	// LDS_OR
  345     UINT64_C(378900503024631808),	// LDS_OR_RET
  346     UINT64_C(450958097062559744),	// LDS_READ_RET
  347     UINT64_C(505001292591005696),	// LDS_SHORT_READ_RET
  348     UINT64_C(171734920165588992),	// LDS_SHORT_WRITE
  349     UINT64_C(9605333580251136),	// LDS_SUB
  350     UINT64_C(297835709731962880),	// LDS_SUB_RET
  351     UINT64_C(495994093336264704),	// LDS_UBYTE_READ_RET
  352     UINT64_C(514008491845746688),	// LDS_USHORT_READ_RET
  353     UINT64_C(117691724637143040),	// LDS_WRITE
  354     UINT64_C(117691724637143040),	// LDS_WRXCHG
  355     UINT64_C(405922100788854784),	// LDS_WRXCHG_RET
  356     UINT64_C(99677326127661056),	// LDS_XOR
  357     UINT64_C(387907702279372800),	// LDS_XOR_RET
  358     UINT64_C(0),	// LITERALS
  359     UINT64_C(71468255805440),	// LOG_CLAMPED_eg
  360     UINT64_C(53876069761024),	// LOG_CLAMPED_r600
  361     UINT64_C(72018011619328),	// LOG_IEEE_cm
  362     UINT64_C(72018011619328),	// LOG_IEEE_eg
  363     UINT64_C(54425825574912),	// LOG_IEEE_r600
  364     UINT64_C(9385501623440113664),	// LOOP_BREAK_EG
  365     UINT64_C(9547631210025451520),	// LOOP_BREAK_R600
  366     UINT64_C(12644383719424),	// LSHL_eg
  367     UINT64_C(62672162783232),	// LSHL_r600
  368     UINT64_C(12094627905536),	// LSHR_eg
  369     UINT64_C(62122406969344),	// LSHR_r600
  370     UINT64_C(1649267441664),	// MAX
  371     UINT64_C(2748779069440),	// MAX_DX10
  372     UINT64_C(29686813949952),	// MAX_INT
  373     UINT64_C(30786325577728),	// MAX_UINT
  374     UINT64_C(2199023255552),	// MIN
  375     UINT64_C(3298534883328),	// MIN_DX10
  376     UINT64_C(30236569763840),	// MIN_INT
  377     UINT64_C(31336081391616),	// MIN_UINT
  378     UINT64_C(13743895347200),	// MOV
  379     UINT64_C(112150186033152),	// MOVA_INT_eg
  380     UINT64_C(549755813888),	// MUL
  381     UINT64_C(844424930131968),	// MULADD_IEEE_eg
  382     UINT64_C(703687441776640),	// MULADD_IEEE_r600
  383     UINT64_C(281474976710656),	// MULADD_INT24_cm
  384     UINT64_C(562949953421312),	// MULADD_UINT24_eg
  385     UINT64_C(703687441776640),	// MULADD_eg
  386     UINT64_C(562949953421312),	// MULADD_r600
  387     UINT64_C(79164837199872),	// MULHI_INT_cm
  388     UINT64_C(50577534877696),	// MULHI_INT_cm24
  389     UINT64_C(79164837199872),	// MULHI_INT_eg
  390     UINT64_C(63771674411008),	// MULHI_INT_r600
  391     UINT64_C(97856534872064),	// MULHI_UINT24_eg
  392     UINT64_C(80264348827648),	// MULHI_UINT_cm
  393     UINT64_C(97856534872064),	// MULHI_UINT_cm24
  394     UINT64_C(80264348827648),	// MULHI_UINT_eg
  395     UINT64_C(64871186038784),	// MULHI_UINT_r600
  396     UINT64_C(78615081385984),	// MULLO_INT_cm
  397     UINT64_C(78615081385984),	// MULLO_INT_eg
  398     UINT64_C(63221918597120),	// MULLO_INT_r600
  399     UINT64_C(79714593013760),	// MULLO_UINT_cm
  400     UINT64_C(79714593013760),	// MULLO_UINT_eg
  401     UINT64_C(64321430224896),	// MULLO_UINT_r600
  402     UINT64_C(1099511627776),	// MUL_IEEE
  403     UINT64_C(50027779063808),	// MUL_INT24_cm
  404     UINT64_C(1090715534753792),	// MUL_LIT_eg
  405     UINT64_C(422212465065984),	// MUL_LIT_r600
  406     UINT64_C(99505802313728),	// MUL_UINT24_eg
  407     UINT64_C(28037546508288),	// NOT_INT
  408     UINT64_C(26938034880512),	// OR_INT
  409     UINT64_C(0),	// PAD
  410     UINT64_C(9475573615987523584),	// POP_EG
  411     UINT64_C(9727775195120271360),	// POP_R600
  412     UINT64_C(17592186044416),	// PRED_SETE
  413     UINT64_C(36283883716608),	// PRED_SETE_INT
  414     UINT64_C(18691697672192),	// PRED_SETGE
  415     UINT64_C(37383395344384),	// PRED_SETGE_INT
  416     UINT64_C(18141941858304),	// PRED_SETGT
  417     UINT64_C(36833639530496),	// PRED_SETGT_INT
  418     UINT64_C(19241453486080),	// PRED_SETNE
  419     UINT64_C(37933151158272),	// PRED_SETNE_INT
  420     UINT64_C(9223372036854775808),	// R600_ExportBuf
  421     UINT64_C(9223372040076001280),	// R600_ExportSwz
  422     UINT64_C(10772874191460901488),	// RAT_ATOMIC_ADD_NORET
  423     UINT64_C(10772874191460900976),	// RAT_ATOMIC_ADD_RTN
  424     UINT64_C(10772874191460901600),	// RAT_ATOMIC_AND_NORET
  425     UINT64_C(10772874191460901088),	// RAT_ATOMIC_AND_RTN
  426     UINT64_C(10772874191460901440),	// RAT_ATOMIC_CMPXCHG_INT_NORET
  427     UINT64_C(10772874191460900928),	// RAT_ATOMIC_CMPXCHG_INT_RTN
  428     UINT64_C(10772874191460901680),	// RAT_ATOMIC_DEC_UINT_NORET
  429     UINT64_C(10772874191460901168),	// RAT_ATOMIC_DEC_UINT_RTN
  430     UINT64_C(10772874191460901664),	// RAT_ATOMIC_INC_UINT_NORET
  431     UINT64_C(10772874191460901152),	// RAT_ATOMIC_INC_UINT_RTN
  432     UINT64_C(10772874191460901568),	// RAT_ATOMIC_MAX_INT_NORET
  433     UINT64_C(10772874191460901056),	// RAT_ATOMIC_MAX_INT_RTN
  434     UINT64_C(10772874191460901584),	// RAT_ATOMIC_MAX_UINT_NORET
  435     UINT64_C(10772874191460901072),	// RAT_ATOMIC_MAX_UINT_RTN
  436     UINT64_C(10772874191460901536),	// RAT_ATOMIC_MIN_INT_NORET
  437     UINT64_C(10772874191460901024),	// RAT_ATOMIC_MIN_INT_RTN
  438     UINT64_C(10772874191460901552),	// RAT_ATOMIC_MIN_UINT_NORET
  439     UINT64_C(10772874191460901040),	// RAT_ATOMIC_MIN_UINT_RTN
  440     UINT64_C(10772874191460901616),	// RAT_ATOMIC_OR_NORET
  441     UINT64_C(10772874191460901104),	// RAT_ATOMIC_OR_RTN
  442     UINT64_C(10772874191460901520),	// RAT_ATOMIC_RSUB_NORET
  443     UINT64_C(10772874191460901008),	// RAT_ATOMIC_RSUB_RTN
  444     UINT64_C(10772874191460901504),	// RAT_ATOMIC_SUB_NORET
  445     UINT64_C(10772874191460900992),	// RAT_ATOMIC_SUB_RTN
  446     UINT64_C(10772874191460901408),	// RAT_ATOMIC_XCHG_INT_NORET
  447     UINT64_C(10772874191460900880),	// RAT_ATOMIC_XCHG_INT_RTN
  448     UINT64_C(10772874191460901632),	// RAT_ATOMIC_XOR_NORET
  449     UINT64_C(10772874191460901120),	// RAT_ATOMIC_XOR_RTN
  450     UINT64_C(10772874191460901136),	// RAT_MSKOR
  451     UINT64_C(10790888589970383168),	// RAT_STORE_DWORD128
  452     UINT64_C(10790642299365761344),	// RAT_STORE_DWORD32
  453     UINT64_C(10790677483737850176),	// RAT_STORE_DWORD64
  454     UINT64_C(10772874191460900880),	// RAT_STORE_TYPED_cm
  455     UINT64_C(10772874191460900880),	// RAT_STORE_TYPED_eg
  456     UINT64_C(10790888589970382880),	// RAT_WRITE_CACHELESS_128_eg
  457     UINT64_C(10790642299365761056),	// RAT_WRITE_CACHELESS_32_eg
  458     UINT64_C(10790677483737849888),	// RAT_WRITE_CACHELESS_64_eg
  459     UINT64_C(74217034874880),	// RECIPSQRT_CLAMPED_cm
  460     UINT64_C(74217034874880),	// RECIPSQRT_CLAMPED_eg
  461     UINT64_C(56624848830464),	// RECIPSQRT_CLAMPED_r600
  462     UINT64_C(75316546502656),	// RECIPSQRT_IEEE_cm
  463     UINT64_C(75316546502656),	// RECIPSQRT_IEEE_eg
  464     UINT64_C(57724360458240),	// RECIPSQRT_IEEE_r600
  465     UINT64_C(72567767433216),	// RECIP_CLAMPED_cm
  466     UINT64_C(72567767433216),	// RECIP_CLAMPED_eg
  467     UINT64_C(54975581388800),	// RECIP_CLAMPED_r600
  468     UINT64_C(73667279060992),	// RECIP_IEEE_cm
  469     UINT64_C(73667279060992),	// RECIP_IEEE_eg
  470     UINT64_C(56075093016576),	// RECIP_IEEE_r600
  471     UINT64_C(81363860455424),	// RECIP_UINT_eg
  472     UINT64_C(65970697666560),	// RECIP_UINT_r600
  473     UINT64_C(10445360463872),	// RNDNE
  474     UINT64_C(4398046511104),	// SETE
  475     UINT64_C(6597069766656),	// SETE_DX10
  476     UINT64_C(31885837205504),	// SETE_INT
  477     UINT64_C(7696581394432),	// SETGE_DX10
  478     UINT64_C(32985348833280),	// SETGE_INT
  479     UINT64_C(34634616274944),	// SETGE_UINT
  480     UINT64_C(7146825580544),	// SETGT_DX10
  481     UINT64_C(32435593019392),	// SETGT_INT
  482     UINT64_C(34084860461056),	// SETGT_UINT
  483     UINT64_C(8246337208320),	// SETNE_DX10
  484     UINT64_C(33535104647168),	// SETNE_INT
  485     UINT64_C(5497558138880),	// SGE
  486     UINT64_C(4947802324992),	// SGT
  487     UINT64_C(77515569758208),	// SIN_cm
  488     UINT64_C(77515569758208),	// SIN_eg
  489     UINT64_C(60473139527680),	// SIN_r600
  490     UINT64_C(60473139527680),	// SIN_r700
  491     UINT64_C(6047313952768),	// SNE
  492     UINT64_C(45629732552704),	// SUBB_UINT
  493     UINT64_C(29137058136064),	// SUB_INT
  494     UINT64_C(7),	// TEX_GET_GRADIENTS_H
  495     UINT64_C(8),	// TEX_GET_GRADIENTS_V
  496     UINT64_C(4),	// TEX_GET_TEXTURE_RESINFO
  497     UINT64_C(3),	// TEX_LD
  498     UINT64_C(35),	// TEX_LDPTR
  499     UINT64_C(16),	// TEX_SAMPLE
  500     UINT64_C(24),	// TEX_SAMPLE_C
  501     UINT64_C(28),	// TEX_SAMPLE_C_G
  502     UINT64_C(25),	// TEX_SAMPLE_C_L
  503     UINT64_C(26),	// TEX_SAMPLE_C_LB
  504     UINT64_C(20),	// TEX_SAMPLE_G
  505     UINT64_C(17),	// TEX_SAMPLE_L
  506     UINT64_C(18),	// TEX_SAMPLE_LB
  507     UINT64_C(11),	// TEX_SET_GRADIENTS_H
  508     UINT64_C(12),	// TEX_SET_GRADIENTS_V
  509     UINT64_C(16775081780284751936),	// TEX_VTX_CONSTBUF
  510     UINT64_C(9236056004066541632),	// TEX_VTX_TEXBUF
  511     UINT64_C(9345848836096),	// TRUNC
  512     UINT64_C(85761906966528),	// UINT_TO_FLT_eg
  513     UINT64_C(59923383713792),	// UINT_TO_FLT_r600
  514     UINT64_C(1769087820812517440),	// VTX_READ_128_cm
  515     UINT64_C(1769087821886259264),	// VTX_READ_128_eg
  516     UINT64_C(1251983104222953536),	// VTX_READ_16_cm
  517     UINT64_C(1251983104357171264),	// VTX_READ_16_eg
  518     UINT64_C(1396098292298809408),	// VTX_READ_32_cm
  519     UINT64_C(1396098292567244864),	// VTX_READ_32_eg
  520     UINT64_C(1684223115334254656),	// VTX_READ_64_cm
  521     UINT64_C(1684223115871125568),	// VTX_READ_64_eg
  522     UINT64_C(1179925510185025600),	// VTX_READ_8_cm
  523     UINT64_C(1179925510252134464),	// VTX_READ_8_eg
  524     UINT64_C(9331458427911667712),	// WHILE_LOOP_EG
  525     UINT64_C(9439544818968559616),	// WHILE_LOOP_R600
  526     UINT64_C(27487790694400),	// XOR_INT
  527     UINT64_C(0)
  552       op &= UINT64_C(16777215);
  560       op &= UINT64_C(16777215);
  564       op &= UINT64_C(63);
  575       op &= UINT64_C(16777215);
  579       op &= UINT64_C(7);
  592       op &= UINT64_C(4194303);
  596       op &= UINT64_C(15);
  601       op &= UINT64_C(15);
  606       op &= UINT64_C(3);
  611       op &= UINT64_C(3);
  616       op &= UINT64_C(255);
  621       op &= UINT64_C(255);
  626       op &= UINT64_C(127);
  638       op &= UINT64_C(4294967295);
  646       op &= UINT64_C(4294967295);
  650       Value |= (op & UINT64_C(8)) << 48;
  651       Value |= (op & UINT64_C(7)) << 42;
  659       op &= UINT64_C(4294967295);
  663       op &= UINT64_C(7);
  685       op &= UINT64_C(255);
  690       op &= UINT64_C(127);
  695       op &= UINT64_C(127);
  700       op &= UINT64_C(7);
  705       op &= UINT64_C(7);
  710       op &= UINT64_C(7);
  715       op &= UINT64_C(7);
  720       op &= UINT64_C(1);
  725       op &= UINT64_C(1);
  730       op &= UINT64_C(1);
  735       op &= UINT64_C(1);
  743       op &= UINT64_C(8191);
  747       op &= UINT64_C(3);
  752       op &= UINT64_C(127);
  757       op &= UINT64_C(4095);
  762       op &= UINT64_C(15);
  767       op &= UINT64_C(1);
  772       op &= UINT64_C(255);
  780       op &= UINT64_C(8191);
  784       op &= UINT64_C(3);
  789       op &= UINT64_C(127);
  794       op &= UINT64_C(4095);
  799       op &= UINT64_C(15);
  804       op &= UINT64_C(1);
  809       op &= UINT64_C(255);
  817       op &= UINT64_C(8191);
  821       op &= UINT64_C(3);
  826       op &= UINT64_C(127);
  831       op &= UINT64_C(7);
  836       op &= UINT64_C(7);
  841       op &= UINT64_C(7);
  846       op &= UINT64_C(7);
  851       op &= UINT64_C(1);
  856       op &= UINT64_C(255);
  864       op &= UINT64_C(8191);
  868       op &= UINT64_C(3);
  873       op &= UINT64_C(127);
  878       op &= UINT64_C(7);
  883       op &= UINT64_C(7);
  888       op &= UINT64_C(7);
  893       op &= UINT64_C(7);
  898       op &= UINT64_C(1);
  903       op &= UINT64_C(255);
  912       op &= UINT64_C(127);
  917       op &= UINT64_C(127);
  922       op &= UINT64_C(255);
  930       op &= UINT64_C(4294967295);
  934       op &= UINT64_C(4294967295);
  943       op &= UINT64_C(255);
  950       op &= UINT64_C(15);
  954       op &= UINT64_C(127);
  959       op &= UINT64_C(127);
  967       op &= UINT64_C(15);
  971       op &= UINT64_C(127);
  976       op &= UINT64_C(127);
  981       op &= UINT64_C(1);
  992       op &= UINT64_C(127);
  997       op &= UINT64_C(127);
 1007       op &= UINT64_C(127);
 1012       op &= UINT64_C(127);
 1017       op &= UINT64_C(1);
 1052       op &= UINT64_C(127);
 1057       op &= UINT64_C(127);
 1065       Value |= (op & UINT64_C(1536)) << 1;
 1066       Value |= (op & UINT64_C(511));
 1069       op &= UINT64_C(1);
 1074       Value |= (op & UINT64_C(1536)) << 14;
 1075       Value |= (op & UINT64_C(511)) << 13;
 1078       op &= UINT64_C(1);
 1083       op &= UINT64_C(3);
 1088       op &= UINT64_C(1);
 1093       Value |= (op & UINT64_C(1536)) << 33;
 1094       Value |= (op & UINT64_C(511)) << 32;
 1097       op &= UINT64_C(1);
 1102       op &= UINT64_C(7);
 1122       Value |= (op & UINT64_C(1536)) << 1;
 1123       Value |= (op & UINT64_C(511));
 1126       op &= UINT64_C(1);
 1131       Value |= (op & UINT64_C(1536)) << 14;
 1132       Value |= (op & UINT64_C(511)) << 13;
 1135       op &= UINT64_C(1);
 1140       op &= UINT64_C(3);
 1145       op &= UINT64_C(1);
 1150       op &= UINT64_C(7);
 1162       Value |= (op & UINT64_C(1536)) << 1;
 1163       Value |= (op & UINT64_C(511));
 1166       op &= UINT64_C(1);
 1171       op &= UINT64_C(3);
 1176       op &= UINT64_C(1);
 1181       op &= UINT64_C(7);
 1189       Value |= (op & UINT64_C(1536)) << 1;
 1190       Value |= (op & UINT64_C(511));
 1193       op &= UINT64_C(1);
 1198       Value |= (op & UINT64_C(1536)) << 14;
 1199       Value |= (op & UINT64_C(511)) << 13;
 1202       op &= UINT64_C(1);
 1207       op &= UINT64_C(3);
 1212       op &= UINT64_C(1);
 1217       Value |= (op & UINT64_C(1536)) << 33;
 1218       Value |= (op & UINT64_C(511)) << 32;
 1221       op &= UINT64_C(1);
 1226       op &= UINT64_C(7);
 1243       Value |= (op & UINT64_C(1536)) << 1;
 1244       Value |= (op & UINT64_C(511));
 1247       op &= UINT64_C(1);
 1252       Value |= (op & UINT64_C(1536)) << 14;
 1253       Value |= (op & UINT64_C(511)) << 13;
 1256       op &= UINT64_C(1);
 1261       op &= UINT64_C(3);
 1266       op &= UINT64_C(1);
 1271       op &= UINT64_C(7);
 1300       Value |= (op & UINT64_C(1536)) << 1;
 1301       Value |= (op & UINT64_C(511));
 1304       op &= UINT64_C(1);
 1309       Value |= (op & UINT64_C(1536)) << 14;
 1310       Value |= (op & UINT64_C(511)) << 13;
 1313       op &= UINT64_C(1);
 1318       op &= UINT64_C(3);
 1323       op &= UINT64_C(1);
 1328       op &= UINT64_C(1);
 1333       op &= UINT64_C(1);
 1338       Value |= (op & UINT64_C(1536)) << 52;
 1339       Value |= (op & UINT64_C(127)) << 53;
 1342       op &= UINT64_C(7);
 1347       op &= UINT64_C(1);
 1352       op &= UINT64_C(1);
 1357       Value |= (op & UINT64_C(1536)) << 33;
 1358       Value |= (op & UINT64_C(511)) << 32;
 1361       op &= UINT64_C(1);
 1366       op &= UINT64_C(1);
 1425       Value |= (op & UINT64_C(1536)) << 1;
 1426       Value |= (op & UINT64_C(511));
 1429       op &= UINT64_C(1);
 1434       op &= UINT64_C(3);
 1439       op &= UINT64_C(1);
 1444       op &= UINT64_C(1);
 1449       Value |= (op & UINT64_C(1536)) << 52;
 1450       Value |= (op & UINT64_C(127)) << 53;
 1453       op &= UINT64_C(7);
 1458       op &= UINT64_C(1);
 1463       op &= UINT64_C(1);
 1468       op &= UINT64_C(1);
 1473       op &= UINT64_C(1);
 1478       op &= UINT64_C(3);
 1554       Value |= (op & UINT64_C(1536)) << 1;
 1555       Value |= (op & UINT64_C(511));
 1558       op &= UINT64_C(1);
 1563       Value |= (op & UINT64_C(1536)) << 14;
 1564       Value |= (op & UINT64_C(511)) << 13;
 1567       op &= UINT64_C(1);
 1572       op &= UINT64_C(3);
 1577       op &= UINT64_C(1);
 1582       op &= UINT64_C(1);
 1587       op &= UINT64_C(1);
 1592       Value |= (op & UINT64_C(1536)) << 52;
 1593       Value |= (op & UINT64_C(127)) << 53;
 1596       op &= UINT64_C(7);
 1601       op &= UINT64_C(1);
 1606       op &= UINT64_C(1);
 1611       op &= UINT64_C(1);
 1616       op &= UINT64_C(1);
 1621       op &= UINT64_C(1);
 1626       op &= UINT64_C(1);
 1631       op &= UINT64_C(1);
 1636       op &= UINT64_C(3);
 1645       Value |= (op & UINT64_C(1536)) << 1;
 1646       Value |= (op & UINT64_C(511));
 1649       op &= UINT64_C(1);
 1654       Value |= (op & UINT64_C(1536)) << 14;
 1655       Value |= (op & UINT64_C(511)) << 13;
 1658       op &= UINT64_C(1);
 1663       op &= UINT64_C(3);
 1668       op &= UINT64_C(1);
 1673       op &= UINT64_C(1);
 1678       op &= UINT64_C(1);
 1683       Value |= (op & UINT64_C(1536)) << 52;
 1684       Value |= (op & UINT64_C(127)) << 53;
 1687       op &= UINT64_C(1);
 1692       op &= UINT64_C(1);
 1697       op &= UINT64_C(1);
 1702       op &= UINT64_C(1);
 1707       op &= UINT64_C(1);
 1712       op &= UINT64_C(1);
 1717       op &= UINT64_C(1);
 1722       op &= UINT64_C(3);
 1739       op &= UINT64_C(127);
 1744       op &= UINT64_C(255);
 1749       op &= UINT64_C(127);
gen/lib/Target/ARM/ARMGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(0),
  261     UINT64_C(0),
  262     UINT64_C(0),
  263     UINT64_C(0),
  264     UINT64_C(0),
  265     UINT64_C(0),
  266     UINT64_C(0),
  267     UINT64_C(0),
  268     UINT64_C(0),
  269     UINT64_C(0),
  270     UINT64_C(0),
  271     UINT64_C(0),
  272     UINT64_C(0),
  273     UINT64_C(0),
  274     UINT64_C(0),
  275     UINT64_C(0),
  276     UINT64_C(0),
  277     UINT64_C(0),
  278     UINT64_C(0),
  279     UINT64_C(0),
  280     UINT64_C(0),
  281     UINT64_C(0),
  282     UINT64_C(0),
  283     UINT64_C(0),
  284     UINT64_C(0),
  285     UINT64_C(0),
  286     UINT64_C(0),
  287     UINT64_C(0),
  288     UINT64_C(0),
  289     UINT64_C(0),
  290     UINT64_C(0),
  291     UINT64_C(0),
  292     UINT64_C(0),
  293     UINT64_C(0),
  294     UINT64_C(0),
  295     UINT64_C(0),
  296     UINT64_C(0),
  297     UINT64_C(0),
  298     UINT64_C(0),
  299     UINT64_C(0),
  300     UINT64_C(0),
  301     UINT64_C(0),
  302     UINT64_C(0),
  303     UINT64_C(0),
  304     UINT64_C(0),
  305     UINT64_C(0),
  306     UINT64_C(0),
  307     UINT64_C(0),
  308     UINT64_C(0),
  309     UINT64_C(0),
  310     UINT64_C(0),
  311     UINT64_C(0),
  312     UINT64_C(0),
  313     UINT64_C(0),
  314     UINT64_C(0),
  315     UINT64_C(0),
  316     UINT64_C(0),
  317     UINT64_C(0),
  318     UINT64_C(0),
  319     UINT64_C(0),
  320     UINT64_C(0),
  321     UINT64_C(0),
  322     UINT64_C(0),
  323     UINT64_C(0),
  324     UINT64_C(0),
  325     UINT64_C(0),
  326     UINT64_C(0),
  327     UINT64_C(0),
  328     UINT64_C(0),
  329     UINT64_C(0),
  330     UINT64_C(0),
  331     UINT64_C(0),
  332     UINT64_C(0),
  333     UINT64_C(0),
  334     UINT64_C(0),
  335     UINT64_C(0),
  336     UINT64_C(0),
  337     UINT64_C(0),
  338     UINT64_C(0),
  339     UINT64_C(0),
  340     UINT64_C(0),
  341     UINT64_C(0),
  342     UINT64_C(0),
  343     UINT64_C(0),
  344     UINT64_C(0),
  345     UINT64_C(0),
  346     UINT64_C(0),
  347     UINT64_C(0),
  348     UINT64_C(0),
  349     UINT64_C(0),
  350     UINT64_C(0),
  351     UINT64_C(0),
  352     UINT64_C(0),
  353     UINT64_C(0),
  354     UINT64_C(0),
  355     UINT64_C(0),
  356     UINT64_C(0),
  357     UINT64_C(0),
  358     UINT64_C(0),
  359     UINT64_C(0),
  360     UINT64_C(0),
  361     UINT64_C(0),
  362     UINT64_C(0),
  363     UINT64_C(0),
  364     UINT64_C(0),
  365     UINT64_C(0),
  366     UINT64_C(0),
  367     UINT64_C(0),
  368     UINT64_C(0),
  369     UINT64_C(0),
  370     UINT64_C(0),
  371     UINT64_C(0),
  372     UINT64_C(0),
  373     UINT64_C(0),
  374     UINT64_C(0),
  375     UINT64_C(0),
  376     UINT64_C(0),
  377     UINT64_C(0),
  378     UINT64_C(0),
  379     UINT64_C(0),
  380     UINT64_C(0),
  381     UINT64_C(0),
  382     UINT64_C(0),
  383     UINT64_C(0),
  384     UINT64_C(0),
  385     UINT64_C(0),
  386     UINT64_C(0),
  387     UINT64_C(0),
  388     UINT64_C(0),
  389     UINT64_C(0),
  390     UINT64_C(0),
  391     UINT64_C(0),
  392     UINT64_C(0),
  393     UINT64_C(0),
  394     UINT64_C(0),
  395     UINT64_C(0),
  396     UINT64_C(0),
  397     UINT64_C(0),
  398     UINT64_C(0),
  399     UINT64_C(0),
  400     UINT64_C(0),
  401     UINT64_C(0),
  402     UINT64_C(0),
  403     UINT64_C(0),
  404     UINT64_C(0),
  405     UINT64_C(0),
  406     UINT64_C(0),
  407     UINT64_C(0),
  408     UINT64_C(0),
  409     UINT64_C(0),
  410     UINT64_C(0),
  411     UINT64_C(0),
  412     UINT64_C(0),
  413     UINT64_C(0),
  414     UINT64_C(0),
  415     UINT64_C(0),
  416     UINT64_C(0),
  417     UINT64_C(0),
  418     UINT64_C(0),
  419     UINT64_C(0),
  420     UINT64_C(0),
  421     UINT64_C(0),
  422     UINT64_C(0),
  423     UINT64_C(0),
  424     UINT64_C(0),
  425     UINT64_C(0),
  426     UINT64_C(0),
  427     UINT64_C(0),
  428     UINT64_C(0),
  429     UINT64_C(0),
  430     UINT64_C(0),
  431     UINT64_C(0),
  432     UINT64_C(0),
  433     UINT64_C(0),
  434     UINT64_C(0),
  435     UINT64_C(0),
  436     UINT64_C(0),
  437     UINT64_C(0),
  438     UINT64_C(0),
  439     UINT64_C(0),
  440     UINT64_C(0),
  441     UINT64_C(0),
  442     UINT64_C(0),
  443     UINT64_C(0),
  444     UINT64_C(0),
  445     UINT64_C(0),
  446     UINT64_C(0),
  447     UINT64_C(0),
  448     UINT64_C(0),
  449     UINT64_C(0),
  450     UINT64_C(0),
  451     UINT64_C(0),
  452     UINT64_C(0),
  453     UINT64_C(0),
  454     UINT64_C(0),
  455     UINT64_C(0),
  456     UINT64_C(0),
  457     UINT64_C(0),
  458     UINT64_C(0),
  459     UINT64_C(0),
  460     UINT64_C(0),
  461     UINT64_C(0),
  462     UINT64_C(0),
  463     UINT64_C(0),
  464     UINT64_C(0),
  465     UINT64_C(0),
  466     UINT64_C(0),
  467     UINT64_C(0),
  468     UINT64_C(0),
  469     UINT64_C(0),
  470     UINT64_C(0),
  471     UINT64_C(0),
  472     UINT64_C(0),
  473     UINT64_C(0),
  474     UINT64_C(0),
  475     UINT64_C(0),
  476     UINT64_C(0),
  477     UINT64_C(0),
  478     UINT64_C(0),
  479     UINT64_C(0),
  480     UINT64_C(0),
  481     UINT64_C(0),
  482     UINT64_C(0),
  483     UINT64_C(0),
  484     UINT64_C(0),
  485     UINT64_C(0),
  486     UINT64_C(0),
  487     UINT64_C(0),
  488     UINT64_C(0),
  489     UINT64_C(0),
  490     UINT64_C(0),
  491     UINT64_C(0),
  492     UINT64_C(0),
  493     UINT64_C(0),
  494     UINT64_C(0),
  495     UINT64_C(0),
  496     UINT64_C(0),
  497     UINT64_C(0),
  498     UINT64_C(0),
  499     UINT64_C(0),
  500     UINT64_C(0),
  501     UINT64_C(0),
  502     UINT64_C(0),
  503     UINT64_C(0),
  504     UINT64_C(0),
  505     UINT64_C(0),
  506     UINT64_C(0),
  507     UINT64_C(0),
  508     UINT64_C(0),
  509     UINT64_C(0),
  510     UINT64_C(0),
  511     UINT64_C(0),
  512     UINT64_C(0),
  513     UINT64_C(0),
  514     UINT64_C(0),
  515     UINT64_C(0),
  516     UINT64_C(0),
  517     UINT64_C(0),
  518     UINT64_C(0),
  519     UINT64_C(0),
  520     UINT64_C(0),
  521     UINT64_C(0),
  522     UINT64_C(0),
  523     UINT64_C(0),
  524     UINT64_C(0),
  525     UINT64_C(0),
  526     UINT64_C(0),
  527     UINT64_C(0),
  528     UINT64_C(0),
  529     UINT64_C(0),
  530     UINT64_C(0),
  531     UINT64_C(0),
  532     UINT64_C(0),
  533     UINT64_C(0),
  534     UINT64_C(0),
  535     UINT64_C(0),
  536     UINT64_C(0),
  537     UINT64_C(0),
  538     UINT64_C(0),
  539     UINT64_C(0),
  540     UINT64_C(0),
  541     UINT64_C(0),
  542     UINT64_C(0),
  543     UINT64_C(0),
  544     UINT64_C(0),
  545     UINT64_C(0),
  546     UINT64_C(0),
  547     UINT64_C(0),
  548     UINT64_C(0),
  549     UINT64_C(0),
  550     UINT64_C(0),
  551     UINT64_C(0),
  552     UINT64_C(0),
  553     UINT64_C(0),
  554     UINT64_C(0),
  555     UINT64_C(0),
  556     UINT64_C(0),
  557     UINT64_C(0),
  558     UINT64_C(0),
  559     UINT64_C(0),
  560     UINT64_C(0),
  561     UINT64_C(0),
  562     UINT64_C(0),
  563     UINT64_C(0),
  564     UINT64_C(0),
  565     UINT64_C(0),
  566     UINT64_C(0),
  567     UINT64_C(0),
  568     UINT64_C(0),
  569     UINT64_C(0),
  570     UINT64_C(0),
  571     UINT64_C(0),
  572     UINT64_C(0),
  573     UINT64_C(0),
  574     UINT64_C(0),
  575     UINT64_C(0),
  576     UINT64_C(0),
  577     UINT64_C(0),
  578     UINT64_C(0),
  579     UINT64_C(0),
  580     UINT64_C(0),
  581     UINT64_C(0),
  582     UINT64_C(0),
  583     UINT64_C(0),
  584     UINT64_C(0),
  585     UINT64_C(0),
  586     UINT64_C(0),
  587     UINT64_C(0),
  588     UINT64_C(0),
  589     UINT64_C(0),
  590     UINT64_C(0),
  591     UINT64_C(0),
  592     UINT64_C(0),
  593     UINT64_C(0),
  594     UINT64_C(0),
  595     UINT64_C(0),
  596     UINT64_C(0),
  597     UINT64_C(0),
  598     UINT64_C(0),
  599     UINT64_C(0),
  600     UINT64_C(0),
  601     UINT64_C(0),
  602     UINT64_C(0),
  603     UINT64_C(0),
  604     UINT64_C(0),
  605     UINT64_C(0),
  606     UINT64_C(0),
  607     UINT64_C(0),
  608     UINT64_C(0),
  609     UINT64_C(0),
  610     UINT64_C(0),
  611     UINT64_C(44040192),	// ADCri
  612     UINT64_C(10485760),	// ADCrr
  613     UINT64_C(10485760),	// ADCrsi
  614     UINT64_C(10485776),	// ADCrsr
  615     UINT64_C(41943040),	// ADDri
  616     UINT64_C(8388608),	// ADDrr
  617     UINT64_C(8388608),	// ADDrsi
  618     UINT64_C(8388624),	// ADDrsr
  619     UINT64_C(34537472),	// ADR
  620     UINT64_C(4088398656),	// AESD
  621     UINT64_C(4088398592),	// AESE
  622     UINT64_C(4088398784),	// AESIMC
  623     UINT64_C(4088398720),	// AESMC
  624     UINT64_C(33554432),	// ANDri
  625     UINT64_C(0),	// ANDrr
  626     UINT64_C(0),	// ANDrsi
  627     UINT64_C(16),	// ANDrsr
  628     UINT64_C(130023455),	// BFC
  629     UINT64_C(130023440),	// BFI
  630     UINT64_C(62914560),	// BICri
  631     UINT64_C(29360128),	// BICrr
  632     UINT64_C(29360128),	// BICrsi
  633     UINT64_C(29360144),	// BICrsr
  634     UINT64_C(3776970864),	// BKPT
  635     UINT64_C(3942645760),	// BL
  636     UINT64_C(3778019120),	// BLX
  637     UINT64_C(19922736),	// BLX_pred
  638     UINT64_C(4194304000),	// BLXi
  639     UINT64_C(184549376),	// BL_pred
  640     UINT64_C(3778019088),	// BX
  641     UINT64_C(19922720),	// BXJ
  642     UINT64_C(19922718),	// BX_RET
  643     UINT64_C(19922704),	// BX_pred
  644     UINT64_C(167772160),	// Bcc
  645     UINT64_C(234881024),	// CDP
  646     UINT64_C(4261412864),	// CDP2
  647     UINT64_C(4118802463),	// CLREX
  648     UINT64_C(24055568),	// CLZ
  649     UINT64_C(57671680),	// CMNri
  650     UINT64_C(24117248),	// CMNzrr
  651     UINT64_C(24117248),	// CMNzrsi
  652     UINT64_C(24117264),	// CMNzrsr
  653     UINT64_C(55574528),	// CMPri
  654     UINT64_C(22020096),	// CMPrr
  655     UINT64_C(22020096),	// CMPrsi
  656     UINT64_C(22020112),	// CMPrsr
  657     UINT64_C(4043440128),	// CPS1p
  658     UINT64_C(4043309056),	// CPS2p
  659     UINT64_C(4043440128),	// CPS3p
  660     UINT64_C(3774873664),	// CRC32B
  661     UINT64_C(3774874176),	// CRC32CB
  662     UINT64_C(3776971328),	// CRC32CH
  663     UINT64_C(3779068480),	// CRC32CW
  664     UINT64_C(3776970816),	// CRC32H
  665     UINT64_C(3779067968),	// CRC32W
  666     UINT64_C(52490480),	// DBG
  667     UINT64_C(4118802512),	// DMB
  668     UINT64_C(4118802496),	// DSB
  669     UINT64_C(35651584),	// EORri
  670     UINT64_C(2097152),	// EORrr
  671     UINT64_C(2097152),	// EORrsi
  672     UINT64_C(2097168),	// EORrsr
  673     UINT64_C(23068782),	// ERET
  674     UINT64_C(246418176),	// FCONSTD
  675     UINT64_C(246417664),	// FCONSTH
  676     UINT64_C(246417920),	// FCONSTS
  677     UINT64_C(221252353),	// FLDMXDB_UPD
  678     UINT64_C(210766593),	// FLDMXIA
  679     UINT64_C(212863745),	// FLDMXIA_UPD
  680     UINT64_C(250739216),	// FMSTAT
  681     UINT64_C(220203777),	// FSTMXDB_UPD
  682     UINT64_C(209718017),	// FSTMXIA
  683     UINT64_C(211815169),	// FSTMXIA_UPD
  684     UINT64_C(52490240),	// HINT
  685     UINT64_C(3774873712),	// HLT
  686     UINT64_C(3779068016),	// HVC
  687     UINT64_C(4118802528),	// ISB
  688     UINT64_C(26217631),	// LDA
  689     UINT64_C(30411935),	// LDAB
  690     UINT64_C(26218143),	// LDAEX
  691     UINT64_C(30412447),	// LDAEXB
  692     UINT64_C(28315295),	// LDAEXD
  693     UINT64_C(32509599),	// LDAEXH
  694     UINT64_C(32509087),	// LDAH
  695     UINT64_C(4249878528),	// LDC2L_OFFSET
  696     UINT64_C(4241489920),	// LDC2L_OPTION
  697     UINT64_C(4235198464),	// LDC2L_POST
  698     UINT64_C(4251975680),	// LDC2L_PRE
  699     UINT64_C(4245684224),	// LDC2_OFFSET
  700     UINT64_C(4237295616),	// LDC2_OPTION
  701     UINT64_C(4231004160),	// LDC2_POST
  702     UINT64_C(4247781376),	// LDC2_PRE
  703     UINT64_C(223346688),	// LDCL_OFFSET
  704     UINT64_C(214958080),	// LDCL_OPTION
  705     UINT64_C(208666624),	// LDCL_POST
  706     UINT64_C(225443840),	// LDCL_PRE
  707     UINT64_C(219152384),	// LDC_OFFSET
  708     UINT64_C(210763776),	// LDC_OPTION
  709     UINT64_C(204472320),	// LDC_POST
  710     UINT64_C(221249536),	// LDC_PRE
  711     UINT64_C(135266304),	// LDMDA
  712     UINT64_C(137363456),	// LDMDA_UPD
  713     UINT64_C(152043520),	// LDMDB
  714     UINT64_C(154140672),	// LDMDB_UPD
  715     UINT64_C(143654912),	// LDMIA
  716     UINT64_C(145752064),	// LDMIA_UPD
  717     UINT64_C(160432128),	// LDMIB
  718     UINT64_C(162529280),	// LDMIB_UPD
  719     UINT64_C(74448896),	// LDRBT_POST_IMM
  720     UINT64_C(108003328),	// LDRBT_POST_REG
  721     UINT64_C(72351744),	// LDRB_POST_IMM
  722     UINT64_C(105906176),	// LDRB_POST_REG
  723     UINT64_C(91226112),	// LDRB_PRE_IMM
  724     UINT64_C(124780544),	// LDRB_PRE_REG
  725     UINT64_C(89128960),	// LDRBi12
  726     UINT64_C(122683392),	// LDRBrs
  727     UINT64_C(16777424),	// LDRD
  728     UINT64_C(208),	// LDRD_POST
  729     UINT64_C(18874576),	// LDRD_PRE
  730     UINT64_C(26218399),	// LDREX
  731     UINT64_C(30412703),	// LDREXB
  732     UINT64_C(28315551),	// LDREXD
  733     UINT64_C(32509855),	// LDREXH
  734     UINT64_C(17825968),	// LDRH
  735     UINT64_C(7340208),	// LDRHTi
  736     UINT64_C(3145904),	// LDRHTr
  737     UINT64_C(1048752),	// LDRH_POST
  738     UINT64_C(19923120),	// LDRH_PRE
  739     UINT64_C(17826000),	// LDRSB
  740     UINT64_C(7340240),	// LDRSBTi
  741     UINT64_C(3145936),	// LDRSBTr
  742     UINT64_C(1048784),	// LDRSB_POST
  743     UINT64_C(19923152),	// LDRSB_PRE
  744     UINT64_C(17826032),	// LDRSH
  745     UINT64_C(7340272),	// LDRSHTi
  746     UINT64_C(3145968),	// LDRSHTr
  747     UINT64_C(1048816),	// LDRSH_POST
  748     UINT64_C(19923184),	// LDRSH_PRE
  749     UINT64_C(70254592),	// LDRT_POST_IMM
  750     UINT64_C(103809024),	// LDRT_POST_REG
  751     UINT64_C(68157440),	// LDR_POST_IMM
  752     UINT64_C(101711872),	// LDR_POST_REG
  753     UINT64_C(87031808),	// LDR_PRE_IMM
  754     UINT64_C(120586240),	// LDR_PRE_REG
  755     UINT64_C(85917696),	// LDRcp
  756     UINT64_C(84934656),	// LDRi12
  757     UINT64_C(118489088),	// LDRrs
  758     UINT64_C(234881040),	// MCR
  759     UINT64_C(4261412880),	// MCR2
  760     UINT64_C(205520896),	// MCRR
  761     UINT64_C(4232052736),	// MCRR2
  762     UINT64_C(2097296),	// MLA
  763     UINT64_C(6291600),	// MLS
  764     UINT64_C(27324430),	// MOVPCLR
  765     UINT64_C(54525952),	// MOVTi16
  766     UINT64_C(60817408),	// MOVi
  767     UINT64_C(50331648),	// MOVi16
  768     UINT64_C(27262976),	// MOVr
  769     UINT64_C(27262976),	// MOVr_TC
  770     UINT64_C(27262976),	// MOVsi
  771     UINT64_C(27262992),	// MOVsr
  772     UINT64_C(235929616),	// MRC
  773     UINT64_C(4262461456),	// MRC2
  774     UINT64_C(206569472),	// MRRC
  775     UINT64_C(4233101312),	// MRRC2
  776     UINT64_C(17760256),	// MRS
  777     UINT64_C(16777728),	// MRSbanked
  778     UINT64_C(21954560),	// MRSsys
  779     UINT64_C(18935808),	// MSR
  780     UINT64_C(18936320),	// MSRbanked
  781     UINT64_C(52490240),	// MSRi
  782     UINT64_C(144),	// MUL
  783     UINT64_C(3931111727),	// MVE_ASRLi
  784     UINT64_C(3931111725),	// MVE_ASRLr
  785     UINT64_C(4027637761),	// MVE_DLSTP_16
  786     UINT64_C(4028686337),	// MVE_DLSTP_32
  787     UINT64_C(4029734913),	// MVE_DLSTP_64
  788     UINT64_C(4026589185),	// MVE_DLSTP_8
  789     UINT64_C(4027572225),	// MVE_LCTP
  790     UINT64_C(4028612609),	// MVE_LETP
  791     UINT64_C(3931111695),	// MVE_LSLLi
  792     UINT64_C(3931111693),	// MVE_LSLLr
  793     UINT64_C(3931111711),	// MVE_LSRL
  794     UINT64_C(3931115309),	// MVE_SQRSHR
  795     UINT64_C(3931177261),	// MVE_SQRSHRL
  796     UINT64_C(3931115327),	// MVE_SQSHL
  797     UINT64_C(3931177279),	// MVE_SQSHLL
  798     UINT64_C(3931115311),	// MVE_SRSHR
  799     UINT64_C(3931177263),	// MVE_SRSHRL
  800     UINT64_C(3931115277),	// MVE_UQRSHL
  801     UINT64_C(3931177229),	// MVE_UQRSHLL
  802     UINT64_C(3931115279),	// MVE_UQSHL
  803     UINT64_C(3931177231),	// MVE_UQSHLL
  804     UINT64_C(3931115295),	// MVE_URSHR
  805     UINT64_C(3931177247),	// MVE_URSHRL
  806     UINT64_C(4002418433),	// MVE_VABAVs16
  807     UINT64_C(4003467009),	// MVE_VABAVs32
  808     UINT64_C(4001369857),	// MVE_VABAVs8
  809     UINT64_C(4270853889),	// MVE_VABAVu16
  810     UINT64_C(4271902465),	// MVE_VABAVu32
  811     UINT64_C(4269805313),	// MVE_VABAVu8
  812     UINT64_C(4281339200),	// MVE_VABDf16
  813     UINT64_C(4280290624),	// MVE_VABDf32
  814     UINT64_C(4010805056),	// MVE_VABDs16
  815     UINT64_C(4011853632),	// MVE_VABDs32
  816     UINT64_C(4009756480),	// MVE_VABDs8
  817     UINT64_C(4279240512),	// MVE_VABDu16
  818     UINT64_C(4280289088),	// MVE_VABDu32
  819     UINT64_C(4278191936),	// MVE_VABDu8
  820     UINT64_C(4290053952),	// MVE_VABSf16
  821     UINT64_C(4290316096),	// MVE_VABSf32
  822     UINT64_C(4290052928),	// MVE_VABSs16
  823     UINT64_C(4290315072),	// MVE_VABSs32
  824     UINT64_C(4289790784),	// MVE_VABSs8
  825     UINT64_C(3996126976),	// MVE_VADC
  826     UINT64_C(3996131072),	// MVE_VADCI
  827     UINT64_C(4001959712),	// MVE_VADDLVs32acc
  828     UINT64_C(4001959680),	// MVE_VADDLVs32no_acc
  829     UINT64_C(4270395168),	// MVE_VADDLVu32acc
  830     UINT64_C(4270395136),	// MVE_VADDLVu32no_acc
  831     UINT64_C(4009037600),	// MVE_VADDVs16acc
  832     UINT64_C(4009037568),	// MVE_VADDVs16no_acc
  833     UINT64_C(4009299744),	// MVE_VADDVs32acc
  834     UINT64_C(4009299712),	// MVE_VADDVs32no_acc
  835     UINT64_C(4008775456),	// MVE_VADDVs8acc
  836     UINT64_C(4008775424),	// MVE_VADDVs8no_acc
  837     UINT64_C(4277473056),	// MVE_VADDVu16acc
  838     UINT64_C(4277473024),	// MVE_VADDVu16no_acc
  839     UINT64_C(4277735200),	// MVE_VADDVu32acc
  840     UINT64_C(4277735168),	// MVE_VADDVu32no_acc
  841     UINT64_C(4277210912),	// MVE_VADDVu8acc
  842     UINT64_C(4277210880),	// MVE_VADDVu8no_acc
  843     UINT64_C(4264562496),	// MVE_VADD_qr_f16
  844     UINT64_C(3996127040),	// MVE_VADD_qr_f32
  845     UINT64_C(3994095424),	// MVE_VADD_qr_i16
  846     UINT64_C(3995144000),	// MVE_VADD_qr_i32
  847     UINT64_C(3993046848),	// MVE_VADD_qr_i8
  848     UINT64_C(4010806592),	// MVE_VADDf16
  849     UINT64_C(4009758016),	// MVE_VADDf32
  850     UINT64_C(4010805312),	// MVE_VADDi16
  851     UINT64_C(4011853888),	// MVE_VADDi32
  852     UINT64_C(4009756736),	// MVE_VADDi8
  853     UINT64_C(4009754960),	// MVE_VAND
  854     UINT64_C(4010803536),	// MVE_VBIC
  855     UINT64_C(4018143600),	// MVE_VBICIZ0v4i32
  856     UINT64_C(4018145648),	// MVE_VBICIZ0v8i16
  857     UINT64_C(4018144624),	// MVE_VBICIZ16v4i32
  858     UINT64_C(4018145136),	// MVE_VBICIZ24v4i32
  859     UINT64_C(4018144112),	// MVE_VBICIZ8v4i32
  860     UINT64_C(4018146160),	// MVE_VBICIZ8v8i16
  861     UINT64_C(4262534752),	// MVE_VBRSR16
  862     UINT64_C(4263583328),	// MVE_VBRSR32
  863     UINT64_C(4261486176),	// MVE_VBRSR8
  864     UINT64_C(4236249152),	// MVE_VCADDf16
  865     UINT64_C(4237297728),	// MVE_VCADDf32
  866     UINT64_C(4262465280),	// MVE_VCADDi16
  867     UINT64_C(4263513856),	// MVE_VCADDi32
  868     UINT64_C(4261416704),	// MVE_VCADDi8
  869     UINT64_C(4289987648),	// MVE_VCLSs16
  870     UINT64_C(4290249792),	// MVE_VCLSs32
  871     UINT64_C(4289725504),	// MVE_VCLSs8
  872     UINT64_C(4289987776),	// MVE_VCLZs16
  873     UINT64_C(4290249920),	// MVE_VCLZs32
  874     UINT64_C(4289725632),	// MVE_VCLZs8
  875     UINT64_C(4229957696),	// MVE_VCMLAf16
  876     UINT64_C(4231006272),	// MVE_VCMLAf32
  877     UINT64_C(4264627968),	// MVE_VCMPf16
  878     UINT64_C(4264628032),	// MVE_VCMPf16r
  879     UINT64_C(3996192512),	// MVE_VCMPf32
  880     UINT64_C(3996192576),	// MVE_VCMPf32r
  881     UINT64_C(4262530816),	// MVE_VCMPi16
  882     UINT64_C(4262530880),	// MVE_VCMPi16r
  883     UINT64_C(4263579392),	// MVE_VCMPi32
  884     UINT64_C(4263579456),	// MVE_VCMPi32r
  885     UINT64_C(4261482240),	// MVE_VCMPi8
  886     UINT64_C(4261482304),	// MVE_VCMPi8r
  887     UINT64_C(4262534912),	// MVE_VCMPs16
  888     UINT64_C(4262534976),	// MVE_VCMPs16r
  889     UINT64_C(4263583488),	// MVE_VCMPs32
  890     UINT64_C(4263583552),	// MVE_VCMPs32r
  891     UINT64_C(4261486336),	// MVE_VCMPs8
  892     UINT64_C(4261486400),	// MVE_VCMPs8r
  893     UINT64_C(4262530817),	// MVE_VCMPu16
  894     UINT64_C(4262530912),	// MVE_VCMPu16r
  895     UINT64_C(4263579393),	// MVE_VCMPu32
  896     UINT64_C(4263579488),	// MVE_VCMPu32r
  897     UINT64_C(4261482241),	// MVE_VCMPu8
  898     UINT64_C(4261482336),	// MVE_VCMPu8r
  899     UINT64_C(3996126720),	// MVE_VCMULf16
  900     UINT64_C(4264562176),	// MVE_VCMULf32
  901     UINT64_C(4027639809),	// MVE_VCTP16
  902     UINT64_C(4028688385),	// MVE_VCTP32
  903     UINT64_C(4029736961),	// MVE_VCTP64
  904     UINT64_C(4026591233),	// MVE_VCTP8
  905     UINT64_C(3997109761),	// MVE_VCVTf16f32bh
  906     UINT64_C(3997113857),	// MVE_VCVTf16f32th
  907     UINT64_C(4021292112),	// MVE_VCVTf16s16_fix
  908     UINT64_C(4290184768),	// MVE_VCVTf16s16n
  909     UINT64_C(4289727568),	// MVE_VCVTf16u16_fix
  910     UINT64_C(4290184896),	// MVE_VCVTf16u16n
  911     UINT64_C(4265545217),	// MVE_VCVTf32f16bh
  912     UINT64_C(4265549313),	// MVE_VCVTf32f16th
  913     UINT64_C(4020244048),	// MVE_VCVTf32s32_fix
  914     UINT64_C(4290446912),	// MVE_VCVTf32s32n
  915     UINT64_C(4288679504),	// MVE_VCVTf32u32_fix
  916     UINT64_C(4290447040),	// MVE_VCVTf32u32n
  917     UINT64_C(4021292368),	// MVE_VCVTs16f16_fix
  918     UINT64_C(4290183232),	// MVE_VCVTs16f16a
  919     UINT64_C(4290184000),	// MVE_VCVTs16f16m
  920     UINT64_C(4290183488),	// MVE_VCVTs16f16n
  921     UINT64_C(4290183744),	// MVE_VCVTs16f16p
  922     UINT64_C(4290185024),	// MVE_VCVTs16f16z
  923     UINT64_C(4020244304),	// MVE_VCVTs32f32_fix
  924     UINT64_C(4290445376),	// MVE_VCVTs32f32a
  925     UINT64_C(4290446144),	// MVE_VCVTs32f32m
  926     UINT64_C(4290445632),	// MVE_VCVTs32f32n
  927     UINT64_C(4290445888),	// MVE_VCVTs32f32p
  928     UINT64_C(4290447168),	// MVE_VCVTs32f32z
  929     UINT64_C(4289727824),	// MVE_VCVTu16f16_fix
  930     UINT64_C(4290183360),	// MVE_VCVTu16f16a
  931     UINT64_C(4290184128),	// MVE_VCVTu16f16m
  932     UINT64_C(4290183616),	// MVE_VCVTu16f16n
  933     UINT64_C(4290183872),	// MVE_VCVTu16f16p
  934     UINT64_C(4290185152),	// MVE_VCVTu16f16z
  935     UINT64_C(4288679760),	// MVE_VCVTu32f32_fix
  936     UINT64_C(4290445504),	// MVE_VCVTu32f32a
  937     UINT64_C(4290446272),	// MVE_VCVTu32f32m
  938     UINT64_C(4290445760),	// MVE_VCVTu32f32n
  939     UINT64_C(4290446016),	// MVE_VCVTu32f32p
  940     UINT64_C(4290447296),	// MVE_VCVTu32f32z
  941     UINT64_C(3994099566),	// MVE_VDDUPu16
  942     UINT64_C(3995148142),	// MVE_VDDUPu32
  943     UINT64_C(3993050990),	// MVE_VDDUPu8
  944     UINT64_C(4003466032),	// MVE_VDUP16
  945     UINT64_C(4003466000),	// MVE_VDUP32
  946     UINT64_C(4007660304),	// MVE_VDUP8
  947     UINT64_C(3994099552),	// MVE_VDWDUPu16
  948     UINT64_C(3995148128),	// MVE_VDWDUPu32
  949     UINT64_C(3993050976),	// MVE_VDWDUPu8
  950     UINT64_C(4278190416),	// MVE_VEOR
  951     UINT64_C(4264631872),	// MVE_VFMA_qr_Sf16
  952     UINT64_C(3996196416),	// MVE_VFMA_qr_Sf32
  953     UINT64_C(4264627776),	// MVE_VFMA_qr_f16
  954     UINT64_C(3996192320),	// MVE_VFMA_qr_f32
  955     UINT64_C(4010806352),	// MVE_VFMAf16
  956     UINT64_C(4009757776),	// MVE_VFMAf32
  957     UINT64_C(4012903504),	// MVE_VFMSf16
  958     UINT64_C(4011854928),	// MVE_VFMSf32
  959     UINT64_C(3994029888),	// MVE_VHADD_qr_s16
  960     UINT64_C(3995078464),	// MVE_VHADD_qr_s32
  961     UINT64_C(3992981312),	// MVE_VHADD_qr_s8
  962     UINT64_C(4262465344),	// MVE_VHADD_qr_u16
  963     UINT64_C(4263513920),	// MVE_VHADD_qr_u32
  964     UINT64_C(4261416768),	// MVE_VHADD_qr_u8
  965     UINT64_C(4010803264),	// MVE_VHADDs16
  966     UINT64_C(4011851840),	// MVE_VHADDs32
  967     UINT64_C(4009754688),	// MVE_VHADDs8
  968     UINT64_C(4279238720),	// MVE_VHADDu16
  969     UINT64_C(4280287296),	// MVE_VHADDu32
  970     UINT64_C(4278190144),	// MVE_VHADDu8
  971     UINT64_C(3994029824),	// MVE_VHCADDs16
  972     UINT64_C(3995078400),	// MVE_VHCADDs32
  973     UINT64_C(3992981248),	// MVE_VHCADDs8
  974     UINT64_C(3994033984),	// MVE_VHSUB_qr_s16
  975     UINT64_C(3995082560),	// MVE_VHSUB_qr_s32
  976     UINT64_C(3992985408),	// MVE_VHSUB_qr_s8
  977     UINT64_C(4262469440),	// MVE_VHSUB_qr_u16
  978     UINT64_C(4263518016),	// MVE_VHSUB_qr_u32
  979     UINT64_C(4261420864),	// MVE_VHSUB_qr_u8
  980     UINT64_C(4010803776),	// MVE_VHSUBs16
  981     UINT64_C(4011852352),	// MVE_VHSUBs32
  982     UINT64_C(4009755200),	// MVE_VHSUBs8
  983     UINT64_C(4279239232),	// MVE_VHSUBu16
  984     UINT64_C(4280287808),	// MVE_VHSUBu32
  985     UINT64_C(4278190656),	// MVE_VHSUBu8
  986     UINT64_C(3994095470),	// MVE_VIDUPu16
  987     UINT64_C(3995144046),	// MVE_VIDUPu32
  988     UINT64_C(3993046894),	// MVE_VIDUPu8
  989     UINT64_C(3994095456),	// MVE_VIWDUPu16
  990     UINT64_C(3995144032),	// MVE_VIWDUPu32
  991     UINT64_C(3993046880),	// MVE_VIWDUPu8
  992     UINT64_C(4237303424),	// MVE_VLD20_16
  993     UINT64_C(4239400576),	// MVE_VLD20_16_wb
  994     UINT64_C(4237303552),	// MVE_VLD20_32
  995     UINT64_C(4239400704),	// MVE_VLD20_32_wb
  996     UINT64_C(4237303296),	// MVE_VLD20_8
  997     UINT64_C(4239400448),	// MVE_VLD20_8_wb
  998     UINT64_C(4237303456),	// MVE_VLD21_16
  999     UINT64_C(4239400608),	// MVE_VLD21_16_wb
 1000     UINT64_C(4237303584),	// MVE_VLD21_32
 1001     UINT64_C(4239400736),	// MVE_VLD21_32_wb
 1002     UINT64_C(4237303328),	// MVE_VLD21_8
 1003     UINT64_C(4239400480),	// MVE_VLD21_8_wb
 1004     UINT64_C(4237303425),	// MVE_VLD40_16
 1005     UINT64_C(4239400577),	// MVE_VLD40_16_wb
 1006     UINT64_C(4237303553),	// MVE_VLD40_32
 1007     UINT64_C(4239400705),	// MVE_VLD40_32_wb
 1008     UINT64_C(4237303297),	// MVE_VLD40_8
 1009     UINT64_C(4239400449),	// MVE_VLD40_8_wb
 1010     UINT64_C(4237303457),	// MVE_VLD41_16
 1011     UINT64_C(4239400609),	// MVE_VLD41_16_wb
 1012     UINT64_C(4237303585),	// MVE_VLD41_32
 1013     UINT64_C(4239400737),	// MVE_VLD41_32_wb
 1014     UINT64_C(4237303329),	// MVE_VLD41_8
 1015     UINT64_C(4239400481),	// MVE_VLD41_8_wb
 1016     UINT64_C(4237303489),	// MVE_VLD42_16
 1017     UINT64_C(4239400641),	// MVE_VLD42_16_wb
 1018     UINT64_C(4237303617),	// MVE_VLD42_32
 1019     UINT64_C(4239400769),	// MVE_VLD42_32_wb
 1020     UINT64_C(4237303361),	// MVE_VLD42_8
 1021     UINT64_C(4239400513),	// MVE_VLD42_8_wb
 1022     UINT64_C(4237303521),	// MVE_VLD43_16
 1023     UINT64_C(4239400673),	// MVE_VLD43_16_wb
 1024     UINT64_C(4237303649),	// MVE_VLD43_32
 1025     UINT64_C(4239400801),	// MVE_VLD43_32_wb
 1026     UINT64_C(4237303393),	// MVE_VLD43_8
 1027     UINT64_C(4239400545),	// MVE_VLD43_8_wb
 1028     UINT64_C(3977252480),	// MVE_VLDRBS16
 1029     UINT64_C(3962572416),	// MVE_VLDRBS16_post
 1030     UINT64_C(3979349632),	// MVE_VLDRBS16_pre
 1031     UINT64_C(3968863872),	// MVE_VLDRBS16_rq
 1032     UINT64_C(3977252608),	// MVE_VLDRBS32
 1033     UINT64_C(3962572544),	// MVE_VLDRBS32_post
 1034     UINT64_C(3979349760),	// MVE_VLDRBS32_pre
 1035     UINT64_C(3968864000),	// MVE_VLDRBS32_rq
 1036     UINT64_C(4245687936),	// MVE_VLDRBU16
 1037     UINT64_C(4231007872),	// MVE_VLDRBU16_post
 1038     UINT64_C(4247785088),	// MVE_VLDRBU16_pre
 1039     UINT64_C(4237299328),	// MVE_VLDRBU16_rq
 1040     UINT64_C(4245688064),	// MVE_VLDRBU32
 1041     UINT64_C(4231008000),	// MVE_VLDRBU32_post
 1042     UINT64_C(4247785216),	// MVE_VLDRBU32_pre
 1043     UINT64_C(4237299456),	// MVE_VLDRBU32_rq
 1044     UINT64_C(3977256448),	// MVE_VLDRBU8
 1045     UINT64_C(3962576384),	// MVE_VLDRBU8_post
 1046     UINT64_C(3979353600),	// MVE_VLDRBU8_pre
 1047     UINT64_C(4237299200),	// MVE_VLDRBU8_rq
 1048     UINT64_C(4245692160),	// MVE_VLDRDU64_qi
 1049     UINT64_C(4247789312),	// MVE_VLDRDU64_qi_pre
 1050     UINT64_C(4237299665),	// MVE_VLDRDU64_rq
 1051     UINT64_C(4237299664),	// MVE_VLDRDU64_rq_u
 1052     UINT64_C(3977776896),	// MVE_VLDRHS32
 1053     UINT64_C(3963096832),	// MVE_VLDRHS32_post
 1054     UINT64_C(3979874048),	// MVE_VLDRHS32_pre
 1055     UINT64_C(3968864017),	// MVE_VLDRHS32_rq
 1056     UINT64_C(3968864016),	// MVE_VLDRHS32_rq_u
 1057     UINT64_C(3977256576),	// MVE_VLDRHU16
 1058     UINT64_C(3962576512),	// MVE_VLDRHU16_post
 1059     UINT64_C(3979353728),	// MVE_VLDRHU16_pre
 1060     UINT64_C(4237299345),	// MVE_VLDRHU16_rq
 1061     UINT64_C(4237299344),	// MVE_VLDRHU16_rq_u
 1062     UINT64_C(4246212352),	// MVE_VLDRHU32
 1063     UINT64_C(4231532288),	// MVE_VLDRHU32_post
 1064     UINT64_C(4248309504),	// MVE_VLDRHU32_pre
 1065     UINT64_C(4237299473),	// MVE_VLDRHU32_rq
 1066     UINT64_C(4237299472),	// MVE_VLDRHU32_rq_u
 1067     UINT64_C(3977256704),	// MVE_VLDRWU32
 1068     UINT64_C(3962576640),	// MVE_VLDRWU32_post
 1069     UINT64_C(3979353856),	// MVE_VLDRWU32_pre
 1070     UINT64_C(4245691904),	// MVE_VLDRWU32_qi
 1071     UINT64_C(4247789056),	// MVE_VLDRWU32_qi_pre
 1072     UINT64_C(4237299521),	// MVE_VLDRWU32_rq
 1073     UINT64_C(4237299520),	// MVE_VLDRWU32_rq_u
 1074     UINT64_C(4007923456),	// MVE_VMAXAVs16
 1075     UINT64_C(4008185600),	// MVE_VMAXAVs32
 1076     UINT64_C(4007661312),	// MVE_VMAXAVs8
 1077     UINT64_C(3996585601),	// MVE_VMAXAs16
 1078     UINT64_C(3996847745),	// MVE_VMAXAs32
 1079     UINT64_C(3996323457),	// MVE_VMAXAs8
 1080     UINT64_C(4276883200),	// MVE_VMAXNMAVf16
 1081     UINT64_C(4008447744),	// MVE_VMAXNMAVf32
 1082     UINT64_C(4265545345),	// MVE_VMAXNMAf16
 1083     UINT64_C(3997109889),	// MVE_VMAXNMAf32
 1084     UINT64_C(4277014272),	// MVE_VMAXNMVf16
 1085     UINT64_C(4008578816),	// MVE_VMAXNMVf32
 1086     UINT64_C(4279242576),	// MVE_VMAXNMf16
 1087     UINT64_C(4278194000),	// MVE_VMAXNMf32
 1088     UINT64_C(4008054528),	// MVE_VMAXVs16
 1089     UINT64_C(4008316672),	// MVE_VMAXVs32
 1090     UINT64_C(4007792384),	// MVE_VMAXVs8
 1091     UINT64_C(4276489984),	// MVE_VMAXVu16
 1092     UINT64_C(4276752128),	// MVE_VMAXVu32
 1093     UINT64_C(4276227840),	// MVE_VMAXVu8
 1094     UINT64_C(4010804800),	// MVE_VMAXs16
 1095     UINT64_C(4011853376),	// MVE_VMAXs32
 1096     UINT64_C(4009756224),	// MVE_VMAXs8
 1097     UINT64_C(4279240256),	// MVE_VMAXu16
 1098     UINT64_C(4280288832),	// MVE_VMAXu32
 1099     UINT64_C(4278191680),	// MVE_VMAXu8
 1100     UINT64_C(4007923584),	// MVE_VMINAVs16
 1101     UINT64_C(4008185728),	// MVE_VMINAVs32
 1102     UINT64_C(4007661440),	// MVE_VMINAVs8
 1103     UINT64_C(3996589697),	// MVE_VMINAs16
 1104     UINT64_C(3996851841),	// MVE_VMINAs32
 1105     UINT64_C(3996327553),	// MVE_VMINAs8
 1106     UINT64_C(4276883328),	// MVE_VMINNMAVf16
 1107     UINT64_C(4008447872),	// MVE_VMINNMAVf32
 1108     UINT64_C(4265549441),	// MVE_VMINNMAf16
 1109     UINT64_C(3997113985),	// MVE_VMINNMAf32
 1110     UINT64_C(4277014400),	// MVE_VMINNMVf16
 1111     UINT64_C(4008578944),	// MVE_VMINNMVf32
 1112     UINT64_C(4281339728),	// MVE_VMINNMf16
 1113     UINT64_C(4280291152),	// MVE_VMINNMf32
 1114     UINT64_C(4008054656),	// MVE_VMINVs16
 1115     UINT64_C(4008316800),	// MVE_VMINVs32
 1116     UINT64_C(4007792512),	// MVE_VMINVs8
 1117     UINT64_C(4276490112),	// MVE_VMINVu16
 1118     UINT64_C(4276752256),	// MVE_VMINVu32
 1119     UINT64_C(4276227968),	// MVE_VMINVu8
 1120     UINT64_C(4010804816),	// MVE_VMINs16
 1121     UINT64_C(4011853392),	// MVE_VMINs32
 1122     UINT64_C(4009756240),	// MVE_VMINs8
 1123     UINT64_C(4279240272),	// MVE_VMINu16
 1124     UINT64_C(4280288848),	// MVE_VMINu32
 1125     UINT64_C(4278191696),	// MVE_VMINu8
 1126     UINT64_C(4008709664),	// MVE_VMLADAVas16
 1127     UINT64_C(4008775200),	// MVE_VMLADAVas32
 1128     UINT64_C(4008709920),	// MVE_VMLADAVas8
 1129     UINT64_C(4277145120),	// MVE_VMLADAVau16
 1130     UINT64_C(4277210656),	// MVE_VMLADAVau32
 1131     UINT64_C(4277145376),	// MVE_VMLADAVau8
 1132     UINT64_C(4008713760),	// MVE_VMLADAVaxs16
 1133     UINT64_C(4008779296),	// MVE_VMLADAVaxs32
 1134     UINT64_C(4008714016),	// MVE_VMLADAVaxs8
 1135     UINT64_C(4008709632),	// MVE_VMLADAVs16
 1136     UINT64_C(4008775168),	// MVE_VMLADAVs32
 1137     UINT64_C(4008709888),	// MVE_VMLADAVs8
 1138     UINT64_C(4277145088),	// MVE_VMLADAVu16
 1139     UINT64_C(4277210624),	// MVE_VMLADAVu32
 1140     UINT64_C(4277145344),	// MVE_VMLADAVu8
 1141     UINT64_C(4008713728),	// MVE_VMLADAVxs16
 1142     UINT64_C(4008779264),	// MVE_VMLADAVxs32
 1143     UINT64_C(4008713984),	// MVE_VMLADAVxs8
 1144     UINT64_C(4001369632),	// MVE_VMLALDAVas16
 1145     UINT64_C(4001435168),	// MVE_VMLALDAVas32
 1146     UINT64_C(4269805088),	// MVE_VMLALDAVau16
 1147     UINT64_C(4269870624),	// MVE_VMLALDAVau32
 1148     UINT64_C(4001373728),	// MVE_VMLALDAVaxs16
 1149     UINT64_C(4001439264),	// MVE_VMLALDAVaxs32
 1150     UINT64_C(4001369600),	// MVE_VMLALDAVs16
 1151     UINT64_C(4001435136),	// MVE_VMLALDAVs32
 1152     UINT64_C(4269805056),	// MVE_VMLALDAVu16
 1153     UINT64_C(4269870592),	// MVE_VMLALDAVu32
 1154     UINT64_C(4001373696),	// MVE_VMLALDAVxs16
 1155     UINT64_C(4001439232),	// MVE_VMLALDAVxs32
 1156     UINT64_C(3994099264),	// MVE_VMLAS_qr_s16
 1157     UINT64_C(3995147840),	// MVE_VMLAS_qr_s32
 1158     UINT64_C(3993050688),	// MVE_VMLAS_qr_s8
 1159     UINT64_C(4262534720),	// MVE_VMLAS_qr_u16
 1160     UINT64_C(4263583296),	// MVE_VMLAS_qr_u32
 1161     UINT64_C(4261486144),	// MVE_VMLAS_qr_u8
 1162     UINT64_C(3994095168),	// MVE_VMLA_qr_s16
 1163     UINT64_C(3995143744),	// MVE_VMLA_qr_s32
 1164     UINT64_C(3993046592),	// MVE_VMLA_qr_s8
 1165     UINT64_C(4262530624),	// MVE_VMLA_qr_u16
 1166     UINT64_C(4263579200),	// MVE_VMLA_qr_u32
 1167     UINT64_C(4261482048),	// MVE_VMLA_qr_u8
 1168     UINT64_C(4008709665),	// MVE_VMLSDAVas16
 1169     UINT64_C(4008775201),	// MVE_VMLSDAVas32
 1170     UINT64_C(4277145121),	// MVE_VMLSDAVas8
 1171     UINT64_C(4008713761),	// MVE_VMLSDAVaxs16
 1172     UINT64_C(4008779297),	// MVE_VMLSDAVaxs32
 1173     UINT64_C(4277149217),	// MVE_VMLSDAVaxs8
 1174     UINT64_C(4008709633),	// MVE_VMLSDAVs16
 1175     UINT64_C(4008775169),	// MVE_VMLSDAVs32
 1176     UINT64_C(4277145089),	// MVE_VMLSDAVs8
 1177     UINT64_C(4008713729),	// MVE_VMLSDAVxs16
 1178     UINT64_C(4008779265),	// MVE_VMLSDAVxs32
 1179     UINT64_C(4277149185),	// MVE_VMLSDAVxs8
 1180     UINT64_C(4001369633),	// MVE_VMLSLDAVas16
 1181     UINT64_C(4001435169),	// MVE_VMLSLDAVas32
 1182     UINT64_C(4001373729),	// MVE_VMLSLDAVaxs16
 1183     UINT64_C(4001439265),	// MVE_VMLSLDAVaxs32
 1184     UINT64_C(4001369601),	// MVE_VMLSLDAVs16
 1185     UINT64_C(4001435137),	// MVE_VMLSLDAVs32
 1186     UINT64_C(4001373697),	// MVE_VMLSLDAVxs16
 1187     UINT64_C(4001439233),	// MVE_VMLSLDAVxs32
 1188     UINT64_C(4004515648),	// MVE_VMOVLs16bh
 1189     UINT64_C(4004519744),	// MVE_VMOVLs16th
 1190     UINT64_C(4003991360),	// MVE_VMOVLs8bh
 1191     UINT64_C(4003995456),	// MVE_VMOVLs8th
 1192     UINT64_C(4272951104),	// MVE_VMOVLu16bh
 1193     UINT64_C(4272955200),	// MVE_VMOVLu16th
 1194     UINT64_C(4272426816),	// MVE_VMOVLu8bh
 1195     UINT64_C(4272430912),	// MVE_VMOVLu8th
 1196     UINT64_C(4264627841),	// MVE_VMOVNi16bh
 1197     UINT64_C(4264631937),	// MVE_VMOVNi16th
 1198     UINT64_C(4264889985),	// MVE_VMOVNi32bh
 1199     UINT64_C(4264894081),	// MVE_VMOVNi32th
 1200     UINT64_C(3994028816),	// MVE_VMOV_from_lane_32
 1201     UINT64_C(3994028848),	// MVE_VMOV_from_lane_s16
 1202     UINT64_C(3998223120),	// MVE_VMOV_from_lane_s8
 1203     UINT64_C(4002417456),	// MVE_VMOV_from_lane_u16
 1204     UINT64_C(4006611728),	// MVE_VMOV_from_lane_u8
 1205     UINT64_C(3960475392),	// MVE_VMOV_q_rr
 1206     UINT64_C(3959426816),	// MVE_VMOV_rr_q
 1207     UINT64_C(3992980272),	// MVE_VMOV_to_lane_16
 1208     UINT64_C(3992980240),	// MVE_VMOV_to_lane_32
 1209     UINT64_C(3997174544),	// MVE_VMOV_to_lane_8
 1210     UINT64_C(4018147152),	// MVE_VMOVimmf32
 1211     UINT64_C(4018145360),	// MVE_VMOVimmi16
 1212     UINT64_C(4018143312),	// MVE_VMOVimmi32
 1213     UINT64_C(4018146928),	// MVE_VMOVimmi64
 1214     UINT64_C(4018146896),	// MVE_VMOVimmi8
 1215     UINT64_C(3994095105),	// MVE_VMULHs16
 1216     UINT64_C(3995143681),	// MVE_VMULHs32
 1217     UINT64_C(3993046529),	// MVE_VMULHs8
 1218     UINT64_C(4262530561),	// MVE_VMULHu16
 1219     UINT64_C(4263579137),	// MVE_VMULHu32
 1220     UINT64_C(4261481985),	// MVE_VMULHu8
 1221     UINT64_C(4264627712),	// MVE_VMULLp16bh
 1222     UINT64_C(4264631808),	// MVE_VMULLp16th
 1223     UINT64_C(3996192256),	// MVE_VMULLp8bh
 1224     UINT64_C(3996196352),	// MVE_VMULLp8th
 1225     UINT64_C(3994095104),	// MVE_VMULLs16bh
 1226     UINT64_C(3994099200),	// MVE_VMULLs16th
 1227     UINT64_C(3995143680),	// MVE_VMULLs32bh
 1228     UINT64_C(3995147776),	// MVE_VMULLs32th
 1229     UINT64_C(3993046528),	// MVE_VMULLs8bh
 1230     UINT64_C(3993050624),	// MVE_VMULLs8th
 1231     UINT64_C(4262530560),	// MVE_VMULLu16bh
 1232     UINT64_C(4262534656),	// MVE_VMULLu16th
 1233     UINT64_C(4263579136),	// MVE_VMULLu32bh
 1234     UINT64_C(4263583232),	// MVE_VMULLu32th
 1235     UINT64_C(4261481984),	// MVE_VMULLu8bh
 1236     UINT64_C(4261486080),	// MVE_VMULLu8th
 1237     UINT64_C(4264627808),	// MVE_VMUL_qr_f16
 1238     UINT64_C(3996192352),	// MVE_VMUL_qr_f32
 1239     UINT64_C(3994099296),	// MVE_VMUL_qr_i16
 1240     UINT64_C(3995147872),	// MVE_VMUL_qr_i32
 1241     UINT64_C(3993050720),	// MVE_VMUL_qr_i8
 1242     UINT64_C(4279242064),	// MVE_VMULf16
 1243     UINT64_C(4278193488),	// MVE_VMULf32
 1244     UINT64_C(4010805584),	// MVE_VMULt1i16
 1245     UINT64_C(4011854160),	// MVE_VMULt1i32
 1246     UINT64_C(4009757008),	// MVE_VMULt1i8
 1247     UINT64_C(4289725888),	// MVE_VMVN
 1248     UINT64_C(4018145392),	// MVE_VMVNimmi16
 1249     UINT64_C(4018143344),	// MVE_VMVNimmi32
 1250     UINT64_C(4290054080),	// MVE_VNEGf16
 1251     UINT64_C(4290316224),	// MVE_VNEGf32
 1252     UINT64_C(4290053056),	// MVE_VNEGs16
 1253     UINT64_C(4290315200),	// MVE_VNEGs32
 1254     UINT64_C(4289790912),	// MVE_VNEGs8
 1255     UINT64_C(4012900688),	// MVE_VORN
 1256     UINT64_C(4011852112),	// MVE_VORR
 1257     UINT64_C(4018143568),	// MVE_VORRIZ0v4i32
 1258     UINT64_C(4018145616),	// MVE_VORRIZ0v8i16
 1259     UINT64_C(4018144592),	// MVE_VORRIZ16v4i32
 1260     UINT64_C(4018145104),	// MVE_VORRIZ24v4i32
 1261     UINT64_C(4018144080),	// MVE_VORRIZ8v4i32
 1262     UINT64_C(4018146128),	// MVE_VORRIZ8v8i16
 1263     UINT64_C(4264628045),	// MVE_VPNOT
 1264     UINT64_C(4264627969),	// MVE_VPSEL
 1265     UINT64_C(4264628045),	// MVE_VPST
 1266     UINT64_C(4261482240),	// MVE_VPTv16i8
 1267     UINT64_C(4261482304),	// MVE_VPTv16i8r
 1268     UINT64_C(4261486336),	// MVE_VPTv16s8
 1269     UINT64_C(4261486400),	// MVE_VPTv16s8r
 1270     UINT64_C(4261482241),	// MVE_VPTv16u8
 1271     UINT64_C(4261482336),	// MVE_VPTv16u8r
 1272     UINT64_C(3996192512),	// MVE_VPTv4f32
 1273     UINT64_C(3996192576),	// MVE_VPTv4f32r
 1274     UINT64_C(4263579392),	// MVE_VPTv4i32
 1275     UINT64_C(4263579456),	// MVE_VPTv4i32r
 1276     UINT64_C(4263583488),	// MVE_VPTv4s32
 1277     UINT64_C(4263583552),	// MVE_VPTv4s32r
 1278     UINT64_C(4263579393),	// MVE_VPTv4u32
 1279     UINT64_C(4263579488),	// MVE_VPTv4u32r
 1280     UINT64_C(4264627968),	// MVE_VPTv8f16
 1281     UINT64_C(4264628032),	// MVE_VPTv8f16r
 1282     UINT64_C(4262530816),	// MVE_VPTv8i16
 1283     UINT64_C(4262530880),	// MVE_VPTv8i16r
 1284     UINT64_C(4262534912),	// MVE_VPTv8s16
 1285     UINT64_C(4262534976),	// MVE_VPTv8s16r
 1286     UINT64_C(4262530817),	// MVE_VPTv8u16
 1287     UINT64_C(4262530912),	// MVE_VPTv8u16r
 1288     UINT64_C(4289988416),	// MVE_VQABSs16
 1289     UINT64_C(4290250560),	// MVE_VQABSs32
 1290     UINT64_C(4289726272),	// MVE_VQABSs8
 1291     UINT64_C(3994029920),	// MVE_VQADD_qr_s16
 1292     UINT64_C(3995078496),	// MVE_VQADD_qr_s32
 1293     UINT64_C(3992981344),	// MVE_VQADD_qr_s8
 1294     UINT64_C(4262465376),	// MVE_VQADD_qr_u16
 1295     UINT64_C(4263513952),	// MVE_VQADD_qr_u32
 1296     UINT64_C(4261416800),	// MVE_VQADD_qr_u8
 1297     UINT64_C(4010803280),	// MVE_VQADDs16
 1298     UINT64_C(4011851856),	// MVE_VQADDs32
 1299     UINT64_C(4009754704),	// MVE_VQADDs8
 1300     UINT64_C(4279238736),	// MVE_VQADDu16
 1301     UINT64_C(4280287312),	// MVE_VQADDu32
 1302     UINT64_C(4278190160),	// MVE_VQADDu8
 1303     UINT64_C(3994033664),	// MVE_VQDMLADHXs16
 1304     UINT64_C(3995082240),	// MVE_VQDMLADHXs32
 1305     UINT64_C(3992985088),	// MVE_VQDMLADHXs8
 1306     UINT64_C(3994029568),	// MVE_VQDMLADHs16
 1307     UINT64_C(3995078144),	// MVE_VQDMLADHs32
 1308     UINT64_C(3992980992),	// MVE_VQDMLADHs8
 1309     UINT64_C(3994029664),	// MVE_VQDMLAH_qrs16
 1310     UINT64_C(3995078240),	// MVE_VQDMLAH_qrs32
 1311     UINT64_C(3992981088),	// MVE_VQDMLAH_qrs8
 1312     UINT64_C(3994033760),	// MVE_VQDMLASH_qrs16
 1313     UINT64_C(3995082336),	// MVE_VQDMLASH_qrs32
 1314     UINT64_C(3992985184),	// MVE_VQDMLASH_qrs8
 1315     UINT64_C(4262469120),	// MVE_VQDMLSDHXs16
 1316     UINT64_C(4263517696),	// MVE_VQDMLSDHXs32
 1317     UINT64_C(4261420544),	// MVE_VQDMLSDHXs8
 1318     UINT64_C(4262465024),	// MVE_VQDMLSDHs16
 1319     UINT64_C(4263513600),	// MVE_VQDMLSDHs32
 1320     UINT64_C(4261416448),	// MVE_VQDMLSDHs8
 1321     UINT64_C(3994095200),	// MVE_VQDMULH_qr_s16
 1322     UINT64_C(3995143776),	// MVE_VQDMULH_qr_s32
 1323     UINT64_C(3993046624),	// MVE_VQDMULH_qr_s8
 1324     UINT64_C(4010806080),	// MVE_VQDMULHi16
 1325     UINT64_C(4011854656),	// MVE_VQDMULHi32
 1326     UINT64_C(4009757504),	// MVE_VQDMULHi8
 1327     UINT64_C(3996127072),	// MVE_VQDMULL_qr_s16bh
 1328     UINT64_C(3996131168),	// MVE_VQDMULL_qr_s16th
 1329     UINT64_C(4264562528),	// MVE_VQDMULL_qr_s32bh
 1330     UINT64_C(4264566624),	// MVE_VQDMULL_qr_s32th
 1331     UINT64_C(3996126977),	// MVE_VQDMULLs16bh
 1332     UINT64_C(3996131073),	// MVE_VQDMULLs16th
 1333     UINT64_C(4264562433),	// MVE_VQDMULLs32bh
 1334     UINT64_C(4264566529),	// MVE_VQDMULLs32th
 1335     UINT64_C(3996323329),	// MVE_VQMOVNs16bh
 1336     UINT64_C(3996327425),	// MVE_VQMOVNs16th
 1337     UINT64_C(3996585473),	// MVE_VQMOVNs32bh
 1338     UINT64_C(3996589569),	// MVE_VQMOVNs32th
 1339     UINT64_C(4264758785),	// MVE_VQMOVNu16bh
 1340     UINT64_C(4264762881),	// MVE_VQMOVNu16th
 1341     UINT64_C(4265020929),	// MVE_VQMOVNu32bh
 1342     UINT64_C(4265025025),	// MVE_VQMOVNu32th
 1343     UINT64_C(3996192385),	// MVE_VQMOVUNs16bh
 1344     UINT64_C(3996196481),	// MVE_VQMOVUNs16th
 1345     UINT64_C(3996454529),	// MVE_VQMOVUNs32bh
 1346     UINT64_C(3996458625),	// MVE_VQMOVUNs32th
 1347     UINT64_C(4289988544),	// MVE_VQNEGs16
 1348     UINT64_C(4290250688),	// MVE_VQNEGs32
 1349     UINT64_C(4289726400),	// MVE_VQNEGs8
 1350     UINT64_C(3994033665),	// MVE_VQRDMLADHXs16
 1351     UINT64_C(3995082241),	// MVE_VQRDMLADHXs32
 1352     UINT64_C(3992985089),	// MVE_VQRDMLADHXs8
 1353     UINT64_C(3994029569),	// MVE_VQRDMLADHs16
 1354     UINT64_C(3995078145),	// MVE_VQRDMLADHs32
 1355     UINT64_C(3992980993),	// MVE_VQRDMLADHs8
 1356     UINT64_C(3994029632),	// MVE_VQRDMLAH_qrs16
 1357     UINT64_C(3995078208),	// MVE_VQRDMLAH_qrs32
 1358     UINT64_C(3992981056),	// MVE_VQRDMLAH_qrs8
 1359     UINT64_C(3994033728),	// MVE_VQRDMLASH_qrs16
 1360     UINT64_C(3995082304),	// MVE_VQRDMLASH_qrs32
 1361     UINT64_C(3992985152),	// MVE_VQRDMLASH_qrs8
 1362     UINT64_C(4262469121),	// MVE_VQRDMLSDHXs16
 1363     UINT64_C(4263517697),	// MVE_VQRDMLSDHXs32
 1364     UINT64_C(4261420545),	// MVE_VQRDMLSDHXs8
 1365     UINT64_C(4262465025),	// MVE_VQRDMLSDHs16
 1366     UINT64_C(4263513601),	// MVE_VQRDMLSDHs32
 1367     UINT64_C(4261416449),	// MVE_VQRDMLSDHs8
 1368     UINT64_C(4262530656),	// MVE_VQRDMULH_qr_s16
 1369     UINT64_C(4263579232),	// MVE_VQRDMULH_qr_s32
 1370     UINT64_C(4261482080),	// MVE_VQRDMULH_qr_s8
 1371     UINT64_C(4279241536),	// MVE_VQRDMULHi16
 1372     UINT64_C(4280290112),	// MVE_VQRDMULHi32
 1373     UINT64_C(4278192960),	// MVE_VQRDMULHi8
 1374     UINT64_C(4010804560),	// MVE_VQRSHL_by_vecs16
 1375     UINT64_C(4011853136),	// MVE_VQRSHL_by_vecs32
 1376     UINT64_C(4009755984),	// MVE_VQRSHL_by_vecs8
 1377     UINT64_C(4279240016),	// MVE_VQRSHL_by_vecu16
 1378     UINT64_C(4280288592),	// MVE_VQRSHL_by_vecu32
 1379     UINT64_C(4278191440),	// MVE_VQRSHL_by_vecu8
 1380     UINT64_C(3996589792),	// MVE_VQRSHL_qrs16
 1381     UINT64_C(3996851936),	// MVE_VQRSHL_qrs32
 1382     UINT64_C(3996327648),	// MVE_VQRSHL_qrs8
 1383     UINT64_C(4265025248),	// MVE_VQRSHL_qru16
 1384     UINT64_C(4265287392),	// MVE_VQRSHL_qru32
 1385     UINT64_C(4264763104),	// MVE_VQRSHL_qru8
 1386     UINT64_C(4001894209),	// MVE_VQRSHRNbhs16
 1387     UINT64_C(4002418497),	// MVE_VQRSHRNbhs32
 1388     UINT64_C(4270329665),	// MVE_VQRSHRNbhu16
 1389     UINT64_C(4270853953),	// MVE_VQRSHRNbhu32
 1390     UINT64_C(4001898305),	// MVE_VQRSHRNths16
 1391     UINT64_C(4002422593),	// MVE_VQRSHRNths32
 1392     UINT64_C(4270333761),	// MVE_VQRSHRNthu16
 1393     UINT64_C(4270858049),	// MVE_VQRSHRNthu32
 1394     UINT64_C(4270329792),	// MVE_VQRSHRUNs16bh
 1395     UINT64_C(4270333888),	// MVE_VQRSHRUNs16th
 1396     UINT64_C(4270854080),	// MVE_VQRSHRUNs32bh
 1397     UINT64_C(4270858176),	// MVE_VQRSHRUNs32th
 1398     UINT64_C(4287628880),	// MVE_VQSHLU_imms16
 1399     UINT64_C(4288677456),	// MVE_VQSHLU_imms32
 1400     UINT64_C(4287104592),	// MVE_VQSHLU_imms8
 1401     UINT64_C(4010804304),	// MVE_VQSHL_by_vecs16
 1402     UINT64_C(4011852880),	// MVE_VQSHL_by_vecs32
 1403     UINT64_C(4009755728),	// MVE_VQSHL_by_vecs8
 1404     UINT64_C(4279239760),	// MVE_VQSHL_by_vecu16
 1405     UINT64_C(4280288336),	// MVE_VQSHL_by_vecu32
 1406     UINT64_C(4278191184),	// MVE_VQSHL_by_vecu8
 1407     UINT64_C(3996458720),	// MVE_VQSHL_qrs16
 1408     UINT64_C(3996720864),	// MVE_VQSHL_qrs32
 1409     UINT64_C(3996196576),	// MVE_VQSHL_qrs8
 1410     UINT64_C(4264894176),	// MVE_VQSHL_qru16
 1411     UINT64_C(4265156320),	// MVE_VQSHL_qru32
 1412     UINT64_C(4264632032),	// MVE_VQSHL_qru8
 1413     UINT64_C(4001894208),	// MVE_VQSHRNbhs16
 1414     UINT64_C(4002418496),	// MVE_VQSHRNbhs32
 1415     UINT64_C(4270329664),	// MVE_VQSHRNbhu16
 1416     UINT64_C(4270853952),	// MVE_VQSHRNbhu32
 1417     UINT64_C(4001898304),	// MVE_VQSHRNths16
 1418     UINT64_C(4002422592),	// MVE_VQSHRNths32
 1419     UINT64_C(4270333760),	// MVE_VQSHRNthu16
 1420     UINT64_C(4270858048),	// MVE_VQSHRNthu32
 1421     UINT64_C(4001894336),	// MVE_VQSHRUNs16bh
 1422     UINT64_C(4001898432),	// MVE_VQSHRUNs16th
 1423     UINT64_C(4002418624),	// MVE_VQSHRUNs32bh
 1424     UINT64_C(4002422720),	// MVE_VQSHRUNs32th
 1425     UINT64_C(3994034016),	// MVE_VQSUB_qr_s16
 1426     UINT64_C(3995082592),	// MVE_VQSUB_qr_s32
 1427     UINT64_C(3992985440),	// MVE_VQSUB_qr_s8
 1428     UINT64_C(4262469472),	// MVE_VQSUB_qr_u16
 1429     UINT64_C(4263518048),	// MVE_VQSUB_qr_u32
 1430     UINT64_C(4261420896),	// MVE_VQSUB_qr_u8
 1431     UINT64_C(4010803792),	// MVE_VQSUBs16
 1432     UINT64_C(4011852368),	// MVE_VQSUBs32
 1433     UINT64_C(4009755216),	// MVE_VQSUBs8
 1434     UINT64_C(4279239248),	// MVE_VQSUBu16
 1435     UINT64_C(4280287824),	// MVE_VQSUBu32
 1436     UINT64_C(4278190672),	// MVE_VQSUBu8
 1437     UINT64_C(4289724736),	// MVE_VREV16_8
 1438     UINT64_C(4289986752),	// MVE_VREV32_16
 1439     UINT64_C(4289724608),	// MVE_VREV32_8
 1440     UINT64_C(4289986624),	// MVE_VREV64_16
 1441     UINT64_C(4290248768),	// MVE_VREV64_32
 1442     UINT64_C(4289724480),	// MVE_VREV64_8
 1443     UINT64_C(4010803520),	// MVE_VRHADDs16
 1444     UINT64_C(4011852096),	// MVE_VRHADDs32
 1445     UINT64_C(4009754944),	// MVE_VRHADDs8
 1446     UINT64_C(4279238976),	// MVE_VRHADDu16
 1447     UINT64_C(4280287552),	// MVE_VRHADDu32
 1448     UINT64_C(4278190400),	// MVE_VRHADDu8
 1449     UINT64_C(4290118976),	// MVE_VRINTf16A
 1450     UINT64_C(4290119360),	// MVE_VRINTf16M
 1451     UINT64_C(4290118720),	// MVE_VRINTf16N
 1452     UINT64_C(4290119616),	// MVE_VRINTf16P
 1453     UINT64_C(4290118848),	// MVE_VRINTf16X
 1454     UINT64_C(4290119104),	// MVE_VRINTf16Z
 1455     UINT64_C(4290381120),	// MVE_VRINTf32A
 1456     UINT64_C(4290381504),	// MVE_VRINTf32M
 1457     UINT64_C(4290380864),	// MVE_VRINTf32N
 1458     UINT64_C(4290381760),	// MVE_VRINTf32P
 1459     UINT64_C(4290380992),	// MVE_VRINTf32X
 1460     UINT64_C(4290381248),	// MVE_VRINTf32Z
 1461     UINT64_C(4001369888),	// MVE_VRMLALDAVHas32
 1462     UINT64_C(4269805344),	// MVE_VRMLALDAVHau32
 1463     UINT64_C(4001373984),	// MVE_VRMLALDAVHaxs32
 1464     UINT64_C(4001369856),	// MVE_VRMLALDAVHs32
 1465     UINT64_C(4269805312),	// MVE_VRMLALDAVHu32
 1466     UINT64_C(4001373952),	// MVE_VRMLALDAVHxs32
 1467     UINT64_C(4269805089),	// MVE_VRMLSLDAVHas32
 1468     UINT64_C(4269809185),	// MVE_VRMLSLDAVHaxs32
 1469     UINT64_C(4269805057),	// MVE_VRMLSLDAVHs32
 1470     UINT64_C(4269809153),	// MVE_VRMLSLDAVHxs32
 1471     UINT64_C(3994099201),	// MVE_VRMULHs16
 1472     UINT64_C(3995147777),	// MVE_VRMULHs32
 1473     UINT64_C(3993050625),	// MVE_VRMULHs8
 1474     UINT64_C(4262534657),	// MVE_VRMULHu16
 1475     UINT64_C(4263583233),	// MVE_VRMULHu32
 1476     UINT64_C(4261486081),	// MVE_VRMULHu8
 1477     UINT64_C(4010804544),	// MVE_VRSHL_by_vecs16
 1478     UINT64_C(4011853120),	// MVE_VRSHL_by_vecs32
 1479     UINT64_C(4009755968),	// MVE_VRSHL_by_vecs8
 1480     UINT64_C(4279240000),	// MVE_VRSHL_by_vecu16
 1481     UINT64_C(4280288576),	// MVE_VRSHL_by_vecu32
 1482     UINT64_C(4278191424),	// MVE_VRSHL_by_vecu8
 1483     UINT64_C(3996589664),	// MVE_VRSHL_qrs16
 1484     UINT64_C(3996851808),	// MVE_VRSHL_qrs32
 1485     UINT64_C(3996327520),	// MVE_VRSHL_qrs8
 1486     UINT64_C(4265025120),	// MVE_VRSHL_qru16
 1487     UINT64_C(4265287264),	// MVE_VRSHL_qru32
 1488     UINT64_C(4264762976),	// MVE_VRSHL_qru8
 1489     UINT64_C(4270329793),	// MVE_VRSHRNi16bh
 1490     UINT64_C(4270333889),	// MVE_VRSHRNi16th
 1491     UINT64_C(4270854081),	// MVE_VRSHRNi32bh
 1492     UINT64_C(4270858177),	// MVE_VRSHRNi32th
 1493     UINT64_C(4019192400),	// MVE_VRSHR_imms16
 1494     UINT64_C(4020240976),	// MVE_VRSHR_imms32
 1495     UINT64_C(4018668112),	// MVE_VRSHR_imms8
 1496     UINT64_C(4287627856),	// MVE_VRSHR_immu16
 1497     UINT64_C(4288676432),	// MVE_VRSHR_immu32
 1498     UINT64_C(4287103568),	// MVE_VRSHR_immu8
 1499     UINT64_C(4264562432),	// MVE_VSBC
 1500     UINT64_C(4264566528),	// MVE_VSBCI
 1501     UINT64_C(4003467200),	// MVE_VSHLC
 1502     UINT64_C(4004515648),	// MVE_VSHLL_imms16bh
 1503     UINT64_C(4004519744),	// MVE_VSHLL_imms16th
 1504     UINT64_C(4003991360),	// MVE_VSHLL_imms8bh
 1505     UINT64_C(4003995456),	// MVE_VSHLL_imms8th
 1506     UINT64_C(4272951104),	// MVE_VSHLL_immu16bh
 1507     UINT64_C(4272955200),	// MVE_VSHLL_immu16th
 1508     UINT64_C(4272426816),	// MVE_VSHLL_immu8bh
 1509     UINT64_C(4272430912),	// MVE_VSHLL_immu8th
 1510     UINT64_C(3996454401),	// MVE_VSHLL_lws16bh
 1511     UINT64_C(3996458497),	// MVE_VSHLL_lws16th
 1512     UINT64_C(3996192257),	// MVE_VSHLL_lws8bh
 1513     UINT64_C(3996196353),	// MVE_VSHLL_lws8th
 1514     UINT64_C(4264889857),	// MVE_VSHLL_lwu16bh
 1515     UINT64_C(4264893953),	// MVE_VSHLL_lwu16th
 1516     UINT64_C(4264627713),	// MVE_VSHLL_lwu8bh
 1517     UINT64_C(4264631809),	// MVE_VSHLL_lwu8th
 1518     UINT64_C(4010804288),	// MVE_VSHL_by_vecs16
 1519     UINT64_C(4011852864),	// MVE_VSHL_by_vecs32
 1520     UINT64_C(4009755712),	// MVE_VSHL_by_vecs8
 1521     UINT64_C(4279239744),	// MVE_VSHL_by_vecu16
 1522     UINT64_C(4280288320),	// MVE_VSHL_by_vecu32
 1523     UINT64_C(4278191168),	// MVE_VSHL_by_vecu8
 1524     UINT64_C(4019193168),	// MVE_VSHL_immi16
 1525     UINT64_C(4020241744),	// MVE_VSHL_immi32
 1526     UINT64_C(4018668880),	// MVE_VSHL_immi8
 1527     UINT64_C(3996458592),	// MVE_VSHL_qrs16
 1528     UINT64_C(3996720736),	// MVE_VSHL_qrs32
 1529     UINT64_C(3996196448),	// MVE_VSHL_qrs8
 1530     UINT64_C(4264894048),	// MVE_VSHL_qru16
 1531     UINT64_C(4265156192),	// MVE_VSHL_qru32
 1532     UINT64_C(4264631904),	// MVE_VSHL_qru8
 1533     UINT64_C(4001894337),	// MVE_VSHRNi16bh
 1534     UINT64_C(4001898433),	// MVE_VSHRNi16th
 1535     UINT64_C(4002418625),	// MVE_VSHRNi32bh
 1536     UINT64_C(4002422721),	// MVE_VSHRNi32th
 1537     UINT64_C(4019191888),	// MVE_VSHR_imms16
 1538     UINT64_C(4020240464),	// MVE_VSHR_imms32
 1539     UINT64_C(4018667600),	// MVE_VSHR_imms8
 1540     UINT64_C(4287627344),	// MVE_VSHR_immu16
 1541     UINT64_C(4288675920),	// MVE_VSHR_immu32
 1542     UINT64_C(4287103056),	// MVE_VSHR_immu8
 1543     UINT64_C(4287628624),	// MVE_VSLIimm16
 1544     UINT64_C(4288677200),	// MVE_VSLIimm32
 1545     UINT64_C(4287104336),	// MVE_VSLIimm8
 1546     UINT64_C(4019193680),	// MVE_VSLIimms16
 1547     UINT64_C(4020242256),	// MVE_VSLIimms32
 1548     UINT64_C(4018669392),	// MVE_VSLIimms8
 1549     UINT64_C(4287629136),	// MVE_VSLIimmu16
 1550     UINT64_C(4288677712),	// MVE_VSLIimmu32
 1551     UINT64_C(4287104848),	// MVE_VSLIimmu8
 1552     UINT64_C(4287628368),	// MVE_VSRIimm16
 1553     UINT64_C(4288676944),	// MVE_VSRIimm32
 1554     UINT64_C(4287104080),	// MVE_VSRIimm8
 1555     UINT64_C(4236254848),	// MVE_VST20_16
 1556     UINT64_C(4238352000),	// MVE_VST20_16_wb
 1557     UINT64_C(4236254976),	// MVE_VST20_32
 1558     UINT64_C(4238352128),	// MVE_VST20_32_wb
 1559     UINT64_C(4236254720),	// MVE_VST20_8
 1560     UINT64_C(4238351872),	// MVE_VST20_8_wb
 1561     UINT64_C(4236254880),	// MVE_VST21_16
 1562     UINT64_C(4238352032),	// MVE_VST21_16_wb
 1563     UINT64_C(4236255008),	// MVE_VST21_32
 1564     UINT64_C(4238352160),	// MVE_VST21_32_wb
 1565     UINT64_C(4236254752),	// MVE_VST21_8
 1566     UINT64_C(4238351904),	// MVE_VST21_8_wb
 1567     UINT64_C(4236254849),	// MVE_VST40_16
 1568     UINT64_C(4238352001),	// MVE_VST40_16_wb
 1569     UINT64_C(4236254977),	// MVE_VST40_32
 1570     UINT64_C(4238352129),	// MVE_VST40_32_wb
 1571     UINT64_C(4236254721),	// MVE_VST40_8
 1572     UINT64_C(4238351873),	// MVE_VST40_8_wb
 1573     UINT64_C(4236254881),	// MVE_VST41_16
 1574     UINT64_C(4238352033),	// MVE_VST41_16_wb
 1575     UINT64_C(4236255009),	// MVE_VST41_32
 1576     UINT64_C(4238352161),	// MVE_VST41_32_wb
 1577     UINT64_C(4236254753),	// MVE_VST41_8
 1578     UINT64_C(4238351905),	// MVE_VST41_8_wb
 1579     UINT64_C(4236254913),	// MVE_VST42_16
 1580     UINT64_C(4238352065),	// MVE_VST42_16_wb
 1581     UINT64_C(4236255041),	// MVE_VST42_32
 1582     UINT64_C(4238352193),	// MVE_VST42_32_wb
 1583     UINT64_C(4236254785),	// MVE_VST42_8
 1584     UINT64_C(4238351937),	// MVE_VST42_8_wb
 1585     UINT64_C(4236254945),	// MVE_VST43_16
 1586     UINT64_C(4238352097),	// MVE_VST43_16_wb
 1587     UINT64_C(4236255073),	// MVE_VST43_32
 1588     UINT64_C(4238352225),	// MVE_VST43_32_wb
 1589     UINT64_C(4236254817),	// MVE_VST43_8
 1590     UINT64_C(4238351969),	// MVE_VST43_8_wb
 1591     UINT64_C(3976203904),	// MVE_VSTRB16
 1592     UINT64_C(3961523840),	// MVE_VSTRB16_post
 1593     UINT64_C(3978301056),	// MVE_VSTRB16_pre
 1594     UINT64_C(3967815296),	// MVE_VSTRB16_rq
 1595     UINT64_C(3976204032),	// MVE_VSTRB32
 1596     UINT64_C(3961523968),	// MVE_VSTRB32_post
 1597     UINT64_C(3978301184),	// MVE_VSTRB32_pre
 1598     UINT64_C(3967815424),	// MVE_VSTRB32_rq
 1599     UINT64_C(3967815168),	// MVE_VSTRB8_rq
 1600     UINT64_C(3976207872),	// MVE_VSTRBU8
 1601     UINT64_C(3961527808),	// MVE_VSTRBU8_post
 1602     UINT64_C(3978305024),	// MVE_VSTRBU8_pre
 1603     UINT64_C(4244643584),	// MVE_VSTRD64_qi
 1604     UINT64_C(4246740736),	// MVE_VSTRD64_qi_pre
 1605     UINT64_C(3967815633),	// MVE_VSTRD64_rq
 1606     UINT64_C(3967815632),	// MVE_VSTRD64_rq_u
 1607     UINT64_C(3967815313),	// MVE_VSTRH16_rq
 1608     UINT64_C(3967815312),	// MVE_VSTRH16_rq_u
 1609     UINT64_C(3976728320),	// MVE_VSTRH32
 1610     UINT64_C(3962048256),	// MVE_VSTRH32_post
 1611     UINT64_C(3978825472),	// MVE_VSTRH32_pre
 1612     UINT64_C(3967815441),	// MVE_VSTRH32_rq
 1613     UINT64_C(3967815440),	// MVE_VSTRH32_rq_u
 1614     UINT64_C(3976208000),	// MVE_VSTRHU16
 1615     UINT64_C(3961527936),	// MVE_VSTRHU16_post
 1616     UINT64_C(3978305152),	// MVE_VSTRHU16_pre
 1617     UINT64_C(4244643328),	// MVE_VSTRW32_qi
 1618     UINT64_C(4246740480),	// MVE_VSTRW32_qi_pre
 1619     UINT64_C(3967815489),	// MVE_VSTRW32_rq
 1620     UINT64_C(3967815488),	// MVE_VSTRW32_rq_u
 1621     UINT64_C(3976208128),	// MVE_VSTRWU32
 1622     UINT64_C(3961528064),	// MVE_VSTRWU32_post
 1623     UINT64_C(3978305280),	// MVE_VSTRWU32_pre
 1624     UINT64_C(4264566592),	// MVE_VSUB_qr_f16
 1625     UINT64_C(3996131136),	// MVE_VSUB_qr_f32
 1626     UINT64_C(3994099520),	// MVE_VSUB_qr_i16
 1627     UINT64_C(3995148096),	// MVE_VSUB_qr_i32
 1628     UINT64_C(3993050944),	// MVE_VSUB_qr_i8
 1629     UINT64_C(4012903744),	// MVE_VSUBf16
 1630     UINT64_C(4011855168),	// MVE_VSUBf32
 1631     UINT64_C(4279240768),	// MVE_VSUBi16
 1632     UINT64_C(4280289344),	// MVE_VSUBi32
 1633     UINT64_C(4278192192),	// MVE_VSUBi8
 1634     UINT64_C(4027629569),	// MVE_WLSTP_16
 1635     UINT64_C(4028678145),	// MVE_WLSTP_32
 1636     UINT64_C(4029726721),	// MVE_WLSTP_64
 1637     UINT64_C(4026580993),	// MVE_WLSTP_8
 1638     UINT64_C(65011712),	// MVNi
 1639     UINT64_C(31457280),	// MVNr
 1640     UINT64_C(31457280),	// MVNsi
 1641     UINT64_C(31457296),	// MVNsr
 1642     UINT64_C(4076867344),	// NEON_VMAXNMNDf
 1643     UINT64_C(4077915920),	// NEON_VMAXNMNDh
 1644     UINT64_C(4076867408),	// NEON_VMAXNMNQf
 1645     UINT64_C(4077915984),	// NEON_VMAXNMNQh
 1646     UINT64_C(4078964496),	// NEON_VMINNMNDf
 1647     UINT64_C(4080013072),	// NEON_VMINNMNDh
 1648     UINT64_C(4078964560),	// NEON_VMINNMNQf
 1649     UINT64_C(4080013136),	// NEON_VMINNMNQh
 1650     UINT64_C(58720256),	// ORRri
 1651     UINT64_C(25165824),	// ORRrr
 1652     UINT64_C(25165824),	// ORRrsi
 1653     UINT64_C(25165840),	// ORRrsr
 1654     UINT64_C(109051920),	// PKHBT
 1655     UINT64_C(109051984),	// PKHTB
 1656     UINT64_C(4111527936),	// PLDWi12
 1657     UINT64_C(4145082368),	// PLDWrs
 1658     UINT64_C(4115722240),	// PLDi12
 1659     UINT64_C(4149276672),	// PLDrs
 1660     UINT64_C(4098945024),	// PLIi12
 1661     UINT64_C(4132499456),	// PLIrs
 1662     UINT64_C(16777296),	// QADD
 1663     UINT64_C(102764304),	// QADD16
 1664     UINT64_C(102764432),	// QADD8
 1665     UINT64_C(102764336),	// QASX
 1666     UINT64_C(20971600),	// QDADD
 1667     UINT64_C(23068752),	// QDSUB
 1668     UINT64_C(102764368),	// QSAX
 1669     UINT64_C(18874448),	// QSUB
 1670     UINT64_C(102764400),	// QSUB16
 1671     UINT64_C(102764528),	// QSUB8
 1672     UINT64_C(117378864),	// RBIT
 1673     UINT64_C(113184560),	// REV
 1674     UINT64_C(113184688),	// REV16
 1675     UINT64_C(117378992),	// REVSH
 1676     UINT64_C(4161800704),	// RFEDA
 1677     UINT64_C(4163897856),	// RFEDA_UPD
 1678     UINT64_C(4178577920),	// RFEDB
 1679     UINT64_C(4180675072),	// RFEDB_UPD
 1680     UINT64_C(4170189312),	// RFEIA
 1681     UINT64_C(4172286464),	// RFEIA_UPD
 1682     UINT64_C(4186966528),	// RFEIB
 1683     UINT64_C(4189063680),	// RFEIB_UPD
 1684     UINT64_C(39845888),	// RSBri
 1685     UINT64_C(6291456),	// RSBrr
 1686     UINT64_C(6291456),	// RSBrsi
 1687     UINT64_C(6291472),	// RSBrsr
 1688     UINT64_C(48234496),	// RSCri
 1689     UINT64_C(14680064),	// RSCrr
 1690     UINT64_C(14680064),	// RSCrsi
 1691     UINT64_C(14680080),	// RSCrsr
 1692     UINT64_C(101715728),	// SADD16
 1693     UINT64_C(101715856),	// SADD8
 1694     UINT64_C(101715760),	// SASX
 1695     UINT64_C(4118802544),	// SB
 1696     UINT64_C(46137344),	// SBCri
 1697     UINT64_C(12582912),	// SBCrr
 1698     UINT64_C(12582912),	// SBCrsi
 1699     UINT64_C(12582928),	// SBCrsr
 1700     UINT64_C(127926352),	// SBFX
 1701     UINT64_C(118550544),	// SDIV
 1702     UINT64_C(109055920),	// SEL
 1703     UINT64_C(4043374592),	// SETEND
 1704     UINT64_C(4044357632),	// SETPAN
 1705     UINT64_C(4060089408),	// SHA1C
 1706     UINT64_C(4088988352),	// SHA1H
 1707     UINT64_C(4062186560),	// SHA1M
 1708     UINT64_C(4061137984),	// SHA1P
 1709     UINT64_C(4063235136),	// SHA1SU0
 1710     UINT64_C(4089054080),	// SHA1SU1
 1711     UINT64_C(4076866624),	// SHA256H
 1712     UINT64_C(4077915200),	// SHA256H2
 1713     UINT64_C(4089054144),	// SHA256SU0
 1714     UINT64_C(4078963776),	// SHA256SU1
 1715     UINT64_C(103812880),	// SHADD16
 1716     UINT64_C(103813008),	// SHADD8
 1717     UINT64_C(103812912),	// SHASX
 1718     UINT64_C(103812944),	// SHSAX
 1719     UINT64_C(103812976),	// SHSUB16
 1720     UINT64_C(103813104),	// SHSUB8
 1721     UINT64_C(23068784),	// SMC
 1722     UINT64_C(16777344),	// SMLABB
 1723     UINT64_C(16777408),	// SMLABT
 1724     UINT64_C(117440528),	// SMLAD
 1725     UINT64_C(117440560),	// SMLADX
 1726     UINT64_C(14680208),	// SMLAL
 1727     UINT64_C(20971648),	// SMLALBB
 1728     UINT64_C(20971712),	// SMLALBT
 1729     UINT64_C(121634832),	// SMLALD
 1730     UINT64_C(121634864),	// SMLALDX
 1731     UINT64_C(20971680),	// SMLALTB
 1732     UINT64_C(20971744),	// SMLALTT
 1733     UINT64_C(16777376),	// SMLATB
 1734     UINT64_C(16777440),	// SMLATT
 1735     UINT64_C(18874496),	// SMLAWB
 1736     UINT64_C(18874560),	// SMLAWT
 1737     UINT64_C(117440592),	// SMLSD
 1738     UINT64_C(117440624),	// SMLSDX
 1739     UINT64_C(121634896),	// SMLSLD
 1740     UINT64_C(121634928),	// SMLSLDX
 1741     UINT64_C(122683408),	// SMMLA
 1742     UINT64_C(122683440),	// SMMLAR
 1743     UINT64_C(122683600),	// SMMLS
 1744     UINT64_C(122683632),	// SMMLSR
 1745     UINT64_C(122744848),	// SMMUL
 1746     UINT64_C(122744880),	// SMMULR
 1747     UINT64_C(117501968),	// SMUAD
 1748     UINT64_C(117502000),	// SMUADX
 1749     UINT64_C(23068800),	// SMULBB
 1750     UINT64_C(23068864),	// SMULBT
 1751     UINT64_C(12583056),	// SMULL
 1752     UINT64_C(23068832),	// SMULTB
 1753     UINT64_C(23068896),	// SMULTT
 1754     UINT64_C(18874528),	// SMULWB
 1755     UINT64_C(18874592),	// SMULWT
 1756     UINT64_C(117502032),	// SMUSD
 1757     UINT64_C(117502064),	// SMUSDX
 1758     UINT64_C(4165797120),	// SRSDA
 1759     UINT64_C(4167894272),	// SRSDA_UPD
 1760     UINT64_C(4182574336),	// SRSDB
 1761     UINT64_C(4184671488),	// SRSDB_UPD
 1762     UINT64_C(4174185728),	// SRSIA
 1763     UINT64_C(4176282880),	// SRSIA_UPD
 1764     UINT64_C(4190962944),	// SRSIB
 1765     UINT64_C(4193060096),	// SRSIB_UPD
 1766     UINT64_C(111149072),	// SSAT
 1767     UINT64_C(111152944),	// SSAT16
 1768     UINT64_C(101715792),	// SSAX
 1769     UINT64_C(101715824),	// SSUB16
 1770     UINT64_C(101715952),	// SSUB8
 1771     UINT64_C(4248829952),	// STC2L_OFFSET
 1772     UINT64_C(4240441344),	// STC2L_OPTION
 1773     UINT64_C(4234149888),	// STC2L_POST
 1774     UINT64_C(4250927104),	// STC2L_PRE
 1775     UINT64_C(4244635648),	// STC2_OFFSET
 1776     UINT64_C(4236247040),	// STC2_OPTION
 1777     UINT64_C(4229955584),	// STC2_POST
 1778     UINT64_C(4246732800),	// STC2_PRE
 1779     UINT64_C(222298112),	// STCL_OFFSET
 1780     UINT64_C(213909504),	// STCL_OPTION
 1781     UINT64_C(207618048),	// STCL_POST
 1782     UINT64_C(224395264),	// STCL_PRE
 1783     UINT64_C(218103808),	// STC_OFFSET
 1784     UINT64_C(209715200),	// STC_OPTION
 1785     UINT64_C(203423744),	// STC_POST
 1786     UINT64_C(220200960),	// STC_PRE
 1787     UINT64_C(25230480),	// STL
 1788     UINT64_C(29424784),	// STLB
 1789     UINT64_C(25169552),	// STLEX
 1790     UINT64_C(29363856),	// STLEXB
 1791     UINT64_C(27266704),	// STLEXD
 1792     UINT64_C(31461008),	// STLEXH
 1793     UINT64_C(31521936),	// STLH
 1794     UINT64_C(134217728),	// STMDA
 1795     UINT64_C(136314880),	// STMDA_UPD
 1796     UINT64_C(150994944),	// STMDB
 1797     UINT64_C(153092096),	// STMDB_UPD
 1798     UINT64_C(142606336),	// STMIA
 1799     UINT64_C(144703488),	// STMIA_UPD
 1800     UINT64_C(159383552),	// STMIB
 1801     UINT64_C(161480704),	// STMIB_UPD
 1802     UINT64_C(73400320),	// STRBT_POST_IMM
 1803     UINT64_C(106954752),	// STRBT_POST_REG
 1804     UINT64_C(71303168),	// STRB_POST_IMM
 1805     UINT64_C(104857600),	// STRB_POST_REG
 1806     UINT64_C(90177536),	// STRB_PRE_IMM
 1807     UINT64_C(123731968),	// STRB_PRE_REG
 1808     UINT64_C(88080384),	// STRBi12
 1809     UINT64_C(121634816),	// STRBrs
 1810     UINT64_C(16777456),	// STRD
 1811     UINT64_C(240),	// STRD_POST
 1812     UINT64_C(18874608),	// STRD_PRE
 1813     UINT64_C(25169808),	// STREX
 1814     UINT64_C(29364112),	// STREXB
 1815     UINT64_C(27266960),	// STREXD
 1816     UINT64_C(31461264),	// STREXH
 1817     UINT64_C(16777392),	// STRH
 1818     UINT64_C(6291632),	// STRHTi
 1819     UINT64_C(2097328),	// STRHTr
 1820     UINT64_C(176),	// STRH_POST
 1821     UINT64_C(18874544),	// STRH_PRE
 1822     UINT64_C(69206016),	// STRT_POST_IMM
 1823     UINT64_C(102760448),	// STRT_POST_REG
 1824     UINT64_C(67108864),	// STR_POST_IMM
 1825     UINT64_C(100663296),	// STR_POST_REG
 1826     UINT64_C(85983232),	// STR_PRE_IMM
 1827     UINT64_C(119537664),	// STR_PRE_REG
 1828     UINT64_C(83886080),	// STRi12
 1829     UINT64_C(117440512),	// STRrs
 1830     UINT64_C(37748736),	// SUBri
 1831     UINT64_C(4194304),	// SUBrr
 1832     UINT64_C(4194304),	// SUBrsi
 1833     UINT64_C(4194320),	// SUBrsr
 1834     UINT64_C(251658240),	// SVC
 1835     UINT64_C(16777360),	// SWP
 1836     UINT64_C(20971664),	// SWPB
 1837     UINT64_C(111149168),	// SXTAB
 1838     UINT64_C(109052016),	// SXTAB16
 1839     UINT64_C(112197744),	// SXTAH
 1840     UINT64_C(112132208),	// SXTB
 1841     UINT64_C(110035056),	// SXTB16
 1842     UINT64_C(113180784),	// SXTH
 1843     UINT64_C(53477376),	// TEQri
 1844     UINT64_C(19922944),	// TEQrr
 1845     UINT64_C(19922944),	// TEQrsi
 1846     UINT64_C(19922960),	// TEQrsr
 1847     UINT64_C(3892305662),	// TRAP
 1848     UINT64_C(3892240112),	// TRAPNaCl
 1849     UINT64_C(3810586642),	// TSB
 1850     UINT64_C(51380224),	// TSTri
 1851     UINT64_C(17825792),	// TSTrr
 1852     UINT64_C(17825792),	// TSTrsi
 1853     UINT64_C(17825808),	// TSTrsr
 1854     UINT64_C(105910032),	// UADD16
 1855     UINT64_C(105910160),	// UADD8
 1856     UINT64_C(105910064),	// UASX
 1857     UINT64_C(132120656),	// UBFX
 1858     UINT64_C(3891265776),	// UDF
 1859     UINT64_C(120647696),	// UDIV
 1860     UINT64_C(108007184),	// UHADD16
 1861     UINT64_C(108007312),	// UHADD8
 1862     UINT64_C(108007216),	// UHASX
 1863     UINT64_C(108007248),	// UHSAX
 1864     UINT64_C(108007280),	// UHSUB16
 1865     UINT64_C(108007408),	// UHSUB8
 1866     UINT64_C(4194448),	// UMAAL
 1867     UINT64_C(10485904),	// UMLAL
 1868     UINT64_C(8388752),	// UMULL
 1869     UINT64_C(106958608),	// UQADD16
 1870     UINT64_C(106958736),	// UQADD8
 1871     UINT64_C(106958640),	// UQASX
 1872     UINT64_C(106958672),	// UQSAX
 1873     UINT64_C(106958704),	// UQSUB16
 1874     UINT64_C(106958832),	// UQSUB8
 1875     UINT64_C(125890576),	// USAD8
 1876     UINT64_C(125829136),	// USADA8
 1877     UINT64_C(115343376),	// USAT
 1878     UINT64_C(115347248),	// USAT16
 1879     UINT64_C(105910096),	// USAX
 1880     UINT64_C(105910128),	// USUB16
 1881     UINT64_C(105910256),	// USUB8
 1882     UINT64_C(115343472),	// UXTAB
 1883     UINT64_C(113246320),	// UXTAB16
 1884     UINT64_C(116392048),	// UXTAH
 1885     UINT64_C(116326512),	// UXTB
 1886     UINT64_C(114229360),	// UXTB16
 1887     UINT64_C(117375088),	// UXTH
 1888     UINT64_C(4070573312),	// VABALsv2i64
 1889     UINT64_C(4069524736),	// VABALsv4i32
 1890     UINT64_C(4068476160),	// VABALsv8i16
 1891     UINT64_C(4087350528),	// VABALuv2i64
 1892     UINT64_C(4086301952),	// VABALuv4i32
 1893     UINT64_C(4085253376),	// VABALuv8i16
 1894     UINT64_C(4060088144),	// VABAsv16i8
 1895     UINT64_C(4062185232),	// VABAsv2i32
 1896     UINT64_C(4061136656),	// VABAsv4i16
 1897     UINT64_C(4062185296),	// VABAsv4i32
 1898     UINT64_C(4061136720),	// VABAsv8i16
 1899     UINT64_C(4060088080),	// VABAsv8i8
 1900     UINT64_C(4076865360),	// VABAuv16i8
 1901     UINT64_C(4078962448),	// VABAuv2i32
 1902     UINT64_C(4077913872),	// VABAuv4i16
 1903     UINT64_C(4078962512),	// VABAuv4i32
 1904     UINT64_C(4077913936),	// VABAuv8i16
 1905     UINT64_C(4076865296),	// VABAuv8i8
 1906     UINT64_C(4070573824),	// VABDLsv2i64
 1907     UINT64_C(4069525248),	// VABDLsv4i32
 1908     UINT64_C(4068476672),	// VABDLsv8i16
 1909     UINT64_C(4087351040),	// VABDLuv2i64
 1910     UINT64_C(4086302464),	// VABDLuv4i32
 1911     UINT64_C(4085253888),	// VABDLuv8i16
 1912     UINT64_C(4078963968),	// VABDfd
 1913     UINT64_C(4078964032),	// VABDfq
 1914     UINT64_C(4080012544),	// VABDhd
 1915     UINT64_C(4080012608),	// VABDhq
 1916     UINT64_C(4060088128),	// VABDsv16i8
 1917     UINT64_C(4062185216),	// VABDsv2i32
 1918     UINT64_C(4061136640),	// VABDsv4i16
 1919     UINT64_C(4062185280),	// VABDsv4i32
 1920     UINT64_C(4061136704),	// VABDsv8i16
 1921     UINT64_C(4060088064),	// VABDsv8i8
 1922     UINT64_C(4076865344),	// VABDuv16i8
 1923     UINT64_C(4078962432),	// VABDuv2i32
 1924     UINT64_C(4077913856),	// VABDuv4i16
 1925     UINT64_C(4078962496),	// VABDuv4i32
 1926     UINT64_C(4077913920),	// VABDuv8i16
 1927     UINT64_C(4076865280),	// VABDuv8i8
 1928     UINT64_C(246418368),	// VABSD
 1929     UINT64_C(246417856),	// VABSH
 1930     UINT64_C(246418112),	// VABSS
 1931     UINT64_C(4088989440),	// VABSfd
 1932     UINT64_C(4088989504),	// VABSfq
 1933     UINT64_C(4088727296),	// VABShd
 1934     UINT64_C(4088727360),	// VABShq
 1935     UINT64_C(4088464192),	// VABSv16i8
 1936     UINT64_C(4088988416),	// VABSv2i32
 1937     UINT64_C(4088726272),	// VABSv4i16
 1938     UINT64_C(4088988480),	// VABSv4i32
 1939     UINT64_C(4088726336),	// VABSv8i16
 1940     UINT64_C(4088464128),	// VABSv8i8
 1941     UINT64_C(4076867088),	// VACGEfd
 1942     UINT64_C(4076867152),	// VACGEfq
 1943     UINT64_C(4077915664),	// VACGEhd
 1944     UINT64_C(4077915728),	// VACGEhq
 1945     UINT64_C(4078964240),	// VACGTfd
 1946     UINT64_C(4078964304),	// VACGTfq
 1947     UINT64_C(4080012816),	// VACGThd
 1948     UINT64_C(4080012880),	// VACGThq
 1949     UINT64_C(238029568),	// VADDD
 1950     UINT64_C(238029056),	// VADDH
 1951     UINT64_C(4070573056),	// VADDHNv2i32
 1952     UINT64_C(4069524480),	// VADDHNv4i16
 1953     UINT64_C(4068475904),	// VADDHNv8i8
 1954     UINT64_C(4070572032),	// VADDLsv2i64
 1955     UINT64_C(4069523456),	// VADDLsv4i32
 1956     UINT64_C(4068474880),	// VADDLsv8i16
 1957     UINT64_C(4087349248),	// VADDLuv2i64
 1958     UINT64_C(4086300672),	// VADDLuv4i32
 1959     UINT64_C(4085252096),	// VADDLuv8i16
 1960     UINT64_C(238029312),	// VADDS
 1961     UINT64_C(4070572288),	// VADDWsv2i64
 1962     UINT64_C(4069523712),	// VADDWsv4i32
 1963     UINT64_C(4068475136),	// VADDWsv8i16
 1964     UINT64_C(4087349504),	// VADDWuv2i64
 1965     UINT64_C(4086300928),	// VADDWuv4i32
 1966     UINT64_C(4085252352),	// VADDWuv8i16
 1967     UINT64_C(4060089600),	// VADDfd
 1968     UINT64_C(4060089664),	// VADDfq
 1969     UINT64_C(4061138176),	// VADDhd
 1970     UINT64_C(4061138240),	// VADDhq
 1971     UINT64_C(4060088384),	// VADDv16i8
 1972     UINT64_C(4063234048),	// VADDv1i64
 1973     UINT64_C(4062185472),	// VADDv2i32
 1974     UINT64_C(4063234112),	// VADDv2i64
 1975     UINT64_C(4061136896),	// VADDv4i16
 1976     UINT64_C(4062185536),	// VADDv4i32
 1977     UINT64_C(4061136960),	// VADDv8i16
 1978     UINT64_C(4060088320),	// VADDv8i8
 1979     UINT64_C(4060086544),	// VANDd
 1980     UINT64_C(4060086608),	// VANDq
 1981     UINT64_C(4061135120),	// VBICd
 1982     UINT64_C(4068475184),	// VBICiv2i32
 1983     UINT64_C(4068477232),	// VBICiv4i16
 1984     UINT64_C(4068475248),	// VBICiv4i32
 1985     UINT64_C(4068477296),	// VBICiv8i16
 1986     UINT64_C(4061135184),	// VBICq
 1987     UINT64_C(4080009488),	// VBIFd
 1988     UINT64_C(4080009552),	// VBIFq
 1989     UINT64_C(4078960912),	// VBITd
 1990     UINT64_C(4078960976),	// VBITq
 1991     UINT64_C(4077912336),	// VBSLd
 1992     UINT64_C(4077912400),	// VBSLq
 1993     UINT64_C(4237297664),	// VCADDv2f32
 1994     UINT64_C(4236249088),	// VCADDv4f16
 1995     UINT64_C(4237297728),	// VCADDv4f32
 1996     UINT64_C(4236249152),	// VCADDv8f16
 1997     UINT64_C(4060089856),	// VCEQfd
 1998     UINT64_C(4060089920),	// VCEQfq
 1999     UINT64_C(4061138432),	// VCEQhd
 2000     UINT64_C(4061138496),	// VCEQhq
 2001     UINT64_C(4076865616),	// VCEQv16i8
 2002     UINT64_C(4078962704),	// VCEQv2i32
 2003     UINT64_C(4077914128),	// VCEQv4i16
 2004     UINT64_C(4078962768),	// VCEQv4i32
 2005     UINT64_C(4077914192),	// VCEQv8i16
 2006     UINT64_C(4076865552),	// VCEQv8i8
 2007     UINT64_C(4088463680),	// VCEQzv16i8
 2008     UINT64_C(4088988928),	// VCEQzv2f32
 2009     UINT64_C(4088987904),	// VCEQzv2i32
 2010     UINT64_C(4088726784),	// VCEQzv4f16
 2011     UINT64_C(4088988992),	// VCEQzv4f32
 2012     UINT64_C(4088725760),	// VCEQzv4i16
 2013     UINT64_C(4088987968),	// VCEQzv4i32
 2014     UINT64_C(4088726848),	// VCEQzv8f16
 2015     UINT64_C(4088725824),	// VCEQzv8i16
 2016     UINT64_C(4088463616),	// VCEQzv8i8
 2017     UINT64_C(4076867072),	// VCGEfd
 2018     UINT64_C(4076867136),	// VCGEfq
 2019     UINT64_C(4077915648),	// VCGEhd
 2020     UINT64_C(4077915712),	// VCGEhq
 2021     UINT64_C(4060087120),	// VCGEsv16i8
 2022     UINT64_C(4062184208),	// VCGEsv2i32
 2023     UINT64_C(4061135632),	// VCGEsv4i16
 2024     UINT64_C(4062184272),	// VCGEsv4i32
 2025     UINT64_C(4061135696),	// VCGEsv8i16
 2026     UINT64_C(4060087056),	// VCGEsv8i8
 2027     UINT64_C(4076864336),	// VCGEuv16i8
 2028     UINT64_C(4078961424),	// VCGEuv2i32
 2029     UINT64_C(4077912848),	// VCGEuv4i16
 2030     UINT64_C(4078961488),	// VCGEuv4i32
 2031     UINT64_C(4077912912),	// VCGEuv8i16
 2032     UINT64_C(4076864272),	// VCGEuv8i8
 2033     UINT64_C(4088463552),	// VCGEzv16i8
 2034     UINT64_C(4088988800),	// VCGEzv2f32
 2035     UINT64_C(4088987776),	// VCGEzv2i32
 2036     UINT64_C(4088726656),	// VCGEzv4f16
 2037     UINT64_C(4088988864),	// VCGEzv4f32
 2038     UINT64_C(4088725632),	// VCGEzv4i16
 2039     UINT64_C(4088987840),	// VCGEzv4i32
 2040     UINT64_C(4088726720),	// VCGEzv8f16
 2041     UINT64_C(4088725696),	// VCGEzv8i16
 2042     UINT64_C(4088463488),	// VCGEzv8i8
 2043     UINT64_C(4078964224),	// VCGTfd
 2044     UINT64_C(4078964288),	// VCGTfq
 2045     UINT64_C(4080012800),	// VCGThd
 2046     UINT64_C(4080012864),	// VCGThq
 2047     UINT64_C(4060087104),	// VCGTsv16i8
 2048     UINT64_C(4062184192),	// VCGTsv2i32
 2049     UINT64_C(4061135616),	// VCGTsv4i16
 2050     UINT64_C(4062184256),	// VCGTsv4i32
 2051     UINT64_C(4061135680),	// VCGTsv8i16
 2052     UINT64_C(4060087040),	// VCGTsv8i8
 2053     UINT64_C(4076864320),	// VCGTuv16i8
 2054     UINT64_C(4078961408),	// VCGTuv2i32
 2055     UINT64_C(4077912832),	// VCGTuv4i16
 2056     UINT64_C(4078961472),	// VCGTuv4i32
 2057     UINT64_C(4077912896),	// VCGTuv8i16
 2058     UINT64_C(4076864256),	// VCGTuv8i8
 2059     UINT64_C(4088463424),	// VCGTzv16i8
 2060     UINT64_C(4088988672),	// VCGTzv2f32
 2061     UINT64_C(4088987648),	// VCGTzv2i32
 2062     UINT64_C(4088726528),	// VCGTzv4f16
 2063     UINT64_C(4088988736),	// VCGTzv4f32
 2064     UINT64_C(4088725504),	// VCGTzv4i16
 2065     UINT64_C(4088987712),	// VCGTzv4i32
 2066     UINT64_C(4088726592),	// VCGTzv8f16
 2067     UINT64_C(4088725568),	// VCGTzv8i16
 2068     UINT64_C(4088463360),	// VCGTzv8i8
 2069     UINT64_C(4088463808),	// VCLEzv16i8
 2070     UINT64_C(4088989056),	// VCLEzv2f32
 2071     UINT64_C(4088988032),	// VCLEzv2i32
 2072     UINT64_C(4088726912),	// VCLEzv4f16
 2073     UINT64_C(4088989120),	// VCLEzv4f32
 2074     UINT64_C(4088725888),	// VCLEzv4i16
 2075     UINT64_C(4088988096),	// VCLEzv4i32
 2076     UINT64_C(4088726976),	// VCLEzv8f16
 2077     UINT64_C(4088725952),	// VCLEzv8i16
 2078     UINT64_C(4088463744),	// VCLEzv8i8
 2079     UINT64_C(4088398912),	// VCLSv16i8
 2080     UINT64_C(4088923136),	// VCLSv2i32
 2081     UINT64_C(4088660992),	// VCLSv4i16
 2082     UINT64_C(4088923200),	// VCLSv4i32
 2083     UINT64_C(4088661056),	// VCLSv8i16
 2084     UINT64_C(4088398848),	// VCLSv8i8
 2085     UINT64_C(4088463936),	// VCLTzv16i8
 2086     UINT64_C(4088989184),	// VCLTzv2f32
 2087     UINT64_C(4088988160),	// VCLTzv2i32
 2088     UINT64_C(4088727040),	// VCLTzv4f16
 2089     UINT64_C(4088989248),	// VCLTzv4f32
 2090     UINT64_C(4088726016),	// VCLTzv4i16
 2091     UINT64_C(4088988224),	// VCLTzv4i32
 2092     UINT64_C(4088727104),	// VCLTzv8f16
 2093     UINT64_C(4088726080),	// VCLTzv8i16
 2094     UINT64_C(4088463872),	// VCLTzv8i8
 2095     UINT64_C(4088399040),	// VCLZv16i8
 2096     UINT64_C(4088923264),	// VCLZv2i32
 2097     UINT64_C(4088661120),	// VCLZv4i16
 2098     UINT64_C(4088923328),	// VCLZv4i32
 2099     UINT64_C(4088661184),	// VCLZv8i16
 2100     UINT64_C(4088398976),	// VCLZv8i8
 2101     UINT64_C(4231006208),	// VCMLAv2f32
 2102     UINT64_C(4269803520),	// VCMLAv2f32_indexed
 2103     UINT64_C(4229957632),	// VCMLAv4f16
 2104     UINT64_C(4261414912),	// VCMLAv4f16_indexed
 2105     UINT64_C(4231006272),	// VCMLAv4f32
 2106     UINT64_C(4269803584),	// VCMLAv4f32_indexed
 2107     UINT64_C(4229957696),	// VCMLAv8f16
 2108     UINT64_C(4261414976),	// VCMLAv8f16_indexed
 2109     UINT64_C(246680384),	// VCMPD
 2110     UINT64_C(246680512),	// VCMPED
 2111     UINT64_C(246680000),	// VCMPEH
 2112     UINT64_C(246680256),	// VCMPES
 2113     UINT64_C(246746048),	// VCMPEZD
 2114     UINT64_C(246745536),	// VCMPEZH
 2115     UINT64_C(246745792),	// VCMPEZS
 2116     UINT64_C(246679872),	// VCMPH
 2117     UINT64_C(246680128),	// VCMPS
 2118     UINT64_C(246745920),	// VCMPZD
 2119     UINT64_C(246745408),	// VCMPZH
 2120     UINT64_C(246745664),	// VCMPZS
 2121     UINT64_C(4088399104),	// VCNTd
 2122     UINT64_C(4088399168),	// VCNTq
 2123     UINT64_C(4089118720),	// VCVTANSDf
 2124     UINT64_C(4088856576),	// VCVTANSDh
 2125     UINT64_C(4089118784),	// VCVTANSQf
 2126     UINT64_C(4088856640),	// VCVTANSQh
 2127     UINT64_C(4089118848),	// VCVTANUDf
 2128     UINT64_C(4088856704),	// VCVTANUDh
 2129     UINT64_C(4089118912),	// VCVTANUQf
 2130     UINT64_C(4088856768),	// VCVTANUQh
 2131     UINT64_C(4273736640),	// VCVTASD
 2132     UINT64_C(4273736128),	// VCVTASH
 2133     UINT64_C(4273736384),	// VCVTASS
 2134     UINT64_C(4273736512),	// VCVTAUD
 2135     UINT64_C(4273736000),	// VCVTAUH
 2136     UINT64_C(4273736256),	// VCVTAUS
 2137     UINT64_C(246614848),	// VCVTBDH
 2138     UINT64_C(246549312),	// VCVTBHD
 2139     UINT64_C(246549056),	// VCVTBHS
 2140     UINT64_C(246614592),	// VCVTBSH
 2141     UINT64_C(246876864),	// VCVTDS
 2142     UINT64_C(4089119488),	// VCVTMNSDf
 2143     UINT64_C(4088857344),	// VCVTMNSDh
 2144     UINT64_C(4089119552),	// VCVTMNSQf
 2145     UINT64_C(4088857408),	// VCVTMNSQh
 2146     UINT64_C(4089119616),	// VCVTMNUDf
 2147     UINT64_C(4088857472),	// VCVTMNUDh
 2148     UINT64_C(4089119680),	// VCVTMNUQf
 2149     UINT64_C(4088857536),	// VCVTMNUQh
 2150     UINT64_C(4273933248),	// VCVTMSD
 2151     UINT64_C(4273932736),	// VCVTMSH
 2152     UINT64_C(4273932992),	// VCVTMSS
 2153     UINT64_C(4273933120),	// VCVTMUD
 2154     UINT64_C(4273932608),	// VCVTMUH
 2155     UINT64_C(4273932864),	// VCVTMUS
 2156     UINT64_C(4089118976),	// VCVTNNSDf
 2157     UINT64_C(4088856832),	// VCVTNNSDh
 2158     UINT64_C(4089119040),	// VCVTNNSQf
 2159     UINT64_C(4088856896),	// VCVTNNSQh
 2160     UINT64_C(4089119104),	// VCVTNNUDf
 2161     UINT64_C(4088856960),	// VCVTNNUDh
 2162     UINT64_C(4089119168),	// VCVTNNUQf
 2163     UINT64_C(4088857024),	// VCVTNNUQh
 2164     UINT64_C(4273802176),	// VCVTNSD
 2165     UINT64_C(4273801664),	// VCVTNSH
 2166     UINT64_C(4273801920),	// VCVTNSS
 2167     UINT64_C(4273802048),	// VCVTNUD
 2168     UINT64_C(4273801536),	// VCVTNUH
 2169     UINT64_C(4273801792),	// VCVTNUS
 2170     UINT64_C(4089119232),	// VCVTPNSDf
 2171     UINT64_C(4088857088),	// VCVTPNSDh
 2172     UINT64_C(4089119296),	// VCVTPNSQf
 2173     UINT64_C(4088857152),	// VCVTPNSQh
 2174     UINT64_C(4089119360),	// VCVTPNUDf
 2175     UINT64_C(4088857216),	// VCVTPNUDh
 2176     UINT64_C(4089119424),	// VCVTPNUQf
 2177     UINT64_C(4088857280),	// VCVTPNUQh
 2178     UINT64_C(4273867712),	// VCVTPSD
 2179     UINT64_C(4273867200),	// VCVTPSH
 2180     UINT64_C(4273867456),	// VCVTPSS
 2181     UINT64_C(4273867584),	// VCVTPUD
 2182     UINT64_C(4273867072),	// VCVTPUH
 2183     UINT64_C(4273867328),	// VCVTPUS
 2184     UINT64_C(246877120),	// VCVTSD
 2185     UINT64_C(246614976),	// VCVTTDH
 2186     UINT64_C(246549440),	// VCVTTHD
 2187     UINT64_C(246549184),	// VCVTTHS
 2188     UINT64_C(246614720),	// VCVTTSH
 2189     UINT64_C(4088792576),	// VCVTf2h
 2190     UINT64_C(4089120512),	// VCVTf2sd
 2191     UINT64_C(4089120576),	// VCVTf2sq
 2192     UINT64_C(4089120640),	// VCVTf2ud
 2193     UINT64_C(4089120704),	// VCVTf2uq
 2194     UINT64_C(4068478736),	// VCVTf2xsd
 2195     UINT64_C(4068478800),	// VCVTf2xsq
 2196     UINT64_C(4085255952),	// VCVTf2xud
 2197     UINT64_C(4085256016),	// VCVTf2xuq
 2198     UINT64_C(4088792832),	// VCVTh2f
 2199     UINT64_C(4088858368),	// VCVTh2sd
 2200     UINT64_C(4088858432),	// VCVTh2sq
 2201     UINT64_C(4088858496),	// VCVTh2ud
 2202     UINT64_C(4088858560),	// VCVTh2uq
 2203     UINT64_C(4068478224),	// VCVTh2xsd
 2204     UINT64_C(4068478288),	// VCVTh2xsq
 2205     UINT64_C(4085255440),	// VCVTh2xud
 2206     UINT64_C(4085255504),	// VCVTh2xuq
 2207     UINT64_C(4089120256),	// VCVTs2fd
 2208     UINT64_C(4089120320),	// VCVTs2fq
 2209     UINT64_C(4088858112),	// VCVTs2hd
 2210     UINT64_C(4088858176),	// VCVTs2hq
 2211     UINT64_C(4089120384),	// VCVTu2fd
 2212     UINT64_C(4089120448),	// VCVTu2fq
 2213     UINT64_C(4088858240),	// VCVTu2hd
 2214     UINT64_C(4088858304),	// VCVTu2hq
 2215     UINT64_C(4068478480),	// VCVTxs2fd
 2216     UINT64_C(4068478544),	// VCVTxs2fq
 2217     UINT64_C(4068477968),	// VCVTxs2hd
 2218     UINT64_C(4068478032),	// VCVTxs2hq
 2219     UINT64_C(4085255696),	// VCVTxu2fd
 2220     UINT64_C(4085255760),	// VCVTxu2fq
 2221     UINT64_C(4085255184),	// VCVTxu2hd
 2222     UINT64_C(4085255248),	// VCVTxu2hq
 2223     UINT64_C(243272448),	// VDIVD
 2224     UINT64_C(243271936),	// VDIVH
 2225     UINT64_C(243272192),	// VDIVS
 2226     UINT64_C(243272496),	// VDUP16d
 2227     UINT64_C(245369648),	// VDUP16q
 2228     UINT64_C(243272464),	// VDUP32d
 2229     UINT64_C(245369616),	// VDUP32q
 2230     UINT64_C(247466768),	// VDUP8d
 2231     UINT64_C(249563920),	// VDUP8q
 2232     UINT64_C(4088531968),	// VDUPLN16d
 2233     UINT64_C(4088532032),	// VDUPLN16q
 2234     UINT64_C(4088663040),	// VDUPLN32d
 2235     UINT64_C(4088663104),	// VDUPLN32q
 2236     UINT64_C(4088466432),	// VDUPLN8d
 2237     UINT64_C(4088466496),	// VDUPLN8q
 2238     UINT64_C(4076863760),	// VEORd
 2239     UINT64_C(4076863824),	// VEORq
 2240     UINT64_C(4071620608),	// VEXTd16
 2241     UINT64_C(4071620608),	// VEXTd32
 2242     UINT64_C(4071620608),	// VEXTd8
 2243     UINT64_C(4071620672),	// VEXTq16
 2244     UINT64_C(4071620672),	// VEXTq32
 2245     UINT64_C(4071620672),	// VEXTq64
 2246     UINT64_C(4071620672),	// VEXTq8
 2247     UINT64_C(245369600),	// VFMAD
 2248     UINT64_C(245369088),	// VFMAH
 2249     UINT64_C(4229957648),	// VFMALD
 2250     UINT64_C(4261414928),	// VFMALDI
 2251     UINT64_C(4229957712),	// VFMALQ
 2252     UINT64_C(4261414992),	// VFMALQI
 2253     UINT64_C(245369344),	// VFMAS
 2254     UINT64_C(4060089360),	// VFMAfd
 2255     UINT64_C(4060089424),	// VFMAfq
 2256     UINT64_C(4061137936),	// VFMAhd
 2257     UINT64_C(4061138000),	// VFMAhq
 2258     UINT64_C(245369664),	// VFMSD
 2259     UINT64_C(245369152),	// VFMSH
 2260     UINT64_C(4238346256),	// VFMSLD
 2261     UINT64_C(4262463504),	// VFMSLDI
 2262     UINT64_C(4238346320),	// VFMSLQ
 2263     UINT64_C(4262463568),	// VFMSLQI
 2264     UINT64_C(245369408),	// VFMSS
 2265     UINT64_C(4062186512),	// VFMSfd
 2266     UINT64_C(4062186576),	// VFMSfq
 2267     UINT64_C(4063235088),	// VFMShd
 2268     UINT64_C(4063235152),	// VFMShq
 2269     UINT64_C(244321088),	// VFNMAD
 2270     UINT64_C(244320576),	// VFNMAH
 2271     UINT64_C(244320832),	// VFNMAS
 2272     UINT64_C(244321024),	// VFNMSD
 2273     UINT64_C(244320512),	// VFNMSH
 2274     UINT64_C(244320768),	// VFNMSS
 2275     UINT64_C(4269804288),	// VFP_VMAXNMD
 2276     UINT64_C(4269803776),	// VFP_VMAXNMH
 2277     UINT64_C(4269804032),	// VFP_VMAXNMS
 2278     UINT64_C(4269804352),	// VFP_VMINNMD
 2279     UINT64_C(4269803840),	// VFP_VMINNMH
 2280     UINT64_C(4269804096),	// VFP_VMINNMS
 2281     UINT64_C(235932432),	// VGETLNi32
 2282     UINT64_C(235932464),	// VGETLNs16
 2283     UINT64_C(240126736),	// VGETLNs8
 2284     UINT64_C(244321072),	// VGETLNu16
 2285     UINT64_C(248515344),	// VGETLNu8
 2286     UINT64_C(4060086336),	// VHADDsv16i8
 2287     UINT64_C(4062183424),	// VHADDsv2i32
 2288     UINT64_C(4061134848),	// VHADDsv4i16
 2289     UINT64_C(4062183488),	// VHADDsv4i32
 2290     UINT64_C(4061134912),	// VHADDsv8i16
 2291     UINT64_C(4060086272),	// VHADDsv8i8
 2292     UINT64_C(4076863552),	// VHADDuv16i8
 2293     UINT64_C(4078960640),	// VHADDuv2i32
 2294     UINT64_C(4077912064),	// VHADDuv4i16
 2295     UINT64_C(4078960704),	// VHADDuv4i32
 2296     UINT64_C(4077912128),	// VHADDuv8i16
 2297     UINT64_C(4076863488),	// VHADDuv8i8
 2298     UINT64_C(4060086848),	// VHSUBsv16i8
 2299     UINT64_C(4062183936),	// VHSUBsv2i32
 2300     UINT64_C(4061135360),	// VHSUBsv4i16
 2301     UINT64_C(4062184000),	// VHSUBsv4i32
 2302     UINT64_C(4061135424),	// VHSUBsv8i16
 2303     UINT64_C(4060086784),	// VHSUBsv8i8
 2304     UINT64_C(4076864064),	// VHSUBuv16i8
 2305     UINT64_C(4078961152),	// VHSUBuv2i32
 2306     UINT64_C(4077912576),	// VHSUBuv4i16
 2307     UINT64_C(4078961216),	// VHSUBuv4i32
 2308     UINT64_C(4077912640),	// VHSUBuv8i16
 2309     UINT64_C(4076864000),	// VHSUBuv8i8
 2310     UINT64_C(4272949952),	// VINSH
 2311     UINT64_C(247008192),	// VJCVT
 2312     UINT64_C(4104129615),	// VLD1DUPd16
 2313     UINT64_C(4104129613),	// VLD1DUPd16wb_fixed
 2314     UINT64_C(4104129600),	// VLD1DUPd16wb_register
 2315     UINT64_C(4104129679),	// VLD1DUPd32
 2316     UINT64_C(4104129677),	// VLD1DUPd32wb_fixed
 2317     UINT64_C(4104129664),	// VLD1DUPd32wb_register
 2318     UINT64_C(4104129551),	// VLD1DUPd8
 2319     UINT64_C(4104129549),	// VLD1DUPd8wb_fixed
 2320     UINT64_C(4104129536),	// VLD1DUPd8wb_register
 2321     UINT64_C(4104129647),	// VLD1DUPq16
 2322     UINT64_C(4104129645),	// VLD1DUPq16wb_fixed
 2323     UINT64_C(4104129632),	// VLD1DUPq16wb_register
 2324     UINT64_C(4104129711),	// VLD1DUPq32
 2325     UINT64_C(4104129709),	// VLD1DUPq32wb_fixed
 2326     UINT64_C(4104129696),	// VLD1DUPq32wb_register
 2327     UINT64_C(4104129583),	// VLD1DUPq8
 2328     UINT64_C(4104129581),	// VLD1DUPq8wb_fixed
 2329     UINT64_C(4104129568),	// VLD1DUPq8wb_register
 2330     UINT64_C(4104127503),	// VLD1LNd16
 2331     UINT64_C(4104127488),	// VLD1LNd16_UPD
 2332     UINT64_C(4104128527),	// VLD1LNd32
 2333     UINT64_C(4104128512),	// VLD1LNd32_UPD
 2334     UINT64_C(4104126479),	// VLD1LNd8
 2335     UINT64_C(4104126464),	// VLD1LNd8_UPD
 2336     UINT64_C(0),	// VLD1LNq16Pseudo
 2337     UINT64_C(0),	// VLD1LNq16Pseudo_UPD
 2338     UINT64_C(0),	// VLD1LNq32Pseudo
 2339     UINT64_C(0),	// VLD1LNq32Pseudo_UPD
 2340     UINT64_C(0),	// VLD1LNq8Pseudo
 2341     UINT64_C(0),	// VLD1LNq8Pseudo_UPD
 2342     UINT64_C(4095739727),	// VLD1d16
 2343     UINT64_C(4095738447),	// VLD1d16Q
 2344     UINT64_C(0),	// VLD1d16QPseudo
 2345     UINT64_C(4095738445),	// VLD1d16Qwb_fixed
 2346     UINT64_C(4095738432),	// VLD1d16Qwb_register
 2347     UINT64_C(4095739471),	// VLD1d16T
 2348     UINT64_C(0),	// VLD1d16TPseudo
 2349     UINT64_C(4095739469),	// VLD1d16Twb_fixed
 2350     UINT64_C(4095739456),	// VLD1d16Twb_register
 2351     UINT64_C(4095739725),	// VLD1d16wb_fixed
 2352     UINT64_C(4095739712),	// VLD1d16wb_register
 2353     UINT64_C(4095739791),	// VLD1d32
 2354     UINT64_C(4095738511),	// VLD1d32Q
 2355     UINT64_C(0),	// VLD1d32QPseudo
 2356     UINT64_C(4095738509),	// VLD1d32Qwb_fixed
 2357     UINT64_C(4095738496),	// VLD1d32Qwb_register
 2358     UINT64_C(4095739535),	// VLD1d32T
 2359     UINT64_C(0),	// VLD1d32TPseudo
 2360     UINT64_C(4095739533),	// VLD1d32Twb_fixed
 2361     UINT64_C(4095739520),	// VLD1d32Twb_register
 2362     UINT64_C(4095739789),	// VLD1d32wb_fixed
 2363     UINT64_C(4095739776),	// VLD1d32wb_register
 2364     UINT64_C(4095739855),	// VLD1d64
 2365     UINT64_C(4095738575),	// VLD1d64Q
 2366     UINT64_C(0),	// VLD1d64QPseudo
 2367     UINT64_C(0),	// VLD1d64QPseudoWB_fixed
 2368     UINT64_C(0),	// VLD1d64QPseudoWB_register
 2369     UINT64_C(4095738573),	// VLD1d64Qwb_fixed
 2370     UINT64_C(4095738560),	// VLD1d64Qwb_register
 2371     UINT64_C(4095739599),	// VLD1d64T
 2372     UINT64_C(0),	// VLD1d64TPseudo
 2373     UINT64_C(0),	// VLD1d64TPseudoWB_fixed
 2374     UINT64_C(0),	// VLD1d64TPseudoWB_register
 2375     UINT64_C(4095739597),	// VLD1d64Twb_fixed
 2376     UINT64_C(4095739584),	// VLD1d64Twb_register
 2377     UINT64_C(4095739853),	// VLD1d64wb_fixed
 2378     UINT64_C(4095739840),	// VLD1d64wb_register
 2379     UINT64_C(4095739663),	// VLD1d8
 2380     UINT64_C(4095738383),	// VLD1d8Q
 2381     UINT64_C(0),	// VLD1d8QPseudo
 2382     UINT64_C(4095738381),	// VLD1d8Qwb_fixed
 2383     UINT64_C(4095738368),	// VLD1d8Qwb_register
 2384     UINT64_C(4095739407),	// VLD1d8T
 2385     UINT64_C(0),	// VLD1d8TPseudo
 2386     UINT64_C(4095739405),	// VLD1d8Twb_fixed
 2387     UINT64_C(4095739392),	// VLD1d8Twb_register
 2388     UINT64_C(4095739661),	// VLD1d8wb_fixed
 2389     UINT64_C(4095739648),	// VLD1d8wb_register
 2390     UINT64_C(4095740495),	// VLD1q16
 2391     UINT64_C(0),	// VLD1q16HighQPseudo
 2392     UINT64_C(0),	// VLD1q16HighTPseudo
 2393     UINT64_C(0),	// VLD1q16LowQPseudo_UPD
 2394     UINT64_C(0),	// VLD1q16LowTPseudo_UPD
 2395     UINT64_C(4095740493),	// VLD1q16wb_fixed
 2396     UINT64_C(4095740480),	// VLD1q16wb_register
 2397     UINT64_C(4095740559),	// VLD1q32
 2398     UINT64_C(0),	// VLD1q32HighQPseudo
 2399     UINT64_C(0),	// VLD1q32HighTPseudo
 2400     UINT64_C(0),	// VLD1q32LowQPseudo_UPD
 2401     UINT64_C(0),	// VLD1q32LowTPseudo_UPD
 2402     UINT64_C(4095740557),	// VLD1q32wb_fixed
 2403     UINT64_C(4095740544),	// VLD1q32wb_register
 2404     UINT64_C(4095740623),	// VLD1q64
 2405     UINT64_C(0),	// VLD1q64HighQPseudo
 2406     UINT64_C(0),	// VLD1q64HighTPseudo
 2407     UINT64_C(0),	// VLD1q64LowQPseudo_UPD
 2408     UINT64_C(0),	// VLD1q64LowTPseudo_UPD
 2409     UINT64_C(4095740621),	// VLD1q64wb_fixed
 2410     UINT64_C(4095740608),	// VLD1q64wb_register
 2411     UINT64_C(4095740431),	// VLD1q8
 2412     UINT64_C(0),	// VLD1q8HighQPseudo
 2413     UINT64_C(0),	// VLD1q8HighTPseudo
 2414     UINT64_C(0),	// VLD1q8LowQPseudo_UPD
 2415     UINT64_C(0),	// VLD1q8LowTPseudo_UPD
 2416     UINT64_C(4095740429),	// VLD1q8wb_fixed
 2417     UINT64_C(4095740416),	// VLD1q8wb_register
 2418     UINT64_C(4104129871),	// VLD2DUPd16
 2419     UINT64_C(4104129869),	// VLD2DUPd16wb_fixed
 2420     UINT64_C(4104129856),	// VLD2DUPd16wb_register
 2421     UINT64_C(4104129903),	// VLD2DUPd16x2
 2422     UINT64_C(4104129901),	// VLD2DUPd16x2wb_fixed
 2423     UINT64_C(4104129888),	// VLD2DUPd16x2wb_register
 2424     UINT64_C(4104129935),	// VLD2DUPd32
 2425     UINT64_C(4104129933),	// VLD2DUPd32wb_fixed
 2426     UINT64_C(4104129920),	// VLD2DUPd32wb_register
 2427     UINT64_C(4104129967),	// VLD2DUPd32x2
 2428     UINT64_C(4104129965),	// VLD2DUPd32x2wb_fixed
 2429     UINT64_C(4104129952),	// VLD2DUPd32x2wb_register
 2430     UINT64_C(4104129807),	// VLD2DUPd8
 2431     UINT64_C(4104129805),	// VLD2DUPd8wb_fixed
 2432     UINT64_C(4104129792),	// VLD2DUPd8wb_register
 2433     UINT64_C(4104129839),	// VLD2DUPd8x2
 2434     UINT64_C(4104129837),	// VLD2DUPd8x2wb_fixed
 2435     UINT64_C(4104129824),	// VLD2DUPd8x2wb_register
 2436     UINT64_C(0),	// VLD2DUPq16EvenPseudo
 2437     UINT64_C(0),	// VLD2DUPq16OddPseudo
 2438     UINT64_C(0),	// VLD2DUPq32EvenPseudo
 2439     UINT64_C(0),	// VLD2DUPq32OddPseudo
 2440     UINT64_C(0),	// VLD2DUPq8EvenPseudo
 2441     UINT64_C(0),	// VLD2DUPq8OddPseudo
 2442     UINT64_C(4104127759),	// VLD2LNd16
 2443     UINT64_C(0),	// VLD2LNd16Pseudo
 2444     UINT64_C(0),	// VLD2LNd16Pseudo_UPD
 2445     UINT64_C(4104127744),	// VLD2LNd16_UPD
 2446     UINT64_C(4104128783),	// VLD2LNd32
 2447     UINT64_C(0),	// VLD2LNd32Pseudo
 2448     UINT64_C(0),	// VLD2LNd32Pseudo_UPD
 2449     UINT64_C(4104128768),	// VLD2LNd32_UPD
 2450     UINT64_C(4104126735),	// VLD2LNd8
 2451     UINT64_C(0),	// VLD2LNd8Pseudo
 2452     UINT64_C(0),	// VLD2LNd8Pseudo_UPD
 2453     UINT64_C(4104126720),	// VLD2LNd8_UPD
 2454     UINT64_C(4104127791),	// VLD2LNq16
 2455     UINT64_C(0),	// VLD2LNq16Pseudo
 2456     UINT64_C(0),	// VLD2LNq16Pseudo_UPD
 2457     UINT64_C(4104127776),	// VLD2LNq16_UPD
 2458     UINT64_C(4104128847),	// VLD2LNq32
 2459     UINT64_C(0),	// VLD2LNq32Pseudo
 2460     UINT64_C(0),	// VLD2LNq32Pseudo_UPD
 2461     UINT64_C(4104128832),	// VLD2LNq32_UPD
 2462     UINT64_C(4095740239),	// VLD2b16
 2463     UINT64_C(4095740237),	// VLD2b16wb_fixed
 2464     UINT64_C(4095740224),	// VLD2b16wb_register
 2465     UINT64_C(4095740303),	// VLD2b32
 2466     UINT64_C(4095740301),	// VLD2b32wb_fixed
 2467     UINT64_C(4095740288),	// VLD2b32wb_register
 2468     UINT64_C(4095740175),	// VLD2b8
 2469     UINT64_C(4095740173),	// VLD2b8wb_fixed
 2470     UINT64_C(4095740160),	// VLD2b8wb_register
 2471     UINT64_C(4095739983),	// VLD2d16
 2472     UINT64_C(4095739981),	// VLD2d16wb_fixed
 2473     UINT64_C(4095739968),	// VLD2d16wb_register
 2474     UINT64_C(4095740047),	// VLD2d32
 2475     UINT64_C(4095740045),	// VLD2d32wb_fixed
 2476     UINT64_C(4095740032),	// VLD2d32wb_register
 2477     UINT64_C(4095739919),	// VLD2d8
 2478     UINT64_C(4095739917),	// VLD2d8wb_fixed
 2479     UINT64_C(4095739904),	// VLD2d8wb_register
 2480     UINT64_C(4095738703),	// VLD2q16
 2481     UINT64_C(0),	// VLD2q16Pseudo
 2482     UINT64_C(0),	// VLD2q16PseudoWB_fixed
 2483     UINT64_C(0),	// VLD2q16PseudoWB_register
 2484     UINT64_C(4095738701),	// VLD2q16wb_fixed
 2485     UINT64_C(4095738688),	// VLD2q16wb_register
 2486     UINT64_C(4095738767),	// VLD2q32
 2487     UINT64_C(0),	// VLD2q32Pseudo
 2488     UINT64_C(0),	// VLD2q32PseudoWB_fixed
 2489     UINT64_C(0),	// VLD2q32PseudoWB_register
 2490     UINT64_C(4095738765),	// VLD2q32wb_fixed
 2491     UINT64_C(4095738752),	// VLD2q32wb_register
 2492     UINT64_C(4095738639),	// VLD2q8
 2493     UINT64_C(0),	// VLD2q8Pseudo
 2494     UINT64_C(0),	// VLD2q8PseudoWB_fixed
 2495     UINT64_C(0),	// VLD2q8PseudoWB_register
 2496     UINT64_C(4095738637),	// VLD2q8wb_fixed
 2497     UINT64_C(4095738624),	// VLD2q8wb_register
 2498     UINT64_C(4104130127),	// VLD3DUPd16
 2499     UINT64_C(0),	// VLD3DUPd16Pseudo
 2500     UINT64_C(0),	// VLD3DUPd16Pseudo_UPD
 2501     UINT64_C(4104130112),	// VLD3DUPd16_UPD
 2502     UINT64_C(4104130191),	// VLD3DUPd32
 2503     UINT64_C(0),	// VLD3DUPd32Pseudo
 2504     UINT64_C(0),	// VLD3DUPd32Pseudo_UPD
 2505     UINT64_C(4104130176),	// VLD3DUPd32_UPD
 2506     UINT64_C(4104130063),	// VLD3DUPd8
 2507     UINT64_C(0),	// VLD3DUPd8Pseudo
 2508     UINT64_C(0),	// VLD3DUPd8Pseudo_UPD
 2509     UINT64_C(4104130048),	// VLD3DUPd8_UPD
 2510     UINT64_C(4104130159),	// VLD3DUPq16
 2511     UINT64_C(0),	// VLD3DUPq16EvenPseudo
 2512     UINT64_C(0),	// VLD3DUPq16OddPseudo
 2513     UINT64_C(4104130144),	// VLD3DUPq16_UPD
 2514     UINT64_C(4104130223),	// VLD3DUPq32
 2515     UINT64_C(0),	// VLD3DUPq32EvenPseudo
 2516     UINT64_C(0),	// VLD3DUPq32OddPseudo
 2517     UINT64_C(4104130208),	// VLD3DUPq32_UPD
 2518     UINT64_C(4104130095),	// VLD3DUPq8
 2519     UINT64_C(0),	// VLD3DUPq8EvenPseudo
 2520     UINT64_C(0),	// VLD3DUPq8OddPseudo
 2521     UINT64_C(4104130080),	// VLD3DUPq8_UPD
 2522     UINT64_C(4104128015),	// VLD3LNd16
 2523     UINT64_C(0),	// VLD3LNd16Pseudo
 2524     UINT64_C(0),	// VLD3LNd16Pseudo_UPD
 2525     UINT64_C(4104128000),	// VLD3LNd16_UPD
 2526     UINT64_C(4104129039),	// VLD3LNd32
 2527     UINT64_C(0),	// VLD3LNd32Pseudo
 2528     UINT64_C(0),	// VLD3LNd32Pseudo_UPD
 2529     UINT64_C(4104129024),	// VLD3LNd32_UPD
 2530     UINT64_C(4104126991),	// VLD3LNd8
 2531     UINT64_C(0),	// VLD3LNd8Pseudo
 2532     UINT64_C(0),	// VLD3LNd8Pseudo_UPD
 2533     UINT64_C(4104126976),	// VLD3LNd8_UPD
 2534     UINT64_C(4104128047),	// VLD3LNq16
 2535     UINT64_C(0),	// VLD3LNq16Pseudo
 2536     UINT64_C(0),	// VLD3LNq16Pseudo_UPD
 2537     UINT64_C(4104128032),	// VLD3LNq16_UPD
 2538     UINT64_C(4104129103),	// VLD3LNq32
 2539     UINT64_C(0),	// VLD3LNq32Pseudo
 2540     UINT64_C(0),	// VLD3LNq32Pseudo_UPD
 2541     UINT64_C(4104129088),	// VLD3LNq32_UPD
 2542     UINT64_C(4095738959),	// VLD3d16
 2543     UINT64_C(0),	// VLD3d16Pseudo
 2544     UINT64_C(0),	// VLD3d16Pseudo_UPD
 2545     UINT64_C(4095738944),	// VLD3d16_UPD
 2546     UINT64_C(4095739023),	// VLD3d32
 2547     UINT64_C(0),	// VLD3d32Pseudo
 2548     UINT64_C(0),	// VLD3d32Pseudo_UPD
 2549     UINT64_C(4095739008),	// VLD3d32_UPD
 2550     UINT64_C(4095738895),	// VLD3d8
 2551     UINT64_C(0),	// VLD3d8Pseudo
 2552     UINT64_C(0),	// VLD3d8Pseudo_UPD
 2553     UINT64_C(4095738880),	// VLD3d8_UPD
 2554     UINT64_C(4095739215),	// VLD3q16
 2555     UINT64_C(0),	// VLD3q16Pseudo_UPD
 2556     UINT64_C(4095739200),	// VLD3q16_UPD
 2557     UINT64_C(0),	// VLD3q16oddPseudo
 2558     UINT64_C(0),	// VLD3q16oddPseudo_UPD
 2559     UINT64_C(4095739279),	// VLD3q32
 2560     UINT64_C(0),	// VLD3q32Pseudo_UPD
 2561     UINT64_C(4095739264),	// VLD3q32_UPD
 2562     UINT64_C(0),	// VLD3q32oddPseudo
 2563     UINT64_C(0),	// VLD3q32oddPseudo_UPD
 2564     UINT64_C(4095739151),	// VLD3q8
 2565     UINT64_C(0),	// VLD3q8Pseudo_UPD
 2566     UINT64_C(4095739136),	// VLD3q8_UPD
 2567     UINT64_C(0),	// VLD3q8oddPseudo
 2568     UINT64_C(0),	// VLD3q8oddPseudo_UPD
 2569     UINT64_C(4104130383),	// VLD4DUPd16
 2570     UINT64_C(0),	// VLD4DUPd16Pseudo
 2571     UINT64_C(0),	// VLD4DUPd16Pseudo_UPD
 2572     UINT64_C(4104130368),	// VLD4DUPd16_UPD
 2573     UINT64_C(4104130447),	// VLD4DUPd32
 2574     UINT64_C(0),	// VLD4DUPd32Pseudo
 2575     UINT64_C(0),	// VLD4DUPd32Pseudo_UPD
 2576     UINT64_C(4104130432),	// VLD4DUPd32_UPD
 2577     UINT64_C(4104130319),	// VLD4DUPd8
 2578     UINT64_C(0),	// VLD4DUPd8Pseudo
 2579     UINT64_C(0),	// VLD4DUPd8Pseudo_UPD
 2580     UINT64_C(4104130304),	// VLD4DUPd8_UPD
 2581     UINT64_C(4104130415),	// VLD4DUPq16
 2582     UINT64_C(0),	// VLD4DUPq16EvenPseudo
 2583     UINT64_C(0),	// VLD4DUPq16OddPseudo
 2584     UINT64_C(4104130400),	// VLD4DUPq16_UPD
 2585     UINT64_C(4104130479),	// VLD4DUPq32
 2586     UINT64_C(0),	// VLD4DUPq32EvenPseudo
 2587     UINT64_C(0),	// VLD4DUPq32OddPseudo
 2588     UINT64_C(4104130464),	// VLD4DUPq32_UPD
 2589     UINT64_C(4104130351),	// VLD4DUPq8
 2590     UINT64_C(0),	// VLD4DUPq8EvenPseudo
 2591     UINT64_C(0),	// VLD4DUPq8OddPseudo
 2592     UINT64_C(4104130336),	// VLD4DUPq8_UPD
 2593     UINT64_C(4104128271),	// VLD4LNd16
 2594     UINT64_C(0),	// VLD4LNd16Pseudo
 2595     UINT64_C(0),	// VLD4LNd16Pseudo_UPD
 2596     UINT64_C(4104128256),	// VLD4LNd16_UPD
 2597     UINT64_C(4104129295),	// VLD4LNd32
 2598     UINT64_C(0),	// VLD4LNd32Pseudo
 2599     UINT64_C(0),	// VLD4LNd32Pseudo_UPD
 2600     UINT64_C(4104129280),	// VLD4LNd32_UPD
 2601     UINT64_C(4104127247),	// VLD4LNd8
 2602     UINT64_C(0),	// VLD4LNd8Pseudo
 2603     UINT64_C(0),	// VLD4LNd8Pseudo_UPD
 2604     UINT64_C(4104127232),	// VLD4LNd8_UPD
 2605     UINT64_C(4104128303),	// VLD4LNq16
 2606     UINT64_C(0),	// VLD4LNq16Pseudo
 2607     UINT64_C(0),	// VLD4LNq16Pseudo_UPD
 2608     UINT64_C(4104128288),	// VLD4LNq16_UPD
 2609     UINT64_C(4104129359),	// VLD4LNq32
 2610     UINT64_C(0),	// VLD4LNq32Pseudo
 2611     UINT64_C(0),	// VLD4LNq32Pseudo_UPD
 2612     UINT64_C(4104129344),	// VLD4LNq32_UPD
 2613     UINT64_C(4095737935),	// VLD4d16
 2614     UINT64_C(0),	// VLD4d16Pseudo
 2615     UINT64_C(0),	// VLD4d16Pseudo_UPD
 2616     UINT64_C(4095737920),	// VLD4d16_UPD
 2617     UINT64_C(4095737999),	// VLD4d32
 2618     UINT64_C(0),	// VLD4d32Pseudo
 2619     UINT64_C(0),	// VLD4d32Pseudo_UPD
 2620     UINT64_C(4095737984),	// VLD4d32_UPD
 2621     UINT64_C(4095737871),	// VLD4d8
 2622     UINT64_C(0),	// VLD4d8Pseudo
 2623     UINT64_C(0),	// VLD4d8Pseudo_UPD
 2624     UINT64_C(4095737856),	// VLD4d8_UPD
 2625     UINT64_C(4095738191),	// VLD4q16
 2626     UINT64_C(0),	// VLD4q16Pseudo_UPD
 2627     UINT64_C(4095738176),	// VLD4q16_UPD
 2628     UINT64_C(0),	// VLD4q16oddPseudo
 2629     UINT64_C(0),	// VLD4q16oddPseudo_UPD
 2630     UINT64_C(4095738255),	// VLD4q32
 2631     UINT64_C(0),	// VLD4q32Pseudo_UPD
 2632     UINT64_C(4095738240),	// VLD4q32_UPD
 2633     UINT64_C(0),	// VLD4q32oddPseudo
 2634     UINT64_C(0),	// VLD4q32oddPseudo_UPD
 2635     UINT64_C(4095738127),	// VLD4q8
 2636     UINT64_C(0),	// VLD4q8Pseudo_UPD
 2637     UINT64_C(4095738112),	// VLD4q8_UPD
 2638     UINT64_C(0),	// VLD4q8oddPseudo
 2639     UINT64_C(0),	// VLD4q8oddPseudo_UPD
 2640     UINT64_C(221252352),	// VLDMDDB_UPD
 2641     UINT64_C(210766592),	// VLDMDIA
 2642     UINT64_C(212863744),	// VLDMDIA_UPD
 2643     UINT64_C(0),	// VLDMQIA
 2644     UINT64_C(221252096),	// VLDMSDB_UPD
 2645     UINT64_C(210766336),	// VLDMSIA
 2646     UINT64_C(212863488),	// VLDMSIA_UPD
 2647     UINT64_C(219155200),	// VLDRD
 2648     UINT64_C(219154688),	// VLDRH
 2649     UINT64_C(219154944),	// VLDRS
 2650     UINT64_C(223399808),	// VLDR_FPCXTNS_off
 2651     UINT64_C(208719744),	// VLDR_FPCXTNS_post
 2652     UINT64_C(225496960),	// VLDR_FPCXTNS_pre
 2653     UINT64_C(223408000),	// VLDR_FPCXTS_off
 2654     UINT64_C(208727936),	// VLDR_FPCXTS_post
 2655     UINT64_C(225505152),	// VLDR_FPCXTS_pre
 2656     UINT64_C(219172736),	// VLDR_FPSCR_NZCVQC_off
 2657     UINT64_C(204492672),	// VLDR_FPSCR_NZCVQC_post
 2658     UINT64_C(221269888),	// VLDR_FPSCR_NZCVQC_pre
 2659     UINT64_C(219164544),	// VLDR_FPSCR_off
 2660     UINT64_C(204484480),	// VLDR_FPSCR_post
 2661     UINT64_C(221261696),	// VLDR_FPSCR_pre
 2662     UINT64_C(223391616),	// VLDR_P0_off
 2663     UINT64_C(208711552),	// VLDR_P0_post
 2664     UINT64_C(225488768),	// VLDR_P0_pre
 2665     UINT64_C(223383424),	// VLDR_VPR_off
 2666     UINT64_C(208703360),	// VLDR_VPR_post
 2667     UINT64_C(225480576),	// VLDR_VPR_pre
 2668     UINT64_C(204474880),	// VLLDM
 2669     UINT64_C(203426304),	// VLSTM
 2670     UINT64_C(4060090112),	// VMAXfd
 2671     UINT64_C(4060090176),	// VMAXfq
 2672     UINT64_C(4061138688),	// VMAXhd
 2673     UINT64_C(4061138752),	// VMAXhq
 2674     UINT64_C(4060087872),	// VMAXsv16i8
 2675     UINT64_C(4062184960),	// VMAXsv2i32
 2676     UINT64_C(4061136384),	// VMAXsv4i16
 2677     UINT64_C(4062185024),	// VMAXsv4i32
 2678     UINT64_C(4061136448),	// VMAXsv8i16
 2679     UINT64_C(4060087808),	// VMAXsv8i8
 2680     UINT64_C(4076865088),	// VMAXuv16i8
 2681     UINT64_C(4078962176),	// VMAXuv2i32
 2682     UINT64_C(4077913600),	// VMAXuv4i16
 2683     UINT64_C(4078962240),	// VMAXuv4i32
 2684     UINT64_C(4077913664),	// VMAXuv8i16
 2685     UINT64_C(4076865024),	// VMAXuv8i8
 2686     UINT64_C(4062187264),	// VMINfd
 2687     UINT64_C(4062187328),	// VMINfq
 2688     UINT64_C(4063235840),	// VMINhd
 2689     UINT64_C(4063235904),	// VMINhq
 2690     UINT64_C(4060087888),	// VMINsv16i8
 2691     UINT64_C(4062184976),	// VMINsv2i32
 2692     UINT64_C(4061136400),	// VMINsv4i16
 2693     UINT64_C(4062185040),	// VMINsv4i32
 2694     UINT64_C(4061136464),	// VMINsv8i16
 2695     UINT64_C(4060087824),	// VMINsv8i8
 2696     UINT64_C(4076865104),	// VMINuv16i8
 2697     UINT64_C(4078962192),	// VMINuv2i32
 2698     UINT64_C(4077913616),	// VMINuv4i16
 2699     UINT64_C(4078962256),	// VMINuv4i32
 2700     UINT64_C(4077913680),	// VMINuv8i16
 2701     UINT64_C(4076865040),	// VMINuv8i8
 2702     UINT64_C(234883840),	// VMLAD
 2703     UINT64_C(234883328),	// VMLAH
 2704     UINT64_C(4070572608),	// VMLALslsv2i32
 2705     UINT64_C(4069524032),	// VMLALslsv4i16
 2706     UINT64_C(4087349824),	// VMLALsluv2i32
 2707     UINT64_C(4086301248),	// VMLALsluv4i16
 2708     UINT64_C(4070574080),	// VMLALsv2i64
 2709     UINT64_C(4069525504),	// VMLALsv4i32
 2710     UINT64_C(4068476928),	// VMLALsv8i16
 2711     UINT64_C(4087351296),	// VMLALuv2i64
 2712     UINT64_C(4086302720),	// VMLALuv4i32
 2713     UINT64_C(4085254144),	// VMLALuv8i16
 2714     UINT64_C(234883584),	// VMLAS
 2715     UINT64_C(4060089616),	// VMLAfd
 2716     UINT64_C(4060089680),	// VMLAfq
 2717     UINT64_C(4061138192),	// VMLAhd
 2718     UINT64_C(4061138256),	// VMLAhq
 2719     UINT64_C(4070572352),	// VMLAslfd
 2720     UINT64_C(4087349568),	// VMLAslfq
 2721     UINT64_C(4069523776),	// VMLAslhd
 2722     UINT64_C(4086300992),	// VMLAslhq
 2723     UINT64_C(4070572096),	// VMLAslv2i32
 2724     UINT64_C(4069523520),	// VMLAslv4i16
 2725     UINT64_C(4087349312),	// VMLAslv4i32
 2726     UINT64_C(4086300736),	// VMLAslv8i16
 2727     UINT64_C(4060088640),	// VMLAv16i8
 2728     UINT64_C(4062185728),	// VMLAv2i32
 2729     UINT64_C(4061137152),	// VMLAv4i16
 2730     UINT64_C(4062185792),	// VMLAv4i32
 2731     UINT64_C(4061137216),	// VMLAv8i16
 2732     UINT64_C(4060088576),	// VMLAv8i8
 2733     UINT64_C(234883904),	// VMLSD
 2734     UINT64_C(234883392),	// VMLSH
 2735     UINT64_C(4070573632),	// VMLSLslsv2i32
 2736     UINT64_C(4069525056),	// VMLSLslsv4i16
 2737     UINT64_C(4087350848),	// VMLSLsluv2i32
 2738     UINT64_C(4086302272),	// VMLSLsluv4i16
 2739     UINT64_C(4070574592),	// VMLSLsv2i64
 2740     UINT64_C(4069526016),	// VMLSLsv4i32
 2741     UINT64_C(4068477440),	// VMLSLsv8i16
 2742     UINT64_C(4087351808),	// VMLSLuv2i64
 2743     UINT64_C(4086303232),	// VMLSLuv4i32
 2744     UINT64_C(4085254656),	// VMLSLuv8i16
 2745     UINT64_C(234883648),	// VMLSS
 2746     UINT64_C(4062186768),	// VMLSfd
 2747     UINT64_C(4062186832),	// VMLSfq
 2748     UINT64_C(4063235344),	// VMLShd
 2749     UINT64_C(4063235408),	// VMLShq
 2750     UINT64_C(4070573376),	// VMLSslfd
 2751     UINT64_C(4087350592),	// VMLSslfq
 2752     UINT64_C(4069524800),	// VMLSslhd
 2753     UINT64_C(4086302016),	// VMLSslhq
 2754     UINT64_C(4070573120),	// VMLSslv2i32
 2755     UINT64_C(4069524544),	// VMLSslv4i16
 2756     UINT64_C(4087350336),	// VMLSslv4i32
 2757     UINT64_C(4086301760),	// VMLSslv8i16
 2758     UINT64_C(4076865856),	// VMLSv16i8
 2759     UINT64_C(4078962944),	// VMLSv2i32
 2760     UINT64_C(4077914368),	// VMLSv4i16
 2761     UINT64_C(4078963008),	// VMLSv4i32
 2762     UINT64_C(4077914432),	// VMLSv8i16
 2763     UINT64_C(4076865792),	// VMLSv8i8
 2764     UINT64_C(246418240),	// VMOVD
 2765     UINT64_C(205523728),	// VMOVDRR
 2766     UINT64_C(4272949824),	// VMOVH
 2767     UINT64_C(234883344),	// VMOVHR
 2768     UINT64_C(4070574608),	// VMOVLsv2i64
 2769     UINT64_C(4069526032),	// VMOVLsv4i32
 2770     UINT64_C(4069001744),	// VMOVLsv8i16
 2771     UINT64_C(4087351824),	// VMOVLuv2i64
 2772     UINT64_C(4086303248),	// VMOVLuv4i32
 2773     UINT64_C(4085778960),	// VMOVLuv8i16
 2774     UINT64_C(4089053696),	// VMOVNv2i32
 2775     UINT64_C(4088791552),	// VMOVNv4i16
 2776     UINT64_C(4088529408),	// VMOVNv8i8
 2777     UINT64_C(235931920),	// VMOVRH
 2778     UINT64_C(206572304),	// VMOVRRD
 2779     UINT64_C(206572048),	// VMOVRRS
 2780     UINT64_C(235932176),	// VMOVRS
 2781     UINT64_C(246417984),	// VMOVS
 2782     UINT64_C(234883600),	// VMOVSR
 2783     UINT64_C(205523472),	// VMOVSRR
 2784     UINT64_C(4068478544),	// VMOVv16i8
 2785     UINT64_C(4068478512),	// VMOVv1i64
 2786     UINT64_C(4068478736),	// VMOVv2f32
 2787     UINT64_C(4068474896),	// VMOVv2i32
 2788     UINT64_C(4068478576),	// VMOVv2i64
 2789     UINT64_C(4068478800),	// VMOVv4f32
 2790     UINT64_C(4068476944),	// VMOVv4i16
 2791     UINT64_C(4068474960),	// VMOVv4i32
 2792     UINT64_C(4068477008),	// VMOVv8i16
 2793     UINT64_C(4068478480),	// VMOVv8i8
 2794     UINT64_C(250677776),	// VMRS
 2795     UINT64_C(251529744),	// VMRS_FPCXTNS
 2796     UINT64_C(251595280),	// VMRS_FPCXTS
 2797     UINT64_C(251136528),	// VMRS_FPEXC
 2798     UINT64_C(251202064),	// VMRS_FPINST
 2799     UINT64_C(251267600),	// VMRS_FPINST2
 2800     UINT64_C(250743312),	// VMRS_FPSCR_NZCVQC
 2801     UINT64_C(250612240),	// VMRS_FPSID
 2802     UINT64_C(251070992),	// VMRS_MVFR0
 2803     UINT64_C(251005456),	// VMRS_MVFR1
 2804     UINT64_C(250939920),	// VMRS_MVFR2
 2805     UINT64_C(251464208),	// VMRS_P0
 2806     UINT64_C(251398672),	// VMRS_VPR
 2807     UINT64_C(249629200),	// VMSR
 2808     UINT64_C(250481168),	// VMSR_FPCXTNS
 2809     UINT64_C(250546704),	// VMSR_FPCXTS
 2810     UINT64_C(250087952),	// VMSR_FPEXC
 2811     UINT64_C(250153488),	// VMSR_FPINST
 2812     UINT64_C(250219024),	// VMSR_FPINST2
 2813     UINT64_C(249694736),	// VMSR_FPSCR_NZCVQC
 2814     UINT64_C(249563664),	// VMSR_FPSID
 2815     UINT64_C(250415632),	// VMSR_P0
 2816     UINT64_C(250350096),	// VMSR_VPR
 2817     UINT64_C(236980992),	// VMULD
 2818     UINT64_C(236980480),	// VMULH
 2819     UINT64_C(4070575616),	// VMULLp64
 2820     UINT64_C(4068478464),	// VMULLp8
 2821     UINT64_C(4070574656),	// VMULLslsv2i32
 2822     UINT64_C(4069526080),	// VMULLslsv4i16
 2823     UINT64_C(4087351872),	// VMULLsluv2i32
 2824     UINT64_C(4086303296),	// VMULLsluv4i16
 2825     UINT64_C(4070575104),	// VMULLsv2i64
 2826     UINT64_C(4069526528),	// VMULLsv4i32
 2827     UINT64_C(4068477952),	// VMULLsv8i16
 2828     UINT64_C(4087352320),	// VMULLuv2i64
 2829     UINT64_C(4086303744),	// VMULLuv4i32
 2830     UINT64_C(4085255168),	// VMULLuv8i16
 2831     UINT64_C(236980736),	// VMULS
 2832     UINT64_C(4076866832),	// VMULfd
 2833     UINT64_C(4076866896),	// VMULfq
 2834     UINT64_C(4077915408),	// VMULhd
 2835     UINT64_C(4077915472),	// VMULhq
 2836     UINT64_C(4076865808),	// VMULpd
 2837     UINT64_C(4076865872),	// VMULpq
 2838     UINT64_C(4070574400),	// VMULslfd
 2839     UINT64_C(4087351616),	// VMULslfq
 2840     UINT64_C(4069525824),	// VMULslhd
 2841     UINT64_C(4086303040),	// VMULslhq
 2842     UINT64_C(4070574144),	// VMULslv2i32
 2843     UINT64_C(4069525568),	// VMULslv4i16
 2844     UINT64_C(4087351360),	// VMULslv4i32
 2845     UINT64_C(4086302784),	// VMULslv8i16
 2846     UINT64_C(4060088656),	// VMULv16i8
 2847     UINT64_C(4062185744),	// VMULv2i32
 2848     UINT64_C(4061137168),	// VMULv4i16
 2849     UINT64_C(4062185808),	// VMULv4i32
 2850     UINT64_C(4061137232),	// VMULv8i16
 2851     UINT64_C(4060088592),	// VMULv8i8
 2852     UINT64_C(4088399232),	// VMVNd
 2853     UINT64_C(4088399296),	// VMVNq
 2854     UINT64_C(4068474928),	// VMVNv2i32
 2855     UINT64_C(4068476976),	// VMVNv4i16
 2856     UINT64_C(4068474992),	// VMVNv4i32
 2857     UINT64_C(4068477040),	// VMVNv8i16
 2858     UINT64_C(246483776),	// VNEGD
 2859     UINT64_C(246483264),	// VNEGH
 2860     UINT64_C(246483520),	// VNEGS
 2861     UINT64_C(4088989632),	// VNEGf32q
 2862     UINT64_C(4088989568),	// VNEGfd
 2863     UINT64_C(4088727424),	// VNEGhd
 2864     UINT64_C(4088727488),	// VNEGhq
 2865     UINT64_C(4088726400),	// VNEGs16d
 2866     UINT64_C(4088726464),	// VNEGs16q
 2867     UINT64_C(4088988544),	// VNEGs32d
 2868     UINT64_C(4088988608),	// VNEGs32q
 2869     UINT64_C(4088464256),	// VNEGs8d
 2870     UINT64_C(4088464320),	// VNEGs8q
 2871     UINT64_C(235932480),	// VNMLAD
 2872     UINT64_C(235931968),	// VNMLAH
 2873     UINT64_C(235932224),	// VNMLAS
 2874     UINT64_C(235932416),	// VNMLSD
 2875     UINT64_C(235931904),	// VNMLSH
 2876     UINT64_C(235932160),	// VNMLSS
 2877     UINT64_C(236981056),	// VNMULD
 2878     UINT64_C(236980544),	// VNMULH
 2879     UINT64_C(236980800),	// VNMULS
 2880     UINT64_C(4063232272),	// VORNd
 2881     UINT64_C(4063232336),	// VORNq
 2882     UINT64_C(4062183696),	// VORRd
 2883     UINT64_C(4068475152),	// VORRiv2i32
 2884     UINT64_C(4068477200),	// VORRiv4i16
 2885     UINT64_C(4068475216),	// VORRiv4i32
 2886     UINT64_C(4068477264),	// VORRiv8i16
 2887     UINT64_C(4062183760),	// VORRq
 2888     UINT64_C(4088399424),	// VPADALsv16i8
 2889     UINT64_C(4088923648),	// VPADALsv2i32
 2890     UINT64_C(4088661504),	// VPADALsv4i16
 2891     UINT64_C(4088923712),	// VPADALsv4i32
 2892     UINT64_C(4088661568),	// VPADALsv8i16
 2893     UINT64_C(4088399360),	// VPADALsv8i8
 2894     UINT64_C(4088399552),	// VPADALuv16i8
 2895     UINT64_C(4088923776),	// VPADALuv2i32
 2896     UINT64_C(4088661632),	// VPADALuv4i16
 2897     UINT64_C(4088923840),	// VPADALuv4i32
 2898     UINT64_C(4088661696),	// VPADALuv8i16
 2899     UINT64_C(4088399488),	// VPADALuv8i8
 2900     UINT64_C(4088398400),	// VPADDLsv16i8
 2901     UINT64_C(4088922624),	// VPADDLsv2i32
 2902     UINT64_C(4088660480),	// VPADDLsv4i16
 2903     UINT64_C(4088922688),	// VPADDLsv4i32
 2904     UINT64_C(4088660544),	// VPADDLsv8i16
 2905     UINT64_C(4088398336),	// VPADDLsv8i8
 2906     UINT64_C(4088398528),	// VPADDLuv16i8
 2907     UINT64_C(4088922752),	// VPADDLuv2i32
 2908     UINT64_C(4088660608),	// VPADDLuv4i16
 2909     UINT64_C(4088922816),	// VPADDLuv4i32
 2910     UINT64_C(4088660672),	// VPADDLuv8i16
 2911     UINT64_C(4088398464),	// VPADDLuv8i8
 2912     UINT64_C(4076866816),	// VPADDf
 2913     UINT64_C(4077915392),	// VPADDh
 2914     UINT64_C(4061137680),	// VPADDi16
 2915     UINT64_C(4062186256),	// VPADDi32
 2916     UINT64_C(4060089104),	// VPADDi8
 2917     UINT64_C(4076867328),	// VPMAXf
 2918     UINT64_C(4077915904),	// VPMAXh
 2919     UINT64_C(4061137408),	// VPMAXs16
 2920     UINT64_C(4062185984),	// VPMAXs32
 2921     UINT64_C(4060088832),	// VPMAXs8
 2922     UINT64_C(4077914624),	// VPMAXu16
 2923     UINT64_C(4078963200),	// VPMAXu32
 2924     UINT64_C(4076866048),	// VPMAXu8
 2925     UINT64_C(4078964480),	// VPMINf
 2926     UINT64_C(4080013056),	// VPMINh
 2927     UINT64_C(4061137424),	// VPMINs16
 2928     UINT64_C(4062186000),	// VPMINs32
 2929     UINT64_C(4060088848),	// VPMINs8
 2930     UINT64_C(4077914640),	// VPMINu16
 2931     UINT64_C(4078963216),	// VPMINu32
 2932     UINT64_C(4076866064),	// VPMINu8
 2933     UINT64_C(4088399680),	// VQABSv16i8
 2934     UINT64_C(4088923904),	// VQABSv2i32
 2935     UINT64_C(4088661760),	// VQABSv4i16
 2936     UINT64_C(4088923968),	// VQABSv4i32
 2937     UINT64_C(4088661824),	// VQABSv8i16
 2938     UINT64_C(4088399616),	// VQABSv8i8
 2939     UINT64_C(4060086352),	// VQADDsv16i8
 2940     UINT64_C(4063232016),	// VQADDsv1i64
 2941     UINT64_C(4062183440),	// VQADDsv2i32
 2942     UINT64_C(4063232080),	// VQADDsv2i64
 2943     UINT64_C(4061134864),	// VQADDsv4i16
 2944     UINT64_C(4062183504),	// VQADDsv4i32
 2945     UINT64_C(4061134928),	// VQADDsv8i16
 2946     UINT64_C(4060086288),	// VQADDsv8i8
 2947     UINT64_C(4076863568),	// VQADDuv16i8
 2948     UINT64_C(4080009232),	// VQADDuv1i64
 2949     UINT64_C(4078960656),	// VQADDuv2i32
 2950     UINT64_C(4080009296),	// VQADDuv2i64
 2951     UINT64_C(4077912080),	// VQADDuv4i16
 2952     UINT64_C(4078960720),	// VQADDuv4i32
 2953     UINT64_C(4077912144),	// VQADDuv8i16
 2954     UINT64_C(4076863504),	// VQADDuv8i8
 2955     UINT64_C(4070572864),	// VQDMLALslv2i32
 2956     UINT64_C(4069524288),	// VQDMLALslv4i16
 2957     UINT64_C(4070574336),	// VQDMLALv2i64
 2958     UINT64_C(4069525760),	// VQDMLALv4i32
 2959     UINT64_C(4070573888),	// VQDMLSLslv2i32
 2960     UINT64_C(4069525312),	// VQDMLSLslv4i16
 2961     UINT64_C(4070574848),	// VQDMLSLv2i64
 2962     UINT64_C(4069526272),	// VQDMLSLv4i32
 2963     UINT64_C(4070575168),	// VQDMULHslv2i32
 2964     UINT64_C(4069526592),	// VQDMULHslv4i16
 2965     UINT64_C(4087352384),	// VQDMULHslv4i32
 2966     UINT64_C(4086303808),	// VQDMULHslv8i16
 2967     UINT64_C(4062186240),	// VQDMULHv2i32
 2968     UINT64_C(4061137664),	// VQDMULHv4i16
 2969     UINT64_C(4062186304),	// VQDMULHv4i32
 2970     UINT64_C(4061137728),	// VQDMULHv8i16
 2971     UINT64_C(4070574912),	// VQDMULLslv2i32
 2972     UINT64_C(4069526336),	// VQDMULLslv4i16
 2973     UINT64_C(4070575360),	// VQDMULLv2i64
 2974     UINT64_C(4069526784),	// VQDMULLv4i32
 2975     UINT64_C(4089053760),	// VQMOVNsuv2i32
 2976     UINT64_C(4088791616),	// VQMOVNsuv4i16
 2977     UINT64_C(4088529472),	// VQMOVNsuv8i8
 2978     UINT64_C(4089053824),	// VQMOVNsv2i32
 2979     UINT64_C(4088791680),	// VQMOVNsv4i16
 2980     UINT64_C(4088529536),	// VQMOVNsv8i8
 2981     UINT64_C(4089053888),	// VQMOVNuv2i32
 2982     UINT64_C(4088791744),	// VQMOVNuv4i16
 2983     UINT64_C(4088529600),	// VQMOVNuv8i8
 2984     UINT64_C(4088399808),	// VQNEGv16i8
 2985     UINT64_C(4088924032),	// VQNEGv2i32
 2986     UINT64_C(4088661888),	// VQNEGv4i16
 2987     UINT64_C(4088924096),	// VQNEGv4i32
 2988     UINT64_C(4088661952),	// VQNEGv8i16
 2989     UINT64_C(4088399744),	// VQNEGv8i8
 2990     UINT64_C(4070575680),	// VQRDMLAHslv2i32
 2991     UINT64_C(4069527104),	// VQRDMLAHslv4i16
 2992     UINT64_C(4087352896),	// VQRDMLAHslv4i32
 2993     UINT64_C(4086304320),	// VQRDMLAHslv8i16
 2994     UINT64_C(4078963472),	// VQRDMLAHv2i32
 2995     UINT64_C(4077914896),	// VQRDMLAHv4i16
 2996     UINT64_C(4078963536),	// VQRDMLAHv4i32
 2997     UINT64_C(4077914960),	// VQRDMLAHv8i16
 2998     UINT64_C(4070575936),	// VQRDMLSHslv2i32
 2999     UINT64_C(4069527360),	// VQRDMLSHslv4i16
 3000     UINT64_C(4087353152),	// VQRDMLSHslv4i32
 3001     UINT64_C(4086304576),	// VQRDMLSHslv8i16
 3002     UINT64_C(4078963728),	// VQRDMLSHv2i32
 3003     UINT64_C(4077915152),	// VQRDMLSHv4i16
 3004     UINT64_C(4078963792),	// VQRDMLSHv4i32
 3005     UINT64_C(4077915216),	// VQRDMLSHv8i16
 3006     UINT64_C(4070575424),	// VQRDMULHslv2i32
 3007     UINT64_C(4069526848),	// VQRDMULHslv4i16
 3008     UINT64_C(4087352640),	// VQRDMULHslv4i32
 3009     UINT64_C(4086304064),	// VQRDMULHslv8i16
 3010     UINT64_C(4078963456),	// VQRDMULHv2i32
 3011     UINT64_C(4077914880),	// VQRDMULHv4i16
 3012     UINT64_C(4078963520),	// VQRDMULHv4i32
 3013     UINT64_C(4077914944),	// VQRDMULHv8i16
 3014     UINT64_C(4060087632),	// VQRSHLsv16i8
 3015     UINT64_C(4063233296),	// VQRSHLsv1i64
 3016     UINT64_C(4062184720),	// VQRSHLsv2i32
 3017     UINT64_C(4063233360),	// VQRSHLsv2i64
 3018     UINT64_C(4061136144),	// VQRSHLsv4i16
 3019     UINT64_C(4062184784),	// VQRSHLsv4i32
 3020     UINT64_C(4061136208),	// VQRSHLsv8i16
 3021     UINT64_C(4060087568),	// VQRSHLsv8i8
 3022     UINT64_C(4076864848),	// VQRSHLuv16i8
 3023     UINT64_C(4080010512),	// VQRSHLuv1i64
 3024     UINT64_C(4078961936),	// VQRSHLuv2i32
 3025     UINT64_C(4080010576),	// VQRSHLuv2i64
 3026     UINT64_C(4077913360),	// VQRSHLuv4i16
 3027     UINT64_C(4078962000),	// VQRSHLuv4i32
 3028     UINT64_C(4077913424),	// VQRSHLuv8i16
 3029     UINT64_C(4076864784),	// VQRSHLuv8i8
 3030     UINT64_C(4070574416),	// VQRSHRNsv2i32
 3031     UINT64_C(4069525840),	// VQRSHRNsv4i16
 3032     UINT64_C(4069001552),	// VQRSHRNsv8i8
 3033     UINT64_C(4087351632),	// VQRSHRNuv2i32
 3034     UINT64_C(4086303056),	// VQRSHRNuv4i16
 3035     UINT64_C(4085778768),	// VQRSHRNuv8i8
 3036     UINT64_C(4087351376),	// VQRSHRUNv2i32
 3037     UINT64_C(4086302800),	// VQRSHRUNv4i16
 3038     UINT64_C(4085778512),	// VQRSHRUNv8i8
 3039     UINT64_C(4069001040),	// VQSHLsiv16i8
 3040     UINT64_C(4068476816),	// VQSHLsiv1i64
 3041     UINT64_C(4070573840),	// VQSHLsiv2i32
 3042     UINT64_C(4068476880),	// VQSHLsiv2i64
 3043     UINT64_C(4069525264),	// VQSHLsiv4i16
 3044     UINT64_C(4070573904),	// VQSHLsiv4i32
 3045     UINT64_C(4069525328),	// VQSHLsiv8i16
 3046     UINT64_C(4069000976),	// VQSHLsiv8i8
 3047     UINT64_C(4085778000),	// VQSHLsuv16i8
 3048     UINT64_C(4085253776),	// VQSHLsuv1i64
 3049     UINT64_C(4087350800),	// VQSHLsuv2i32
 3050     UINT64_C(4085253840),	// VQSHLsuv2i64
 3051     UINT64_C(4086302224),	// VQSHLsuv4i16
 3052     UINT64_C(4087350864),	// VQSHLsuv4i32
 3053     UINT64_C(4086302288),	// VQSHLsuv8i16
 3054     UINT64_C(4085777936),	// VQSHLsuv8i8
 3055     UINT64_C(4060087376),	// VQSHLsv16i8
 3056     UINT64_C(4063233040),	// VQSHLsv1i64
 3057     UINT64_C(4062184464),	// VQSHLsv2i32
 3058     UINT64_C(4063233104),	// VQSHLsv2i64
 3059     UINT64_C(4061135888),	// VQSHLsv4i16
 3060     UINT64_C(4062184528),	// VQSHLsv4i32
 3061     UINT64_C(4061135952),	// VQSHLsv8i16
 3062     UINT64_C(4060087312),	// VQSHLsv8i8
 3063     UINT64_C(4085778256),	// VQSHLuiv16i8
 3064     UINT64_C(4085254032),	// VQSHLuiv1i64
 3065     UINT64_C(4087351056),	// VQSHLuiv2i32
 3066     UINT64_C(4085254096),	// VQSHLuiv2i64
 3067     UINT64_C(4086302480),	// VQSHLuiv4i16
 3068     UINT64_C(4087351120),	// VQSHLuiv4i32
 3069     UINT64_C(4086302544),	// VQSHLuiv8i16
 3070     UINT64_C(4085778192),	// VQSHLuiv8i8
 3071     UINT64_C(4076864592),	// VQSHLuv16i8
 3072     UINT64_C(4080010256),	// VQSHLuv1i64
 3073     UINT64_C(4078961680),	// VQSHLuv2i32
 3074     UINT64_C(4080010320),	// VQSHLuv2i64
 3075     UINT64_C(4077913104),	// VQSHLuv4i16
 3076     UINT64_C(4078961744),	// VQSHLuv4i32
 3077     UINT64_C(4077913168),	// VQSHLuv8i16
 3078     UINT64_C(4076864528),	// VQSHLuv8i8
 3079     UINT64_C(4070574352),	// VQSHRNsv2i32
 3080     UINT64_C(4069525776),	// VQSHRNsv4i16
 3081     UINT64_C(4069001488),	// VQSHRNsv8i8
 3082     UINT64_C(4087351568),	// VQSHRNuv2i32
 3083     UINT64_C(4086302992),	// VQSHRNuv4i16
 3084     UINT64_C(4085778704),	// VQSHRNuv8i8
 3085     UINT64_C(4087351312),	// VQSHRUNv2i32
 3086     UINT64_C(4086302736),	// VQSHRUNv4i16
 3087     UINT64_C(4085778448),	// VQSHRUNv8i8
 3088     UINT64_C(4060086864),	// VQSUBsv16i8
 3089     UINT64_C(4063232528),	// VQSUBsv1i64
 3090     UINT64_C(4062183952),	// VQSUBsv2i32
 3091     UINT64_C(4063232592),	// VQSUBsv2i64
 3092     UINT64_C(4061135376),	// VQSUBsv4i16
 3093     UINT64_C(4062184016),	// VQSUBsv4i32
 3094     UINT64_C(4061135440),	// VQSUBsv8i16
 3095     UINT64_C(4060086800),	// VQSUBsv8i8
 3096     UINT64_C(4076864080),	// VQSUBuv16i8
 3097     UINT64_C(4080009744),	// VQSUBuv1i64
 3098     UINT64_C(4078961168),	// VQSUBuv2i32
 3099     UINT64_C(4080009808),	// VQSUBuv2i64
 3100     UINT64_C(4077912592),	// VQSUBuv4i16
 3101     UINT64_C(4078961232),	// VQSUBuv4i32
 3102     UINT64_C(4077912656),	// VQSUBuv8i16
 3103     UINT64_C(4076864016),	// VQSUBuv8i8
 3104     UINT64_C(4087350272),	// VRADDHNv2i32
 3105     UINT64_C(4086301696),	// VRADDHNv4i16
 3106     UINT64_C(4085253120),	// VRADDHNv8i8
 3107     UINT64_C(4089119744),	// VRECPEd
 3108     UINT64_C(4089120000),	// VRECPEfd
 3109     UINT64_C(4089120064),	// VRECPEfq
 3110     UINT64_C(4088857856),	// VRECPEhd
 3111     UINT64_C(4088857920),	// VRECPEhq
 3112     UINT64_C(4089119808),	// VRECPEq
 3113     UINT64_C(4060090128),	// VRECPSfd
 3114     UINT64_C(4060090192),	// VRECPSfq
 3115     UINT64_C(4061138704),	// VRECPShd
 3116     UINT64_C(4061138768),	// VRECPShq
 3117     UINT64_C(4088398080),	// VREV16d8
 3118     UINT64_C(4088398144),	// VREV16q8
 3119     UINT64_C(4088660096),	// VREV32d16
 3120     UINT64_C(4088397952),	// VREV32d8
 3121     UINT64_C(4088660160),	// VREV32q16
 3122     UINT64_C(4088398016),	// VREV32q8
 3123     UINT64_C(4088659968),	// VREV64d16
 3124     UINT64_C(4088922112),	// VREV64d32
 3125     UINT64_C(4088397824),	// VREV64d8
 3126     UINT64_C(4088660032),	// VREV64q16
 3127     UINT64_C(4088922176),	// VREV64q32
 3128     UINT64_C(4088397888),	// VREV64q8
 3129     UINT64_C(4060086592),	// VRHADDsv16i8
 3130     UINT64_C(4062183680),	// VRHADDsv2i32
 3131     UINT64_C(4061135104),	// VRHADDsv4i16
 3132     UINT64_C(4062183744),	// VRHADDsv4i32
 3133     UINT64_C(4061135168),	// VRHADDsv8i16
 3134     UINT64_C(4060086528),	// VRHADDsv8i8
 3135     UINT64_C(4076863808),	// VRHADDuv16i8
 3136     UINT64_C(4078960896),	// VRHADDuv2i32
 3137     UINT64_C(4077912320),	// VRHADDuv4i16
 3138     UINT64_C(4078960960),	// VRHADDuv4i32
 3139     UINT64_C(4077912384),	// VRHADDuv8i16
 3140     UINT64_C(4076863744),	// VRHADDuv8i8
 3141     UINT64_C(4273474368),	// VRINTAD
 3142     UINT64_C(4273473856),	// VRINTAH
 3143     UINT64_C(4089054464),	// VRINTANDf
 3144     UINT64_C(4088792320),	// VRINTANDh
 3145     UINT64_C(4089054528),	// VRINTANQf
 3146     UINT64_C(4088792384),	// VRINTANQh
 3147     UINT64_C(4273474112),	// VRINTAS
 3148     UINT64_C(4273670976),	// VRINTMD
 3149     UINT64_C(4273670464),	// VRINTMH
 3150     UINT64_C(4089054848),	// VRINTMNDf
 3151     UINT64_C(4088792704),	// VRINTMNDh
 3152     UINT64_C(4089054912),	// VRINTMNQf
 3153     UINT64_C(4088792768),	// VRINTMNQh
 3154     UINT64_C(4273670720),	// VRINTMS
 3155     UINT64_C(4273539904),	// VRINTND
 3156     UINT64_C(4273539392),	// VRINTNH
 3157     UINT64_C(4089054208),	// VRINTNNDf
 3158     UINT64_C(4088792064),	// VRINTNNDh
 3159     UINT64_C(4089054272),	// VRINTNNQf
 3160     UINT64_C(4088792128),	// VRINTNNQh
 3161     UINT64_C(4273539648),	// VRINTNS
 3162     UINT64_C(4273605440),	// VRINTPD
 3163     UINT64_C(4273604928),	// VRINTPH
 3164     UINT64_C(4089055104),	// VRINTPNDf
 3165     UINT64_C(4088792960),	// VRINTPNDh
 3166     UINT64_C(4089055168),	// VRINTPNQf
 3167     UINT64_C(4088793024),	// VRINTPNQh
 3168     UINT64_C(4273605184),	// VRINTPS
 3169     UINT64_C(246811456),	// VRINTRD
 3170     UINT64_C(246810944),	// VRINTRH
 3171     UINT64_C(246811200),	// VRINTRS
 3172     UINT64_C(246876992),	// VRINTXD
 3173     UINT64_C(246876480),	// VRINTXH
 3174     UINT64_C(4089054336),	// VRINTXNDf
 3175     UINT64_C(4088792192),	// VRINTXNDh
 3176     UINT64_C(4089054400),	// VRINTXNQf
 3177     UINT64_C(4088792256),	// VRINTXNQh
 3178     UINT64_C(246876736),	// VRINTXS
 3179     UINT64_C(246811584),	// VRINTZD
 3180     UINT64_C(246811072),	// VRINTZH
 3181     UINT64_C(4089054592),	// VRINTZNDf
 3182     UINT64_C(4088792448),	// VRINTZNDh
 3183     UINT64_C(4089054656),	// VRINTZNQf
 3184     UINT64_C(4088792512),	// VRINTZNQh
 3185     UINT64_C(246811328),	// VRINTZS
 3186     UINT64_C(4060087616),	// VRSHLsv16i8
 3187     UINT64_C(4063233280),	// VRSHLsv1i64
 3188     UINT64_C(4062184704),	// VRSHLsv2i32
 3189     UINT64_C(4063233344),	// VRSHLsv2i64
 3190     UINT64_C(4061136128),	// VRSHLsv4i16
 3191     UINT64_C(4062184768),	// VRSHLsv4i32
 3192     UINT64_C(4061136192),	// VRSHLsv8i16
 3193     UINT64_C(4060087552),	// VRSHLsv8i8
 3194     UINT64_C(4076864832),	// VRSHLuv16i8
 3195     UINT64_C(4080010496),	// VRSHLuv1i64
 3196     UINT64_C(4078961920),	// VRSHLuv2i32
 3197     UINT64_C(4080010560),	// VRSHLuv2i64
 3198     UINT64_C(4077913344),	// VRSHLuv4i16
 3199     UINT64_C(4078961984),	// VRSHLuv4i32
 3200     UINT64_C(4077913408),	// VRSHLuv8i16
 3201     UINT64_C(4076864768),	// VRSHLuv8i8
 3202     UINT64_C(4070574160),	// VRSHRNv2i32
 3203     UINT64_C(4069525584),	// VRSHRNv4i16
 3204     UINT64_C(4069001296),	// VRSHRNv8i8
 3205     UINT64_C(4068999760),	// VRSHRsv16i8
 3206     UINT64_C(4068475536),	// VRSHRsv1i64
 3207     UINT64_C(4070572560),	// VRSHRsv2i32
 3208     UINT64_C(4068475600),	// VRSHRsv2i64
 3209     UINT64_C(4069523984),	// VRSHRsv4i16
 3210     UINT64_C(4070572624),	// VRSHRsv4i32
 3211     UINT64_C(4069524048),	// VRSHRsv8i16
 3212     UINT64_C(4068999696),	// VRSHRsv8i8
 3213     UINT64_C(4085776976),	// VRSHRuv16i8
 3214     UINT64_C(4085252752),	// VRSHRuv1i64
 3215     UINT64_C(4087349776),	// VRSHRuv2i32
 3216     UINT64_C(4085252816),	// VRSHRuv2i64
 3217     UINT64_C(4086301200),	// VRSHRuv4i16
 3218     UINT64_C(4087349840),	// VRSHRuv4i32
 3219     UINT64_C(4086301264),	// VRSHRuv8i16
 3220     UINT64_C(4085776912),	// VRSHRuv8i8
 3221     UINT64_C(4089119872),	// VRSQRTEd
 3222     UINT64_C(4089120128),	// VRSQRTEfd
 3223     UINT64_C(4089120192),	// VRSQRTEfq
 3224     UINT64_C(4088857984),	// VRSQRTEhd
 3225     UINT64_C(4088858048),	// VRSQRTEhq
 3226     UINT64_C(4089119936),	// VRSQRTEq
 3227     UINT64_C(4062187280),	// VRSQRTSfd
 3228     UINT64_C(4062187344),	// VRSQRTSfq
 3229     UINT64_C(4063235856),	// VRSQRTShd
 3230     UINT64_C(4063235920),	// VRSQRTShq
 3231     UINT64_C(4069000016),	// VRSRAsv16i8
 3232     UINT64_C(4068475792),	// VRSRAsv1i64
 3233     UINT64_C(4070572816),	// VRSRAsv2i32
 3234     UINT64_C(4068475856),	// VRSRAsv2i64
 3235     UINT64_C(4069524240),	// VRSRAsv4i16
 3236     UINT64_C(4070572880),	// VRSRAsv4i32
 3237     UINT64_C(4069524304),	// VRSRAsv8i16
 3238     UINT64_C(4068999952),	// VRSRAsv8i8
 3239     UINT64_C(4085777232),	// VRSRAuv16i8
 3240     UINT64_C(4085253008),	// VRSRAuv1i64
 3241     UINT64_C(4087350032),	// VRSRAuv2i32
 3242     UINT64_C(4085253072),	// VRSRAuv2i64
 3243     UINT64_C(4086301456),	// VRSRAuv4i16
 3244     UINT64_C(4087350096),	// VRSRAuv4i32
 3245     UINT64_C(4086301520),	// VRSRAuv8i16
 3246     UINT64_C(4085777168),	// VRSRAuv8i8
 3247     UINT64_C(4087350784),	// VRSUBHNv2i32
 3248     UINT64_C(4086302208),	// VRSUBHNv4i16
 3249     UINT64_C(4085253632),	// VRSUBHNv8i8
 3250     UINT64_C(3969846016),	// VSCCLRMD
 3251     UINT64_C(3969845760),	// VSCCLRMS
 3252     UINT64_C(4229958912),	// VSDOTD
 3253     UINT64_C(4263513344),	// VSDOTDI
 3254     UINT64_C(4229958976),	// VSDOTQ
 3255     UINT64_C(4263513408),	// VSDOTQI
 3256     UINT64_C(4261415680),	// VSELEQD
 3257     UINT64_C(4261415168),	// VSELEQH
 3258     UINT64_C(4261415424),	// VSELEQS
 3259     UINT64_C(4263512832),	// VSELGED
 3260     UINT64_C(4263512320),	// VSELGEH
 3261     UINT64_C(4263512576),	// VSELGES
 3262     UINT64_C(4264561408),	// VSELGTD
 3263     UINT64_C(4264560896),	// VSELGTH
 3264     UINT64_C(4264561152),	// VSELGTS
 3265     UINT64_C(4262464256),	// VSELVSD
 3266     UINT64_C(4262463744),	// VSELVSH
 3267     UINT64_C(4262464000),	// VSELVSS
 3268     UINT64_C(234883888),	// VSETLNi16
 3269     UINT64_C(234883856),	// VSETLNi32
 3270     UINT64_C(239078160),	// VSETLNi8
 3271     UINT64_C(4088791808),	// VSHLLi16
 3272     UINT64_C(4089053952),	// VSHLLi32
 3273     UINT64_C(4088529664),	// VSHLLi8
 3274     UINT64_C(4070574608),	// VSHLLsv2i64
 3275     UINT64_C(4069526032),	// VSHLLsv4i32
 3276     UINT64_C(4069001744),	// VSHLLsv8i16
 3277     UINT64_C(4087351824),	// VSHLLuv2i64
 3278     UINT64_C(4086303248),	// VSHLLuv4i32
 3279     UINT64_C(4085778960),	// VSHLLuv8i16
 3280     UINT64_C(4069000528),	// VSHLiv16i8
 3281     UINT64_C(4068476304),	// VSHLiv1i64
 3282     UINT64_C(4070573328),	// VSHLiv2i32
 3283     UINT64_C(4068476368),	// VSHLiv2i64
 3284     UINT64_C(4069524752),	// VSHLiv4i16
 3285     UINT64_C(4070573392),	// VSHLiv4i32
 3286     UINT64_C(4069524816),	// VSHLiv8i16
 3287     UINT64_C(4069000464),	// VSHLiv8i8
 3288     UINT64_C(4060087360),	// VSHLsv16i8
 3289     UINT64_C(4063233024),	// VSHLsv1i64
 3290     UINT64_C(4062184448),	// VSHLsv2i32
 3291     UINT64_C(4063233088),	// VSHLsv2i64
 3292     UINT64_C(4061135872),	// VSHLsv4i16
 3293     UINT64_C(4062184512),	// VSHLsv4i32
 3294     UINT64_C(4061135936),	// VSHLsv8i16
 3295     UINT64_C(4060087296),	// VSHLsv8i8
 3296     UINT64_C(4076864576),	// VSHLuv16i8
 3297     UINT64_C(4080010240),	// VSHLuv1i64
 3298     UINT64_C(4078961664),	// VSHLuv2i32
 3299     UINT64_C(4080010304),	// VSHLuv2i64
 3300     UINT64_C(4077913088),	// VSHLuv4i16
 3301     UINT64_C(4078961728),	// VSHLuv4i32
 3302     UINT64_C(4077913152),	// VSHLuv8i16
 3303     UINT64_C(4076864512),	// VSHLuv8i8
 3304     UINT64_C(4070574096),	// VSHRNv2i32
 3305     UINT64_C(4069525520),	// VSHRNv4i16
 3306     UINT64_C(4069001232),	// VSHRNv8i8
 3307     UINT64_C(4068999248),	// VSHRsv16i8
 3308     UINT64_C(4068475024),	// VSHRsv1i64
 3309     UINT64_C(4070572048),	// VSHRsv2i32
 3310     UINT64_C(4068475088),	// VSHRsv2i64
 3311     UINT64_C(4069523472),	// VSHRsv4i16
 3312     UINT64_C(4070572112),	// VSHRsv4i32
 3313     UINT64_C(4069523536),	// VSHRsv8i16
 3314     UINT64_C(4068999184),	// VSHRsv8i8
 3315     UINT64_C(4085776464),	// VSHRuv16i8
 3316     UINT64_C(4085252240),	// VSHRuv1i64
 3317     UINT64_C(4087349264),	// VSHRuv2i32
 3318     UINT64_C(4085252304),	// VSHRuv2i64
 3319     UINT64_C(4086300688),	// VSHRuv4i16
 3320     UINT64_C(4087349328),	// VSHRuv4i32
 3321     UINT64_C(4086300752),	// VSHRuv8i16
 3322     UINT64_C(4085776400),	// VSHRuv8i8
 3323     UINT64_C(247073600),	// VSHTOD
 3324     UINT64_C(247073088),	// VSHTOH
 3325     UINT64_C(247073344),	// VSHTOS
 3326     UINT64_C(246942656),	// VSITOD
 3327     UINT64_C(246942144),	// VSITOH
 3328     UINT64_C(246942400),	// VSITOS
 3329     UINT64_C(4085777744),	// VSLIv16i8
 3330     UINT64_C(4085253520),	// VSLIv1i64
 3331     UINT64_C(4087350544),	// VSLIv2i32
 3332     UINT64_C(4085253584),	// VSLIv2i64
 3333     UINT64_C(4086301968),	// VSLIv4i16
 3334     UINT64_C(4087350608),	// VSLIv4i32
 3335     UINT64_C(4086302032),	// VSLIv8i16
 3336     UINT64_C(4085777680),	// VSLIv8i8
 3337     UINT64_C(247073728),	// VSLTOD
 3338     UINT64_C(247073216),	// VSLTOH
 3339     UINT64_C(247073472),	// VSLTOS
 3340     UINT64_C(246483904),	// VSQRTD
 3341     UINT64_C(246483392),	// VSQRTH
 3342     UINT64_C(246483648),	// VSQRTS
 3343     UINT64_C(4068999504),	// VSRAsv16i8
 3344     UINT64_C(4068475280),	// VSRAsv1i64
 3345     UINT64_C(4070572304),	// VSRAsv2i32
 3346     UINT64_C(4068475344),	// VSRAsv2i64
 3347     UINT64_C(4069523728),	// VSRAsv4i16
 3348     UINT64_C(4070572368),	// VSRAsv4i32
 3349     UINT64_C(4069523792),	// VSRAsv8i16
 3350     UINT64_C(4068999440),	// VSRAsv8i8
 3351     UINT64_C(4085776720),	// VSRAuv16i8
 3352     UINT64_C(4085252496),	// VSRAuv1i64
 3353     UINT64_C(4087349520),	// VSRAuv2i32
 3354     UINT64_C(4085252560),	// VSRAuv2i64
 3355     UINT64_C(4086300944),	// VSRAuv4i16
 3356     UINT64_C(4087349584),	// VSRAuv4i32
 3357     UINT64_C(4086301008),	// VSRAuv8i16
 3358     UINT64_C(4085776656),	// VSRAuv8i8
 3359     UINT64_C(4085777488),	// VSRIv16i8
 3360     UINT64_C(4085253264),	// VSRIv1i64
 3361     UINT64_C(4087350288),	// VSRIv2i32
 3362     UINT64_C(4085253328),	// VSRIv2i64
 3363     UINT64_C(4086301712),	// VSRIv4i16
 3364     UINT64_C(4087350352),	// VSRIv4i32
 3365     UINT64_C(4086301776),	// VSRIv8i16
 3366     UINT64_C(4085777424),	// VSRIv8i8
 3367     UINT64_C(4102030351),	// VST1LNd16
 3368     UINT64_C(4102030336),	// VST1LNd16_UPD
 3369     UINT64_C(4102031375),	// VST1LNd32
 3370     UINT64_C(4102031360),	// VST1LNd32_UPD
 3371     UINT64_C(4102029327),	// VST1LNd8
 3372     UINT64_C(4102029312),	// VST1LNd8_UPD
 3373     UINT64_C(0),	// VST1LNq16Pseudo
 3374     UINT64_C(0),	// VST1LNq16Pseudo_UPD
 3375     UINT64_C(0),	// VST1LNq32Pseudo
 3376     UINT64_C(0),	// VST1LNq32Pseudo_UPD
 3377     UINT64_C(0),	// VST1LNq8Pseudo
 3378     UINT64_C(0),	// VST1LNq8Pseudo_UPD
 3379     UINT64_C(4093642575),	// VST1d16
 3380     UINT64_C(4093641295),	// VST1d16Q
 3381     UINT64_C(0),	// VST1d16QPseudo
 3382     UINT64_C(4093641293),	// VST1d16Qwb_fixed
 3383     UINT64_C(4093641280),	// VST1d16Qwb_register
 3384     UINT64_C(4093642319),	// VST1d16T
 3385     UINT64_C(0),	// VST1d16TPseudo
 3386     UINT64_C(4093642317),	// VST1d16Twb_fixed
 3387     UINT64_C(4093642304),	// VST1d16Twb_register
 3388     UINT64_C(4093642573),	// VST1d16wb_fixed
 3389     UINT64_C(4093642560),	// VST1d16wb_register
 3390     UINT64_C(4093642639),	// VST1d32
 3391     UINT64_C(4093641359),	// VST1d32Q
 3392     UINT64_C(0),	// VST1d32QPseudo
 3393     UINT64_C(4093641357),	// VST1d32Qwb_fixed
 3394     UINT64_C(4093641344),	// VST1d32Qwb_register
 3395     UINT64_C(4093642383),	// VST1d32T
 3396     UINT64_C(0),	// VST1d32TPseudo
 3397     UINT64_C(4093642381),	// VST1d32Twb_fixed
 3398     UINT64_C(4093642368),	// VST1d32Twb_register
 3399     UINT64_C(4093642637),	// VST1d32wb_fixed
 3400     UINT64_C(4093642624),	// VST1d32wb_register
 3401     UINT64_C(4093642703),	// VST1d64
 3402     UINT64_C(4093641423),	// VST1d64Q
 3403     UINT64_C(0),	// VST1d64QPseudo
 3404     UINT64_C(0),	// VST1d64QPseudoWB_fixed
 3405     UINT64_C(0),	// VST1d64QPseudoWB_register
 3406     UINT64_C(4093641421),	// VST1d64Qwb_fixed
 3407     UINT64_C(4093641408),	// VST1d64Qwb_register
 3408     UINT64_C(4093642447),	// VST1d64T
 3409     UINT64_C(0),	// VST1d64TPseudo
 3410     UINT64_C(0),	// VST1d64TPseudoWB_fixed
 3411     UINT64_C(0),	// VST1d64TPseudoWB_register
 3412     UINT64_C(4093642445),	// VST1d64Twb_fixed
 3413     UINT64_C(4093642432),	// VST1d64Twb_register
 3414     UINT64_C(4093642701),	// VST1d64wb_fixed
 3415     UINT64_C(4093642688),	// VST1d64wb_register
 3416     UINT64_C(4093642511),	// VST1d8
 3417     UINT64_C(4093641231),	// VST1d8Q
 3418     UINT64_C(0),	// VST1d8QPseudo
 3419     UINT64_C(4093641229),	// VST1d8Qwb_fixed
 3420     UINT64_C(4093641216),	// VST1d8Qwb_register
 3421     UINT64_C(4093642255),	// VST1d8T
 3422     UINT64_C(0),	// VST1d8TPseudo
 3423     UINT64_C(4093642253),	// VST1d8Twb_fixed
 3424     UINT64_C(4093642240),	// VST1d8Twb_register
 3425     UINT64_C(4093642509),	// VST1d8wb_fixed
 3426     UINT64_C(4093642496),	// VST1d8wb_register
 3427     UINT64_C(4093643343),	// VST1q16
 3428     UINT64_C(0),	// VST1q16HighQPseudo
 3429     UINT64_C(0),	// VST1q16HighTPseudo
 3430     UINT64_C(0),	// VST1q16LowQPseudo_UPD
 3431     UINT64_C(0),	// VST1q16LowTPseudo_UPD
 3432     UINT64_C(4093643341),	// VST1q16wb_fixed
 3433     UINT64_C(4093643328),	// VST1q16wb_register
 3434     UINT64_C(4093643407),	// VST1q32
 3435     UINT64_C(0),	// VST1q32HighQPseudo
 3436     UINT64_C(0),	// VST1q32HighTPseudo
 3437     UINT64_C(0),	// VST1q32LowQPseudo_UPD
 3438     UINT64_C(0),	// VST1q32LowTPseudo_UPD
 3439     UINT64_C(4093643405),	// VST1q32wb_fixed
 3440     UINT64_C(4093643392),	// VST1q32wb_register
 3441     UINT64_C(4093643471),	// VST1q64
 3442     UINT64_C(0),	// VST1q64HighQPseudo
 3443     UINT64_C(0),	// VST1q64HighTPseudo
 3444     UINT64_C(0),	// VST1q64LowQPseudo_UPD
 3445     UINT64_C(0),	// VST1q64LowTPseudo_UPD
 3446     UINT64_C(4093643469),	// VST1q64wb_fixed
 3447     UINT64_C(4093643456),	// VST1q64wb_register
 3448     UINT64_C(4093643279),	// VST1q8
 3449     UINT64_C(0),	// VST1q8HighQPseudo
 3450     UINT64_C(0),	// VST1q8HighTPseudo
 3451     UINT64_C(0),	// VST1q8LowQPseudo_UPD
 3452     UINT64_C(0),	// VST1q8LowTPseudo_UPD
 3453     UINT64_C(4093643277),	// VST1q8wb_fixed
 3454     UINT64_C(4093643264),	// VST1q8wb_register
 3455     UINT64_C(4102030607),	// VST2LNd16
 3456     UINT64_C(0),	// VST2LNd16Pseudo
 3457     UINT64_C(0),	// VST2LNd16Pseudo_UPD
 3458     UINT64_C(4102030592),	// VST2LNd16_UPD
 3459     UINT64_C(4102031631),	// VST2LNd32
 3460     UINT64_C(0),	// VST2LNd32Pseudo
 3461     UINT64_C(0),	// VST2LNd32Pseudo_UPD
 3462     UINT64_C(4102031616),	// VST2LNd32_UPD
 3463     UINT64_C(4102029583),	// VST2LNd8
 3464     UINT64_C(0),	// VST2LNd8Pseudo
 3465     UINT64_C(0),	// VST2LNd8Pseudo_UPD
 3466     UINT64_C(4102029568),	// VST2LNd8_UPD
 3467     UINT64_C(4102030639),	// VST2LNq16
 3468     UINT64_C(0),	// VST2LNq16Pseudo
 3469     UINT64_C(0),	// VST2LNq16Pseudo_UPD
 3470     UINT64_C(4102030624),	// VST2LNq16_UPD
 3471     UINT64_C(4102031695),	// VST2LNq32
 3472     UINT64_C(0),	// VST2LNq32Pseudo
 3473     UINT64_C(0),	// VST2LNq32Pseudo_UPD
 3474     UINT64_C(4102031680),	// VST2LNq32_UPD
 3475     UINT64_C(4093643087),	// VST2b16
 3476     UINT64_C(4093643085),	// VST2b16wb_fixed
 3477     UINT64_C(4093643072),	// VST2b16wb_register
 3478     UINT64_C(4093643151),	// VST2b32
 3479     UINT64_C(4093643149),	// VST2b32wb_fixed
 3480     UINT64_C(4093643136),	// VST2b32wb_register
 3481     UINT64_C(4093643023),	// VST2b8
 3482     UINT64_C(4093643021),	// VST2b8wb_fixed
 3483     UINT64_C(4093643008),	// VST2b8wb_register
 3484     UINT64_C(4093642831),	// VST2d16
 3485     UINT64_C(4093642829),	// VST2d16wb_fixed
 3486     UINT64_C(4093642816),	// VST2d16wb_register
 3487     UINT64_C(4093642895),	// VST2d32
 3488     UINT64_C(4093642893),	// VST2d32wb_fixed
 3489     UINT64_C(4093642880),	// VST2d32wb_register
 3490     UINT64_C(4093642767),	// VST2d8
 3491     UINT64_C(4093642765),	// VST2d8wb_fixed
 3492     UINT64_C(4093642752),	// VST2d8wb_register
 3493     UINT64_C(4093641551),	// VST2q16
 3494     UINT64_C(0),	// VST2q16Pseudo
 3495     UINT64_C(0),	// VST2q16PseudoWB_fixed
 3496     UINT64_C(0),	// VST2q16PseudoWB_register
 3497     UINT64_C(4093641549),	// VST2q16wb_fixed
 3498     UINT64_C(4093641536),	// VST2q16wb_register
 3499     UINT64_C(4093641615),	// VST2q32
 3500     UINT64_C(0),	// VST2q32Pseudo
 3501     UINT64_C(0),	// VST2q32PseudoWB_fixed
 3502     UINT64_C(0),	// VST2q32PseudoWB_register
 3503     UINT64_C(4093641613),	// VST2q32wb_fixed
 3504     UINT64_C(4093641600),	// VST2q32wb_register
 3505     UINT64_C(4093641487),	// VST2q8
 3506     UINT64_C(0),	// VST2q8Pseudo
 3507     UINT64_C(0),	// VST2q8PseudoWB_fixed
 3508     UINT64_C(0),	// VST2q8PseudoWB_register
 3509     UINT64_C(4093641485),	// VST2q8wb_fixed
 3510     UINT64_C(4093641472),	// VST2q8wb_register
 3511     UINT64_C(4102030863),	// VST3LNd16
 3512     UINT64_C(0),	// VST3LNd16Pseudo
 3513     UINT64_C(0),	// VST3LNd16Pseudo_UPD
 3514     UINT64_C(4102030848),	// VST3LNd16_UPD
 3515     UINT64_C(4102031887),	// VST3LNd32
 3516     UINT64_C(0),	// VST3LNd32Pseudo
 3517     UINT64_C(0),	// VST3LNd32Pseudo_UPD
 3518     UINT64_C(4102031872),	// VST3LNd32_UPD
 3519     UINT64_C(4102029839),	// VST3LNd8
 3520     UINT64_C(0),	// VST3LNd8Pseudo
 3521     UINT64_C(0),	// VST3LNd8Pseudo_UPD
 3522     UINT64_C(4102029824),	// VST3LNd8_UPD
 3523     UINT64_C(4102030895),	// VST3LNq16
 3524     UINT64_C(0),	// VST3LNq16Pseudo
 3525     UINT64_C(0),	// VST3LNq16Pseudo_UPD
 3526     UINT64_C(4102030880),	// VST3LNq16_UPD
 3527     UINT64_C(4102031951),	// VST3LNq32
 3528     UINT64_C(0),	// VST3LNq32Pseudo
 3529     UINT64_C(0),	// VST3LNq32Pseudo_UPD
 3530     UINT64_C(4102031936),	// VST3LNq32_UPD
 3531     UINT64_C(4093641807),	// VST3d16
 3532     UINT64_C(0),	// VST3d16Pseudo
 3533     UINT64_C(0),	// VST3d16Pseudo_UPD
 3534     UINT64_C(4093641792),	// VST3d16_UPD
 3535     UINT64_C(4093641871),	// VST3d32
 3536     UINT64_C(0),	// VST3d32Pseudo
 3537     UINT64_C(0),	// VST3d32Pseudo_UPD
 3538     UINT64_C(4093641856),	// VST3d32_UPD
 3539     UINT64_C(4093641743),	// VST3d8
 3540     UINT64_C(0),	// VST3d8Pseudo
 3541     UINT64_C(0),	// VST3d8Pseudo_UPD
 3542     UINT64_C(4093641728),	// VST3d8_UPD
 3543     UINT64_C(4093642063),	// VST3q16
 3544     UINT64_C(0),	// VST3q16Pseudo_UPD
 3545     UINT64_C(4093642048),	// VST3q16_UPD
 3546     UINT64_C(0),	// VST3q16oddPseudo
 3547     UINT64_C(0),	// VST3q16oddPseudo_UPD
 3548     UINT64_C(4093642127),	// VST3q32
 3549     UINT64_C(0),	// VST3q32Pseudo_UPD
 3550     UINT64_C(4093642112),	// VST3q32_UPD
 3551     UINT64_C(0),	// VST3q32oddPseudo
 3552     UINT64_C(0),	// VST3q32oddPseudo_UPD
 3553     UINT64_C(4093641999),	// VST3q8
 3554     UINT64_C(0),	// VST3q8Pseudo_UPD
 3555     UINT64_C(4093641984),	// VST3q8_UPD
 3556     UINT64_C(0),	// VST3q8oddPseudo
 3557     UINT64_C(0),	// VST3q8oddPseudo_UPD
 3558     UINT64_C(4102031119),	// VST4LNd16
 3559     UINT64_C(0),	// VST4LNd16Pseudo
 3560     UINT64_C(0),	// VST4LNd16Pseudo_UPD
 3561     UINT64_C(4102031104),	// VST4LNd16_UPD
 3562     UINT64_C(4102032143),	// VST4LNd32
 3563     UINT64_C(0),	// VST4LNd32Pseudo
 3564     UINT64_C(0),	// VST4LNd32Pseudo_UPD
 3565     UINT64_C(4102032128),	// VST4LNd32_UPD
 3566     UINT64_C(4102030095),	// VST4LNd8
 3567     UINT64_C(0),	// VST4LNd8Pseudo
 3568     UINT64_C(0),	// VST4LNd8Pseudo_UPD
 3569     UINT64_C(4102030080),	// VST4LNd8_UPD
 3570     UINT64_C(4102031151),	// VST4LNq16
 3571     UINT64_C(0),	// VST4LNq16Pseudo
 3572     UINT64_C(0),	// VST4LNq16Pseudo_UPD
 3573     UINT64_C(4102031136),	// VST4LNq16_UPD
 3574     UINT64_C(4102032207),	// VST4LNq32
 3575     UINT64_C(0),	// VST4LNq32Pseudo
 3576     UINT64_C(0),	// VST4LNq32Pseudo_UPD
 3577     UINT64_C(4102032192),	// VST4LNq32_UPD
 3578     UINT64_C(4093640783),	// VST4d16
 3579     UINT64_C(0),	// VST4d16Pseudo
 3580     UINT64_C(0),	// VST4d16Pseudo_UPD
 3581     UINT64_C(4093640768),	// VST4d16_UPD
 3582     UINT64_C(4093640847),	// VST4d32
 3583     UINT64_C(0),	// VST4d32Pseudo
 3584     UINT64_C(0),	// VST4d32Pseudo_UPD
 3585     UINT64_C(4093640832),	// VST4d32_UPD
 3586     UINT64_C(4093640719),	// VST4d8
 3587     UINT64_C(0),	// VST4d8Pseudo
 3588     UINT64_C(0),	// VST4d8Pseudo_UPD
 3589     UINT64_C(4093640704),	// VST4d8_UPD
 3590     UINT64_C(4093641039),	// VST4q16
 3591     UINT64_C(0),	// VST4q16Pseudo_UPD
 3592     UINT64_C(4093641024),	// VST4q16_UPD
 3593     UINT64_C(0),	// VST4q16oddPseudo
 3594     UINT64_C(0),	// VST4q16oddPseudo_UPD
 3595     UINT64_C(4093641103),	// VST4q32
 3596     UINT64_C(0),	// VST4q32Pseudo_UPD
 3597     UINT64_C(4093641088),	// VST4q32_UPD
 3598     UINT64_C(0),	// VST4q32oddPseudo
 3599     UINT64_C(0),	// VST4q32oddPseudo_UPD
 3600     UINT64_C(4093640975),	// VST4q8
 3601     UINT64_C(0),	// VST4q8Pseudo_UPD
 3602     UINT64_C(4093640960),	// VST4q8_UPD
 3603     UINT64_C(0),	// VST4q8oddPseudo
 3604     UINT64_C(0),	// VST4q8oddPseudo_UPD
 3605     UINT64_C(220203776),	// VSTMDDB_UPD
 3606     UINT64_C(209718016),	// VSTMDIA
 3607     UINT64_C(211815168),	// VSTMDIA_UPD
 3608     UINT64_C(0),	// VSTMQIA
 3609     UINT64_C(220203520),	// VSTMSDB_UPD
 3610     UINT64_C(209717760),	// VSTMSIA
 3611     UINT64_C(211814912),	// VSTMSIA_UPD
 3612     UINT64_C(218106624),	// VSTRD
 3613     UINT64_C(218106112),	// VSTRH
 3614     UINT64_C(218106368),	// VSTRS
 3615     UINT64_C(222351232),	// VSTR_FPCXTNS_off
 3616     UINT64_C(207671168),	// VSTR_FPCXTNS_post
 3617     UINT64_C(224448384),	// VSTR_FPCXTNS_pre
 3618     UINT64_C(222359424),	// VSTR_FPCXTS_off
 3619     UINT64_C(207679360),	// VSTR_FPCXTS_post
 3620     UINT64_C(224456576),	// VSTR_FPCXTS_pre
 3621     UINT64_C(218124160),	// VSTR_FPSCR_NZCVQC_off
 3622     UINT64_C(203444096),	// VSTR_FPSCR_NZCVQC_post
 3623     UINT64_C(220221312),	// VSTR_FPSCR_NZCVQC_pre
 3624     UINT64_C(218115968),	// VSTR_FPSCR_off
 3625     UINT64_C(203435904),	// VSTR_FPSCR_post
 3626     UINT64_C(220213120),	// VSTR_FPSCR_pre
 3627     UINT64_C(222343040),	// VSTR_P0_off
 3628     UINT64_C(207662976),	// VSTR_P0_post
 3629     UINT64_C(224440192),	// VSTR_P0_pre
 3630     UINT64_C(222334848),	// VSTR_VPR_off
 3631     UINT64_C(207654784),	// VSTR_VPR_post
 3632     UINT64_C(224432000),	// VSTR_VPR_pre
 3633     UINT64_C(238029632),	// VSUBD
 3634     UINT64_C(238029120),	// VSUBH
 3635     UINT64_C(4070573568),	// VSUBHNv2i32
 3636     UINT64_C(4069524992),	// VSUBHNv4i16
 3637     UINT64_C(4068476416),	// VSUBHNv8i8
 3638     UINT64_C(4070572544),	// VSUBLsv2i64
 3639     UINT64_C(4069523968),	// VSUBLsv4i32
 3640     UINT64_C(4068475392),	// VSUBLsv8i16
 3641     UINT64_C(4087349760),	// VSUBLuv2i64
 3642     UINT64_C(4086301184),	// VSUBLuv4i32
 3643     UINT64_C(4085252608),	// VSUBLuv8i16
 3644     UINT64_C(238029376),	// VSUBS
 3645     UINT64_C(4070572800),	// VSUBWsv2i64
 3646     UINT64_C(4069524224),	// VSUBWsv4i32
 3647     UINT64_C(4068475648),	// VSUBWsv8i16
 3648     UINT64_C(4087350016),	// VSUBWuv2i64
 3649     UINT64_C(4086301440),	// VSUBWuv4i32
 3650     UINT64_C(4085252864),	// VSUBWuv8i16
 3651     UINT64_C(4062186752),	// VSUBfd
 3652     UINT64_C(4062186816),	// VSUBfq
 3653     UINT64_C(4063235328),	// VSUBhd
 3654     UINT64_C(4063235392),	// VSUBhq
 3655     UINT64_C(4076865600),	// VSUBv16i8
 3656     UINT64_C(4080011264),	// VSUBv1i64
 3657     UINT64_C(4078962688),	// VSUBv2i32
 3658     UINT64_C(4080011328),	// VSUBv2i64
 3659     UINT64_C(4077914112),	// VSUBv4i16
 3660     UINT64_C(4078962752),	// VSUBv4i32
 3661     UINT64_C(4077914176),	// VSUBv8i16
 3662     UINT64_C(4076865536),	// VSUBv8i8
 3663     UINT64_C(4088528896),	// VSWPd
 3664     UINT64_C(4088528960),	// VSWPq
 3665     UINT64_C(4088399872),	// VTBL1
 3666     UINT64_C(4088400128),	// VTBL2
 3667     UINT64_C(4088400384),	// VTBL3
 3668     UINT64_C(0),	// VTBL3Pseudo
 3669     UINT64_C(4088400640),	// VTBL4
 3670     UINT64_C(0),	// VTBL4Pseudo
 3671     UINT64_C(4088399936),	// VTBX1
 3672     UINT64_C(4088400192),	// VTBX2
 3673     UINT64_C(4088400448),	// VTBX3
 3674     UINT64_C(0),	// VTBX3Pseudo
 3675     UINT64_C(4088400704),	// VTBX4
 3676     UINT64_C(0),	// VTBX4Pseudo
 3677     UINT64_C(247335744),	// VTOSHD
 3678     UINT64_C(247335232),	// VTOSHH
 3679     UINT64_C(247335488),	// VTOSHS
 3680     UINT64_C(247270208),	// VTOSIRD
 3681     UINT64_C(247269696),	// VTOSIRH
 3682     UINT64_C(247269952),	// VTOSIRS
 3683     UINT64_C(247270336),	// VTOSIZD
 3684     UINT64_C(247269824),	// VTOSIZH
 3685     UINT64_C(247270080),	// VTOSIZS
 3686     UINT64_C(247335872),	// VTOSLD
 3687     UINT64_C(247335360),	// VTOSLH
 3688     UINT64_C(247335616),	// VTOSLS
 3689     UINT64_C(247401280),	// VTOUHD
 3690     UINT64_C(247400768),	// VTOUHH
 3691     UINT64_C(247401024),	// VTOUHS
 3692     UINT64_C(247204672),	// VTOUIRD
 3693     UINT64_C(247204160),	// VTOUIRH
 3694     UINT64_C(247204416),	// VTOUIRS
 3695     UINT64_C(247204800),	// VTOUIZD
 3696     UINT64_C(247204288),	// VTOUIZH
 3697     UINT64_C(247204544),	// VTOUIZS
 3698     UINT64_C(247401408),	// VTOULD
 3699     UINT64_C(247400896),	// VTOULH
 3700     UINT64_C(247401152),	// VTOULS
 3701     UINT64_C(4088791168),	// VTRNd16
 3702     UINT64_C(4089053312),	// VTRNd32
 3703     UINT64_C(4088529024),	// VTRNd8
 3704     UINT64_C(4088791232),	// VTRNq16
 3705     UINT64_C(4089053376),	// VTRNq32
 3706     UINT64_C(4088529088),	// VTRNq8
 3707     UINT64_C(4060088400),	// VTSTv16i8
 3708     UINT64_C(4062185488),	// VTSTv2i32
 3709     UINT64_C(4061136912),	// VTSTv4i16
 3710     UINT64_C(4062185552),	// VTSTv4i32
 3711     UINT64_C(4061136976),	// VTSTv8i16
 3712     UINT64_C(4060088336),	// VTSTv8i8
 3713     UINT64_C(4229958928),	// VUDOTD
 3714     UINT64_C(4263513360),	// VUDOTDI
 3715     UINT64_C(4229958992),	// VUDOTQ
 3716     UINT64_C(4263513424),	// VUDOTQI
 3717     UINT64_C(247139136),	// VUHTOD
 3718     UINT64_C(247138624),	// VUHTOH
 3719     UINT64_C(247138880),	// VUHTOS
 3720     UINT64_C(246942528),	// VUITOD
 3721     UINT64_C(246942016),	// VUITOH
 3722     UINT64_C(246942272),	// VUITOS
 3723     UINT64_C(247139264),	// VULTOD
 3724     UINT64_C(247138752),	// VULTOH
 3725     UINT64_C(247139008),	// VULTOS
 3726     UINT64_C(4088791296),	// VUZPd16
 3727     UINT64_C(4088529152),	// VUZPd8
 3728     UINT64_C(4088791360),	// VUZPq16
 3729     UINT64_C(4089053504),	// VUZPq32
 3730     UINT64_C(4088529216),	// VUZPq8
 3731     UINT64_C(4088791424),	// VZIPd16
 3732     UINT64_C(4088529280),	// VZIPd8
 3733     UINT64_C(4088791488),	// VZIPq16
 3734     UINT64_C(4089053632),	// VZIPq32
 3735     UINT64_C(4088529344),	// VZIPq8
 3736     UINT64_C(139460608),	// sysLDMDA
 3737     UINT64_C(141557760),	// sysLDMDA_UPD
 3738     UINT64_C(156237824),	// sysLDMDB
 3739     UINT64_C(158334976),	// sysLDMDB_UPD
 3740     UINT64_C(147849216),	// sysLDMIA
 3741     UINT64_C(149946368),	// sysLDMIA_UPD
 3742     UINT64_C(164626432),	// sysLDMIB
 3743     UINT64_C(166723584),	// sysLDMIB_UPD
 3744     UINT64_C(138412032),	// sysSTMDA
 3745     UINT64_C(140509184),	// sysSTMDA_UPD
 3746     UINT64_C(155189248),	// sysSTMDB
 3747     UINT64_C(157286400),	// sysSTMDB_UPD
 3748     UINT64_C(146800640),	// sysSTMIA
 3749     UINT64_C(148897792),	// sysSTMIA_UPD
 3750     UINT64_C(163577856),	// sysSTMIB
 3751     UINT64_C(165675008),	// sysSTMIB_UPD
 3752     UINT64_C(4047503360),	// t2ADCri
 3753     UINT64_C(3946840064),	// t2ADCrr
 3754     UINT64_C(3946840064),	// t2ADCrs
 3755     UINT64_C(4043309056),	// t2ADDri
 3756     UINT64_C(4060086272),	// t2ADDri12
 3757     UINT64_C(3942645760),	// t2ADDrr
 3758     UINT64_C(3942645760),	// t2ADDrs
 3759     UINT64_C(4061069312),	// t2ADR
 3760     UINT64_C(4026531840),	// t2ANDri
 3761     UINT64_C(3925868544),	// t2ANDrr
 3762     UINT64_C(3925868544),	// t2ANDrs
 3763     UINT64_C(3931045920),	// t2ASRri
 3764     UINT64_C(4198559744),	// t2ASRrr
 3765     UINT64_C(4026568704),	// t2B
 3766     UINT64_C(4084137984),	// t2BFC
 3767     UINT64_C(4083154944),	// t2BFI
 3768     UINT64_C(4026580993),	// t2BFLi
 3769     UINT64_C(4033929217),	// t2BFLr
 3770     UINT64_C(4030783489),	// t2BFi
 3771     UINT64_C(4026589185),	// t2BFic
 3772     UINT64_C(4032880641),	// t2BFr
 3773     UINT64_C(4028628992),	// t2BICri
 3774     UINT64_C(3927965696),	// t2BICrr
 3775     UINT64_C(3927965696),	// t2BICrs
 3776     UINT64_C(4089483008),	// t2BXJ
 3777     UINT64_C(4026564608),	// t2Bcc
 3778     UINT64_C(3992977408),	// t2CDP
 3779     UINT64_C(4261412864),	// t2CDP2
 3780     UINT64_C(4089417519),	// t2CLREX
 3781     UINT64_C(3902734336),	// t2CLRM
 3782     UINT64_C(4205899904),	// t2CLZ
 3783     UINT64_C(4044361472),	// t2CMNri
 3784     UINT64_C(3943698176),	// t2CMNzrr
 3785     UINT64_C(3943698176),	// t2CMNzrs
 3786     UINT64_C(4054847232),	// t2CMPri
 3787     UINT64_C(3954183936),	// t2CMPrr
 3788     UINT64_C(3954183936),	// t2CMPrs
 3789     UINT64_C(4088365312),	// t2CPS1p
 3790     UINT64_C(4088365056),	// t2CPS2p
 3791     UINT64_C(4088365312),	// t2CPS3p
 3792     UINT64_C(4206948480),	// t2CRC32B
 3793     UINT64_C(4207997056),	// t2CRC32CB
 3794     UINT64_C(4207997072),	// t2CRC32CH
 3795     UINT64_C(4207997088),	// t2CRC32CW
 3796     UINT64_C(4206948496),	// t2CRC32H
 3797     UINT64_C(4206948512),	// t2CRC32W
 3798     UINT64_C(3931144192),	// t2CSEL
 3799     UINT64_C(3931148288),	// t2CSINC
 3800     UINT64_C(3931152384),	// t2CSINV
 3801     UINT64_C(3931156480),	// t2CSNEG
 3802     UINT64_C(4088365296),	// t2DBG
 3803     UINT64_C(4153376769),	// t2DCPS1
 3804     UINT64_C(4153376770),	// t2DCPS2
 3805     UINT64_C(4153376771),	// t2DCPS3
 3806     UINT64_C(4030783489),	// t2DLS
 3807     UINT64_C(4089417552),	// t2DMB
 3808     UINT64_C(4089417536),	// t2DSB
 3809     UINT64_C(4034920448),	// t2EORri
 3810     UINT64_C(3934257152),	// t2EORrr
 3811     UINT64_C(3934257152),	// t2EORrs
 3812     UINT64_C(4088365056),	// t2HINT
 3813     UINT64_C(4158685184),	// t2HVC
 3814     UINT64_C(4089417568),	// t2ISB
 3815     UINT64_C(48896),	// t2IT
 3816     UINT64_C(0),	// t2Int_eh_sjlj_setjmp
 3817     UINT64_C(0),	// t2Int_eh_sjlj_setjmp_nofp
 3818     UINT64_C(3905949615),	// t2LDA
 3819     UINT64_C(3905949583),	// t2LDAB
 3820     UINT64_C(3905949679),	// t2LDAEX
 3821     UINT64_C(3905949647),	// t2LDAEXB
 3822     UINT64_C(3905945855),	// t2LDAEXD
 3823     UINT64_C(3905949663),	// t2LDAEXH
 3824     UINT64_C(3905949599),	// t2LDAH
 3825     UINT64_C(4249878528),	// t2LDC2L_OFFSET
 3826     UINT64_C(4241489920),	// t2LDC2L_OPTION
 3827     UINT64_C(4235198464),	// t2LDC2L_POST
 3828     UINT64_C(4251975680),	// t2LDC2L_PRE
 3829     UINT64_C(4245684224),	// t2LDC2_OFFSET
 3830     UINT64_C(4237295616),	// t2LDC2_OPTION
 3831     UINT64_C(4231004160),	// t2LDC2_POST
 3832     UINT64_C(4247781376),	// t2LDC2_PRE
 3833     UINT64_C(3981443072),	// t2LDCL_OFFSET
 3834     UINT64_C(3973054464),	// t2LDCL_OPTION
 3835     UINT64_C(3966763008),	// t2LDCL_POST
 3836     UINT64_C(3983540224),	// t2LDCL_PRE
 3837     UINT64_C(3977248768),	// t2LDC_OFFSET
 3838     UINT64_C(3968860160),	// t2LDC_OPTION
 3839     UINT64_C(3962568704),	// t2LDC_POST
 3840     UINT64_C(3979345920),	// t2LDC_PRE
 3841     UINT64_C(3910139904),	// t2LDMDB
 3842     UINT64_C(3912237056),	// t2LDMDB_UPD
 3843     UINT64_C(3901751296),	// t2LDMIA
 3844     UINT64_C(3903848448),	// t2LDMIA_UPD
 3845     UINT64_C(4161801728),	// t2LDRBT
 3846     UINT64_C(4161800448),	// t2LDRB_POST
 3847     UINT64_C(4161801472),	// t2LDRB_PRE
 3848     UINT64_C(4170186752),	// t2LDRBi12
 3849     UINT64_C(4161801216),	// t2LDRBi8
 3850     UINT64_C(4162781184),	// t2LDRBpci
 3851     UINT64_C(4161798144),	// t2LDRBs
 3852     UINT64_C(3899654144),	// t2LDRD_POST
 3853     UINT64_C(3916431360),	// t2LDRD_PRE
 3854     UINT64_C(3914334208),	// t2LDRDi8
 3855     UINT64_C(3897560832),	// t2LDREX
 3856     UINT64_C(3905949519),	// t2LDREXB
 3857     UINT64_C(3905945727),	// t2LDREXD
 3858     UINT64_C(3905949535),	// t2LDREXH
 3859     UINT64_C(4163898880),	// t2LDRHT
 3860     UINT64_C(4163897600),	// t2LDRH_POST
 3861     UINT64_C(4163898624),	// t2LDRH_PRE
 3862     UINT64_C(4172283904),	// t2LDRHi12
 3863     UINT64_C(4163898368),	// t2LDRHi8
 3864     UINT64_C(4164878336),	// t2LDRHpci
 3865     UINT64_C(4163895296),	// t2LDRHs
 3866     UINT64_C(4178578944),	// t2LDRSBT
 3867     UINT64_C(4178577664),	// t2LDRSB_POST
 3868     UINT64_C(4178578688),	// t2LDRSB_PRE
 3869     UINT64_C(4186963968),	// t2LDRSBi12
 3870     UINT64_C(4178578432),	// t2LDRSBi8
 3871     UINT64_C(4179558400),	// t2LDRSBpci
 3872     UINT64_C(4178575360),	// t2LDRSBs
 3873     UINT64_C(4180676096),	// t2LDRSHT
 3874     UINT64_C(4180674816),	// t2LDRSH_POST
 3875     UINT64_C(4180675840),	// t2LDRSH_PRE
 3876     UINT64_C(4189061120),	// t2LDRSHi12
 3877     UINT64_C(4180675584),	// t2LDRSHi8
 3878     UINT64_C(4181655552),	// t2LDRSHpci
 3879     UINT64_C(4180672512),	// t2LDRSHs
 3880     UINT64_C(4165996032),	// t2LDRT
 3881     UINT64_C(4165994752),	// t2LDR_POST
 3882     UINT64_C(4165995776),	// t2LDR_PRE
 3883     UINT64_C(4174381056),	// t2LDRi12
 3884     UINT64_C(4165995520),	// t2LDRi8
 3885     UINT64_C(4166975488),	// t2LDRpci
 3886     UINT64_C(4165992448),	// t2LDRs
 3887     UINT64_C(4029661185),	// t2LE
 3888     UINT64_C(4027564033),	// t2LEUpdate
 3889     UINT64_C(3931045888),	// t2LSLri
 3890     UINT64_C(4194365440),	// t2LSLrr
 3891     UINT64_C(3931045904),	// t2LSRri
 3892     UINT64_C(4196462592),	// t2LSRrr
 3893     UINT64_C(3992977424),	// t2MCR
 3894     UINT64_C(4261412880),	// t2MCR2
 3895     UINT64_C(3963617280),	// t2MCRR
 3896     UINT64_C(4232052736),	// t2MCRR2
 3897     UINT64_C(4211081216),	// t2MLA
 3898     UINT64_C(4211081232),	// t2MLS
 3899     UINT64_C(4072669184),	// t2MOVTi16
 3900     UINT64_C(4031709184),	// t2MOVi
 3901     UINT64_C(4064280576),	// t2MOVi16
 3902     UINT64_C(3931045888),	// t2MOVr
 3903     UINT64_C(3932094560),	// t2MOVsra_flag
 3904     UINT64_C(3932094544),	// t2MOVsrl_flag
 3905     UINT64_C(3994026000),	// t2MRC
 3906     UINT64_C(4262461456),	// t2MRC2
 3907     UINT64_C(3964665856),	// t2MRRC
 3908     UINT64_C(4233101312),	// t2MRRC2
 3909     UINT64_C(4092559360),	// t2MRS_AR
 3910     UINT64_C(4092559360),	// t2MRS_M
 3911     UINT64_C(4091576352),	// t2MRSbanked
 3912     UINT64_C(4093607936),	// t2MRSsys_AR
 3913     UINT64_C(4085284864),	// t2MSR_AR
 3914     UINT64_C(4085284864),	// t2MSR_M
 3915     UINT64_C(4085284896),	// t2MSRbanked
 3916     UINT64_C(4211142656),	// t2MUL
 3917     UINT64_C(4033806336),	// t2MVNi
 3918     UINT64_C(3933143040),	// t2MVNr
 3919     UINT64_C(3933143040),	// t2MVNs
 3920     UINT64_C(4032823296),	// t2ORNri
 3921     UINT64_C(3932160000),	// t2ORNrr
 3922     UINT64_C(3932160000),	// t2ORNrs
 3923     UINT64_C(4030726144),	// t2ORRri
 3924     UINT64_C(3930062848),	// t2ORRrr
 3925     UINT64_C(3930062848),	// t2ORRrs
 3926     UINT64_C(3938451456),	// t2PKHBT
 3927     UINT64_C(3938451488),	// t2PKHTB
 3928     UINT64_C(4172345344),	// t2PLDWi12
 3929     UINT64_C(4163959808),	// t2PLDWi8
 3930     UINT64_C(4163956736),	// t2PLDWs
 3931     UINT64_C(4170248192),	// t2PLDi12
 3932     UINT64_C(4161862656),	// t2PLDi8
 3933     UINT64_C(4162842624),	// t2PLDpci
 3934     UINT64_C(4161859584),	// t2PLDs
 3935     UINT64_C(4187025408),	// t2PLIi12
 3936     UINT64_C(4178639872),	// t2PLIi8
 3937     UINT64_C(4179619840),	// t2PLIpci
 3938     UINT64_C(4178636800),	// t2PLIs
 3939     UINT64_C(4202754176),	// t2QADD
 3940     UINT64_C(4203802640),	// t2QADD16
 3941     UINT64_C(4202754064),	// t2QADD8
 3942     UINT64_C(4204851216),	// t2QASX
 3943     UINT64_C(4202754192),	// t2QDADD
 3944     UINT64_C(4202754224),	// t2QDSUB
 3945     UINT64_C(4209045520),	// t2QSAX
 3946     UINT64_C(4202754208),	// t2QSUB
 3947     UINT64_C(4207996944),	// t2QSUB16
 3948     UINT64_C(4206948368),	// t2QSUB8
 3949     UINT64_C(4203802784),	// t2RBIT
 3950     UINT64_C(4203802752),	// t2REV
 3951     UINT64_C(4203802768),	// t2REV16
 3952     UINT64_C(4203802800),	// t2REVSH
 3953     UINT64_C(3893411840),	// t2RFEDB
 3954     UINT64_C(3895508992),	// t2RFEDBW
 3955     UINT64_C(3918577664),	// t2RFEIA
 3956     UINT64_C(3920674816),	// t2RFEIAW
 3957     UINT64_C(3931045936),	// t2RORri
 3958     UINT64_C(4200656896),	// t2RORrr
 3959     UINT64_C(3931045936),	// t2RRX
 3960     UINT64_C(4055891968),	// t2RSBri
 3961     UINT64_C(3955228672),	// t2RSBrr
 3962     UINT64_C(3955228672),	// t2RSBrs
 3963     UINT64_C(4203802624),	// t2SADD16
 3964     UINT64_C(4202754048),	// t2SADD8
 3965     UINT64_C(4204851200),	// t2SASX
 3966     UINT64_C(4089417584),	// t2SB
 3967     UINT64_C(4049600512),	// t2SBCri
 3968     UINT64_C(3948937216),	// t2SBCrr
 3969     UINT64_C(3948937216),	// t2SBCrs
 3970     UINT64_C(4081057792),	// t2SBFX
 3971     UINT64_C(4220580080),	// t2SDIV
 3972     UINT64_C(4204851328),	// t2SEL
 3973     UINT64_C(46608),	// t2SETPAN
 3974     UINT64_C(3917474175),	// t2SG
 3975     UINT64_C(4203802656),	// t2SHADD16
 3976     UINT64_C(4202754080),	// t2SHADD8
 3977     UINT64_C(4204851232),	// t2SHASX
 3978     UINT64_C(4209045536),	// t2SHSAX
 3979     UINT64_C(4207996960),	// t2SHSUB16
 3980     UINT64_C(4206948384),	// t2SHSUB8
 3981     UINT64_C(4159733760),	// t2SMC
 3982     UINT64_C(4212129792),	// t2SMLABB
 3983     UINT64_C(4212129808),	// t2SMLABT
 3984     UINT64_C(4213178368),	// t2SMLAD
 3985     UINT64_C(4213178384),	// t2SMLADX
 3986     UINT64_C(4223664128),	// t2SMLAL
 3987     UINT64_C(4223664256),	// t2SMLALBB
 3988     UINT64_C(4223664272),	// t2SMLALBT
 3989     UINT64_C(4223664320),	// t2SMLALD
 3990     UINT64_C(4223664336),	// t2SMLALDX
 3991     UINT64_C(4223664288),	// t2SMLALTB
 3992     UINT64_C(4223664304),	// t2SMLALTT
 3993     UINT64_C(4212129824),	// t2SMLATB
 3994     UINT64_C(4212129840),	// t2SMLATT
 3995     UINT64_C(4214226944),	// t2SMLAWB
 3996     UINT64_C(4214226960),	// t2SMLAWT
 3997     UINT64_C(4215275520),	// t2SMLSD
 3998     UINT64_C(4215275536),	// t2SMLSDX
 3999     UINT64_C(4224712896),	// t2SMLSLD
 4000     UINT64_C(4224712912),	// t2SMLSLDX
 4001     UINT64_C(4216324096),	// t2SMMLA
 4002     UINT64_C(4216324112),	// t2SMMLAR
 4003     UINT64_C(4217372672),	// t2SMMLS
 4004     UINT64_C(4217372688),	// t2SMMLSR
 4005     UINT64_C(4216385536),	// t2SMMUL
 4006     UINT64_C(4216385552),	// t2SMMULR
 4007     UINT64_C(4213239808),	// t2SMUAD
 4008     UINT64_C(4213239824),	// t2SMUADX
 4009     UINT64_C(4212191232),	// t2SMULBB
 4010     UINT64_C(4212191248),	// t2SMULBT
 4011     UINT64_C(4219469824),	// t2SMULL
 4012     UINT64_C(4212191264),	// t2SMULTB
 4013     UINT64_C(4212191280),	// t2SMULTT
 4014     UINT64_C(4214288384),	// t2SMULWB
 4015     UINT64_C(4214288400),	// t2SMULWT
 4016     UINT64_C(4215336960),	// t2SMUSD
 4017     UINT64_C(4215336976),	// t2SMUSDX
 4018     UINT64_C(3893215232),	// t2SRSDB
 4019     UINT64_C(3895312384),	// t2SRSDB_UPD
 4020     UINT64_C(3918381056),	// t2SRSIA
 4021     UINT64_C(3920478208),	// t2SRSIA_UPD
 4022     UINT64_C(4076863488),	// t2SSAT
 4023     UINT64_C(4078960640),	// t2SSAT16
 4024     UINT64_C(4209045504),	// t2SSAX
 4025     UINT64_C(4207996928),	// t2SSUB16
 4026     UINT64_C(4206948352),	// t2SSUB8
 4027     UINT64_C(4248829952),	// t2STC2L_OFFSET
 4028     UINT64_C(4240441344),	// t2STC2L_OPTION
 4029     UINT64_C(4234149888),	// t2STC2L_POST
 4030     UINT64_C(4250927104),	// t2STC2L_PRE
 4031     UINT64_C(4244635648),	// t2STC2_OFFSET
 4032     UINT64_C(4236247040),	// t2STC2_OPTION
 4033     UINT64_C(4229955584),	// t2STC2_POST
 4034     UINT64_C(4246732800),	// t2STC2_PRE
 4035     UINT64_C(3980394496),	// t2STCL_OFFSET
 4036     UINT64_C(3972005888),	// t2STCL_OPTION
 4037     UINT64_C(3965714432),	// t2STCL_POST
 4038     UINT64_C(3982491648),	// t2STCL_PRE
 4039     UINT64_C(3976200192),	// t2STC_OFFSET
 4040     UINT64_C(3967811584),	// t2STC_OPTION
 4041     UINT64_C(3961520128),	// t2STC_POST
 4042     UINT64_C(3978297344),	// t2STC_PRE
 4043     UINT64_C(3904901039),	// t2STL
 4044     UINT64_C(3904901007),	// t2STLB
 4045     UINT64_C(3904901088),	// t2STLEX
 4046     UINT64_C(3904901056),	// t2STLEXB
 4047     UINT64_C(3904897264),	// t2STLEXD
 4048     UINT64_C(3904901072),	// t2STLEXH
 4049     UINT64_C(3904901023),	// t2STLH
 4050     UINT64_C(3909091328),	// t2STMDB
 4051     UINT64_C(3911188480),	// t2STMDB_UPD
 4052     UINT64_C(3900702720),	// t2STMIA
 4053     UINT64_C(3902799872),	// t2STMIA_UPD
 4054     UINT64_C(4160753152),	// t2STRBT
 4055     UINT64_C(4160751872),	// t2STRB_POST
 4056     UINT64_C(4160752896),	// t2STRB_PRE
 4057     UINT64_C(4169138176),	// t2STRBi12
 4058     UINT64_C(4160752640),	// t2STRBi8
 4059     UINT64_C(4160749568),	// t2STRBs
 4060     UINT64_C(3898605568),	// t2STRD_POST
 4061     UINT64_C(3915382784),	// t2STRD_PRE
 4062     UINT64_C(3913285632),	// t2STRDi8
 4063     UINT64_C(3896508416),	// t2STREX
 4064     UINT64_C(3904900928),	// t2STREXB
 4065     UINT64_C(3904897136),	// t2STREXD
 4066     UINT64_C(3904900944),	// t2STREXH
 4067     UINT64_C(4162850304),	// t2STRHT
 4068     UINT64_C(4162849024),	// t2STRH_POST
 4069     UINT64_C(4162850048),	// t2STRH_PRE
 4070     UINT64_C(4171235328),	// t2STRHi12
 4071     UINT64_C(4162849792),	// t2STRHi8
 4072     UINT64_C(4162846720),	// t2STRHs
 4073     UINT64_C(4164947456),	// t2STRT
 4074     UINT64_C(4164946176),	// t2STR_POST
 4075     UINT64_C(4164947200),	// t2STR_PRE
 4076     UINT64_C(4173332480),	// t2STRi12
 4077     UINT64_C(4164946944),	// t2STRi8
 4078     UINT64_C(4164943872),	// t2STRs
 4079     UINT64_C(4091449088),	// t2SUBS_PC_LR
 4080     UINT64_C(4053794816),	// t2SUBri
 4081     UINT64_C(4070572032),	// t2SUBri12
 4082     UINT64_C(3953131520),	// t2SUBrr
 4083     UINT64_C(3953131520),	// t2SUBrs
 4084     UINT64_C(4198559872),	// t2SXTAB
 4085     UINT64_C(4196462720),	// t2SXTAB16
 4086     UINT64_C(4194365568),	// t2SXTAH
 4087     UINT64_C(4199542912),	// t2SXTB
 4088     UINT64_C(4197445760),	// t2SXTB16
 4089     UINT64_C(4195348608),	// t2SXTH
 4090     UINT64_C(3906007040),	// t2TBB
 4091     UINT64_C(3906007056),	// t2TBH
 4092     UINT64_C(4035972864),	// t2TEQri
 4093     UINT64_C(3935309568),	// t2TEQrr
 4094     UINT64_C(3935309568),	// t2TEQrs
 4095     UINT64_C(4088365074),	// t2TSB
 4096     UINT64_C(4027584256),	// t2TSTri
 4097     UINT64_C(3926920960),	// t2TSTrr
 4098     UINT64_C(3926920960),	// t2TSTrs
 4099     UINT64_C(3896569856),	// t2TT
 4100     UINT64_C(3896569984),	// t2TTA
 4101     UINT64_C(3896570048),	// t2TTAT
 4102     UINT64_C(3896569920),	// t2TTT
 4103     UINT64_C(4203802688),	// t2UADD16
 4104     UINT64_C(4202754112),	// t2UADD8
 4105     UINT64_C(4204851264),	// t2UASX
 4106     UINT64_C(4089446400),	// t2UBFX
 4107     UINT64_C(4159741952),	// t2UDF
 4108     UINT64_C(4222677232),	// t2UDIV
 4109     UINT64_C(4203802720),	// t2UHADD16
 4110     UINT64_C(4202754144),	// t2UHADD8
 4111     UINT64_C(4204851296),	// t2UHASX
 4112     UINT64_C(4209045600),	// t2UHSAX
 4113     UINT64_C(4207997024),	// t2UHSUB16
 4114     UINT64_C(4206948448),	// t2UHSUB8
 4115     UINT64_C(4225761376),	// t2UMAAL
 4116     UINT64_C(4225761280),	// t2UMLAL
 4117     UINT64_C(4221566976),	// t2UMULL
 4118     UINT64_C(4203802704),	// t2UQADD16
 4119     UINT64_C(4202754128),	// t2UQADD8
 4120     UINT64_C(4204851280),	// t2UQASX
 4121     UINT64_C(4209045584),	// t2UQSAX
 4122     UINT64_C(4207997008),	// t2UQSUB16
 4123     UINT64_C(4206948432),	// t2UQSUB8
 4124     UINT64_C(4218482688),	// t2USAD8
 4125     UINT64_C(4218421248),	// t2USADA8
 4126     UINT64_C(4085252096),	// t2USAT
 4127     UINT64_C(4087349248),	// t2USAT16
 4128     UINT64_C(4209045568),	// t2USAX
 4129     UINT64_C(4207996992),	// t2USUB16
 4130     UINT64_C(4206948416),	// t2USUB8
 4131     UINT64_C(4199608448),	// t2UXTAB
 4132     UINT64_C(4197511296),	// t2UXTAB16
 4133     UINT64_C(4195414144),	// t2UXTAH
 4134     UINT64_C(4200591488),	// t2UXTB
 4135     UINT64_C(4198494336),	// t2UXTB16
 4136     UINT64_C(4196397184),	// t2UXTH
 4137     UINT64_C(4030775297),	// t2WLS
 4138     UINT64_C(16704),	// tADC
 4139     UINT64_C(17408),	// tADDhirr
 4140     UINT64_C(7168),	// tADDi3
 4141     UINT64_C(12288),	// tADDi8
 4142     UINT64_C(17512),	// tADDrSP
 4143     UINT64_C(43008),	// tADDrSPi
 4144     UINT64_C(6144),	// tADDrr
 4145     UINT64_C(45056),	// tADDspi
 4146     UINT64_C(17541),	// tADDspr
 4147     UINT64_C(40960),	// tADR
 4148     UINT64_C(16384),	// tAND
 4149     UINT64_C(4096),	// tASRri
 4150     UINT64_C(16640),	// tASRrr
 4151     UINT64_C(57344),	// tB
 4152     UINT64_C(17280),	// tBIC
 4153     UINT64_C(48640),	// tBKPT
 4154     UINT64_C(4026585088),	// tBL
 4155     UINT64_C(18308),	// tBLXNSr
 4156     UINT64_C(4026580992),	// tBLXi
 4157     UINT64_C(18304),	// tBLXr
 4158     UINT64_C(18176),	// tBX
 4159     UINT64_C(18180),	// tBXNS
 4160     UINT64_C(53248),	// tBcc
 4161     UINT64_C(47360),	// tCBNZ
 4162     UINT64_C(45312),	// tCBZ
 4163     UINT64_C(17088),	// tCMNz
 4164     UINT64_C(17664),	// tCMPhir
 4165     UINT64_C(10240),	// tCMPi8
 4166     UINT64_C(17024),	// tCMPr
 4167     UINT64_C(46688),	// tCPS
 4168     UINT64_C(16448),	// tEOR
 4169     UINT64_C(48896),	// tHINT
 4170     UINT64_C(47744),	// tHLT
 4171     UINT64_C(0),	// tInt_WIN_eh_sjlj_longjmp
 4172     UINT64_C(0),	// tInt_eh_sjlj_longjmp
 4173     UINT64_C(0),	// tInt_eh_sjlj_setjmp
 4174     UINT64_C(51200),	// tLDMIA
 4175     UINT64_C(30720),	// tLDRBi
 4176     UINT64_C(23552),	// tLDRBr
 4177     UINT64_C(34816),	// tLDRHi
 4178     UINT64_C(23040),	// tLDRHr
 4179     UINT64_C(22016),	// tLDRSB
 4180     UINT64_C(24064),	// tLDRSH
 4181     UINT64_C(26624),	// tLDRi
 4182     UINT64_C(18432),	// tLDRpci
 4183     UINT64_C(22528),	// tLDRr
 4184     UINT64_C(38912),	// tLDRspi
 4185     UINT64_C(0),	// tLSLri
 4186     UINT64_C(16512),	// tLSLrr
 4187     UINT64_C(2048),	// tLSRri
 4188     UINT64_C(16576),	// tLSRrr
 4189     UINT64_C(0),	// tMOVSr
 4190     UINT64_C(8192),	// tMOVi8
 4191     UINT64_C(17920),	// tMOVr
 4192     UINT64_C(17216),	// tMUL
 4193     UINT64_C(17344),	// tMVN
 4194     UINT64_C(17152),	// tORR
 4195     UINT64_C(17528),	// tPICADD
 4196     UINT64_C(48128),	// tPOP
 4197     UINT64_C(46080),	// tPUSH
 4198     UINT64_C(47616),	// tREV
 4199     UINT64_C(47680),	// tREV16
 4200     UINT64_C(47808),	// tREVSH
 4201     UINT64_C(16832),	// tROR
 4202     UINT64_C(16960),	// tRSB
 4203     UINT64_C(16768),	// tSBC
 4204     UINT64_C(46672),	// tSETEND
 4205     UINT64_C(49152),	// tSTMIA_UPD
 4206     UINT64_C(28672),	// tSTRBi
 4207     UINT64_C(21504),	// tSTRBr
 4208     UINT64_C(32768),	// tSTRHi
 4209     UINT64_C(20992),	// tSTRHr
 4210     UINT64_C(24576),	// tSTRi
 4211     UINT64_C(20480),	// tSTRr
 4212     UINT64_C(36864),	// tSTRspi
 4213     UINT64_C(7680),	// tSUBi3
 4214     UINT64_C(14336),	// tSUBi8
 4215     UINT64_C(6656),	// tSUBrr
 4216     UINT64_C(45184),	// tSUBspi
 4217     UINT64_C(57088),	// tSVC
 4218     UINT64_C(45632),	// tSXTB
 4219     UINT64_C(45568),	// tSXTH
 4220     UINT64_C(57086),	// tTRAP
 4221     UINT64_C(16896),	// tTST
 4222     UINT64_C(56832),	// tUDF
 4223     UINT64_C(45760),	// tUXTB
 4224     UINT64_C(45696),	// tUXTH
 4225     UINT64_C(57081),	// t__brkdiv0
 4226     UINT64_C(0)
 4504       Value |= (op & UINT64_C(16)) << 18;
 4505       Value |= (op & UINT64_C(15)) << 12;
 4508       Value |= (op & UINT64_C(16)) << 1;
 4509       Value |= (op & UINT64_C(15));
 4520       Value |= (op & UINT64_C(16)) << 18;
 4521       Value |= (op & UINT64_C(15)) << 12;
 4524       Value |= (op & UINT64_C(15)) << 16;
 4525       Value |= (op & UINT64_C(16)) << 3;
 4528       Value |= (op & UINT64_C(16)) << 1;
 4529       Value |= (op & UINT64_C(15));
 4535       Value |= (op & UINT64_C(8)) << 19;
 4536       Value |= (op & UINT64_C(7)) << 13;
 4544       Value |= (op & UINT64_C(7)) << 17;
 4545       Value |= (op & UINT64_C(8)) << 4;
 4548       op &= UINT64_C(15);
 4556       Value |= (op & UINT64_C(7)) << 17;
 4557       Value |= (op & UINT64_C(8)) << 4;
 4560       op &= UINT64_C(15);
 4565       Value |= (op & UINT64_C(1)) << 21;
 4566       Value |= (op & UINT64_C(2)) << 15;
 4572       Value |= (op & UINT64_C(7)) << 17;
 4573       Value |= (op & UINT64_C(8)) << 4;
 4576       op &= UINT64_C(15);
 4581       Value |= (op & UINT64_C(2)) << 20;
 4582       Value |= (op & UINT64_C(4)) << 14;
 4583       Value |= (op & UINT64_C(1)) << 6;
 4589       Value |= (op & UINT64_C(7)) << 17;
 4590       Value |= (op & UINT64_C(8)) << 4;
 4593       op &= UINT64_C(15);
 4598       Value |= (op & UINT64_C(4)) << 19;
 4599       Value |= (op & UINT64_C(8)) << 13;
 4600       Value |= (op & UINT64_C(3)) << 5;
 4646       Value |= (op & UINT64_C(8)) << 19;
 4647       Value |= (op & UINT64_C(7)) << 13;
 4650       Value |= (op & UINT64_C(8)) << 2;
 4651       Value |= (op & UINT64_C(7)) << 1;
 4680       Value |= (op & UINT64_C(8)) << 19;
 4681       Value |= (op & UINT64_C(7)) << 13;
 4684       Value |= (op & UINT64_C(8)) << 2;
 4685       Value |= (op & UINT64_C(7)) << 1;
 4688       Value |= (op & UINT64_C(7)) << 17;
 4689       Value |= (op & UINT64_C(8)) << 4;
 4698       Value |= (op & UINT64_C(8)) << 19;
 4699       Value |= (op & UINT64_C(7)) << 13;
 4702       Value |= (op & UINT64_C(8)) << 2;
 4703       Value |= (op & UINT64_C(7)) << 1;
 4706       op &= UINT64_C(15);
 4717       Value |= (op & UINT64_C(8)) << 19;
 4718       Value |= (op & UINT64_C(7)) << 13;
 4721       Value |= (op & UINT64_C(8)) << 2;
 4722       Value |= (op & UINT64_C(7)) << 1;
 4725       op &= UINT64_C(7);
 4736       Value |= (op & UINT64_C(8)) << 19;
 4737       Value |= (op & UINT64_C(7)) << 13;
 4740       Value |= (op & UINT64_C(8)) << 2;
 4741       Value |= (op & UINT64_C(7)) << 1;
 4744       op &= UINT64_C(15);
 4755       Value |= (op & UINT64_C(8)) << 19;
 4756       Value |= (op & UINT64_C(7)) << 13;
 4759       Value |= (op & UINT64_C(8)) << 2;
 4760       Value |= (op & UINT64_C(7)) << 1;
 4763       op &= UINT64_C(31);
 4774       Value |= (op & UINT64_C(8)) << 19;
 4775       Value |= (op & UINT64_C(7)) << 13;
 4778       Value |= (op & UINT64_C(8)) << 2;
 4779       Value |= (op & UINT64_C(7)) << 1;
 4782       op &= UINT64_C(7);
 4793       Value |= (op & UINT64_C(8)) << 19;
 4794       Value |= (op & UINT64_C(7)) << 13;
 4797       Value |= (op & UINT64_C(8)) << 2;
 4798       Value |= (op & UINT64_C(7)) << 1;
 4801       op &= UINT64_C(15);
 4812       Value |= (op & UINT64_C(8)) << 19;
 4813       Value |= (op & UINT64_C(7)) << 13;
 4816       Value |= (op & UINT64_C(8)) << 2;
 4817       Value |= (op & UINT64_C(7)) << 1;
 4820       op &= UINT64_C(31);
 4831       Value |= (op & UINT64_C(8)) << 19;
 4832       Value |= (op & UINT64_C(7)) << 13;
 4835       Value |= (op & UINT64_C(8)) << 2;
 4836       Value |= (op & UINT64_C(7)) << 1;
 4839       op &= UINT64_C(7);
 4876       Value |= (op & UINT64_C(8)) << 19;
 4877       Value |= (op & UINT64_C(7)) << 13;
 4880       Value |= (op & UINT64_C(8)) << 2;
 4881       Value |= (op & UINT64_C(7)) << 1;
 4923       Value |= (op & UINT64_C(8)) << 19;
 4924       Value |= (op & UINT64_C(7)) << 13;
 4927       Value |= (op & UINT64_C(8)) << 2;
 4928       Value |= (op & UINT64_C(7)) << 1;
 4931       Value |= (op & UINT64_C(7)) << 17;
 4932       Value |= (op & UINT64_C(8)) << 4;
 4939       Value |= (op & UINT64_C(8)) << 19;
 4940       Value |= (op & UINT64_C(7)) << 13;
 4943       Value |= (op & UINT64_C(8)) << 2;
 4944       Value |= (op & UINT64_C(7)) << 1;
 4947       Value |= (op & UINT64_C(7)) << 17;
 4948       Value |= (op & UINT64_C(8)) << 4;
 4951       Value |= (op & UINT64_C(2)) << 11;
 4952       Value |= (op & UINT64_C(1));
 4963       Value |= (op & UINT64_C(8)) << 19;
 4964       Value |= (op & UINT64_C(7)) << 13;
 4967       Value |= (op & UINT64_C(8)) << 2;
 4968       Value |= (op & UINT64_C(7)) << 1;
 4971       Value |= (op & UINT64_C(7)) << 17;
 4972       Value |= (op & UINT64_C(8)) << 4;
 4975       op &= UINT64_C(1);
 4983       Value |= (op & UINT64_C(8)) << 19;
 4984       Value |= (op & UINT64_C(7)) << 13;
 4987       Value |= (op & UINT64_C(8)) << 2;
 4988       Value |= (op & UINT64_C(7)) << 1;
 4991       op &= UINT64_C(15);
 4999       Value |= (op & UINT64_C(8)) << 19;
 5000       Value |= (op & UINT64_C(7)) << 13;
 5003       Value |= (op & UINT64_C(8)) << 2;
 5004       Value |= (op & UINT64_C(7)) << 1;
 5007       op &= UINT64_C(31);
 5015       Value |= (op & UINT64_C(8)) << 19;
 5016       Value |= (op & UINT64_C(7)) << 13;
 5019       Value |= (op & UINT64_C(8)) << 2;
 5020       Value |= (op & UINT64_C(7)) << 1;
 5023       op &= UINT64_C(7);
 5047       Value |= (op & UINT64_C(8)) << 19;
 5048       Value |= (op & UINT64_C(7)) << 13;
 5051       Value |= (op & UINT64_C(8)) << 2;
 5052       Value |= (op & UINT64_C(7)) << 1;
 5055       op &= UINT64_C(15);
 5063       Value |= (op & UINT64_C(8)) << 19;
 5064       Value |= (op & UINT64_C(7)) << 13;
 5067       Value |= (op & UINT64_C(8)) << 2;
 5068       Value |= (op & UINT64_C(7)) << 1;
 5071       op &= UINT64_C(31);
 5095       Value |= (op & UINT64_C(8)) << 19;
 5096       Value |= (op & UINT64_C(7)) << 13;
 5099       Value |= (op & UINT64_C(8)) << 2;
 5100       Value |= (op & UINT64_C(7)) << 1;
 5103       op &= UINT64_C(7);
 5138       Value |= (op & UINT64_C(8)) << 19;
 5139       Value |= (op & UINT64_C(7)) << 13;
 5142       Value |= (op & UINT64_C(8)) << 2;
 5143       Value |= (op & UINT64_C(7)) << 1;
 5146       Value |= (op & UINT64_C(7)) << 17;
 5147       Value |= (op & UINT64_C(8)) << 4;
 5219       Value |= (op & UINT64_C(8)) << 19;
 5220       Value |= (op & UINT64_C(7)) << 13;
 5223       Value |= (op & UINT64_C(7)) << 17;
 5224       Value |= (op & UINT64_C(8)) << 4;
 5227       Value |= (op & UINT64_C(8)) << 2;
 5228       Value |= (op & UINT64_C(7)) << 1;
 5285       Value |= (op & UINT64_C(8)) << 19;
 5286       Value |= (op & UINT64_C(7)) << 13;
 5289       Value |= (op & UINT64_C(7)) << 17;
 5290       Value |= (op & UINT64_C(8)) << 4;
 5293       op &= UINT64_C(15);
 5327       Value |= (op & UINT64_C(8)) << 19;
 5328       Value |= (op & UINT64_C(7)) << 13;
 5331       Value |= (op & UINT64_C(7)) << 17;
 5332       Value |= (op & UINT64_C(8)) << 4;
 5335       op &= UINT64_C(15);
 5365       Value |= (op & UINT64_C(8)) << 19;
 5366       Value |= (op & UINT64_C(7)) << 13;
 5369       op &= UINT64_C(15);
 5381       Value |= (op & UINT64_C(8)) << 19;
 5382       Value |= (op & UINT64_C(7)) << 13;
 5385       op &= UINT64_C(14);
 5389       op &= UINT64_C(14);
 5394       Value |= (op & UINT64_C(2)) << 6;
 5395       Value |= (op & UINT64_C(1));
 5406       Value |= (op & UINT64_C(8)) << 19;
 5407       Value |= (op & UINT64_C(7)) << 13;
 5410       op &= UINT64_C(14);
 5415       Value |= (op & UINT64_C(2)) << 6;
 5416       Value |= (op & UINT64_C(1));
 5423       op &= UINT64_C(7);
 5428       Value |= (op & UINT64_C(128)) << 16;
 5429       Value |= (op & UINT64_C(1792)) << 9;
 5430       Value |= (op & UINT64_C(127));
 5437       op &= UINT64_C(7);
 5442       Value |= (op & UINT64_C(128)) << 16;
 5443       Value |= (op & UINT64_C(1792)) << 9;
 5444       Value |= (op & UINT64_C(127));
 5475       op &= UINT64_C(7);
 5480       Value |= (op & UINT64_C(120)) << 13;
 5481       Value |= (op & UINT64_C(7)) << 1;
 5492       op &= UINT64_C(7);
 5497       Value |= (op & UINT64_C(128)) << 16;
 5498       Value |= (op & UINT64_C(1792)) << 8;
 5499       Value |= (op & UINT64_C(127));
 5506       op &= UINT64_C(7);
 5511       Value |= (op & UINT64_C(128)) << 16;
 5512       Value |= (op & UINT64_C(3840)) << 8;
 5513       Value |= (op & UINT64_C(127));
 5521       op &= UINT64_C(7);
 5526       Value |= (op & UINT64_C(128)) << 16;
 5527       Value |= (op & UINT64_C(1792)) << 8;
 5528       Value |= (op & UINT64_C(127));
 5535       op &= UINT64_C(7);
 5540       Value |= (op & UINT64_C(128)) << 16;
 5541       Value |= (op & UINT64_C(3840)) << 8;
 5542       Value |= (op & UINT64_C(127));
 5549       op &= UINT64_C(7);
 5554       Value |= (op & UINT64_C(128)) << 16;
 5555       Value |= (op & UINT64_C(3840)) << 8;
 5556       Value |= (op & UINT64_C(127));
 5562       Value |= (op & UINT64_C(7)) << 17;
 5563       Value |= (op & UINT64_C(8)) << 4;
 5566       op &= UINT64_C(15);
 5571       Value |= (op & UINT64_C(1)) << 21;
 5572       Value |= (op & UINT64_C(2)) << 15;
 5579       Value |= (op & UINT64_C(7)) << 17;
 5580       Value |= (op & UINT64_C(8)) << 4;
 5583       op &= UINT64_C(15);
 5588       Value |= (op & UINT64_C(2)) << 20;
 5589       Value |= (op & UINT64_C(4)) << 14;
 5590       Value |= (op & UINT64_C(1)) << 6;
 5597       Value |= (op & UINT64_C(7)) << 17;
 5598       Value |= (op & UINT64_C(8)) << 4;
 5601       op &= UINT64_C(15);
 5606       Value |= (op & UINT64_C(4)) << 19;
 5607       Value |= (op & UINT64_C(8)) << 13;
 5608       Value |= (op & UINT64_C(3)) << 5;
 5615       op &= UINT64_C(7);
 5620       Value |= (op & UINT64_C(128)) << 16;
 5621       Value |= (op & UINT64_C(1792)) << 9;
 5622       Value |= (op & UINT64_C(127));
 5629       op &= UINT64_C(7);
 5634       Value |= (op & UINT64_C(128)) << 16;
 5635       Value |= (op & UINT64_C(1792)) << 9;
 5636       Value |= (op & UINT64_C(127));
 5647       op &= UINT64_C(7);
 5652       Value |= (op & UINT64_C(128)) << 16;
 5653       Value |= (op & UINT64_C(1792)) << 8;
 5654       Value |= (op & UINT64_C(127));
 5661       op &= UINT64_C(7);
 5666       Value |= (op & UINT64_C(128)) << 16;
 5667       Value |= (op & UINT64_C(3840)) << 8;
 5668       Value |= (op & UINT64_C(127));
 5676       op &= UINT64_C(7);
 5681       Value |= (op & UINT64_C(128)) << 16;
 5682       Value |= (op & UINT64_C(1792)) << 8;
 5683       Value |= (op & UINT64_C(127));
 5690       op &= UINT64_C(7);
 5695       Value |= (op & UINT64_C(128)) << 16;
 5696       Value |= (op & UINT64_C(3840)) << 8;
 5697       Value |= (op & UINT64_C(127));
 5704       op &= UINT64_C(7);
 5709       Value |= (op & UINT64_C(128)) << 16;
 5710       Value |= (op & UINT64_C(3840)) << 8;
 5711       Value |= (op & UINT64_C(127));
 5718       op &= UINT64_C(7);
 5723       Value |= (op & UINT64_C(128)) << 16;
 5724       Value |= (op & UINT64_C(127));
 5727       op &= UINT64_C(15);
 5740       op &= UINT64_C(7);
 5745       Value |= (op & UINT64_C(128)) << 16;
 5746       Value |= (op & UINT64_C(127));
 5749       op &= UINT64_C(7);
 5758       op &= UINT64_C(7);
 5763       Value |= (op & UINT64_C(128)) << 16;
 5764       Value |= (op & UINT64_C(127));
 5767       op &= UINT64_C(15);
 5777       op &= UINT64_C(7);
 5782       Value |= (op & UINT64_C(128)) << 16;
 5783       Value |= (op & UINT64_C(127));
 5786       op &= UINT64_C(7);
 5795       op &= UINT64_C(7);
 5800       Value |= (op & UINT64_C(128)) << 16;
 5801       Value |= (op & UINT64_C(127));
 5804       op &= UINT64_C(15);
 5851       Value |= (op & UINT64_C(8)) << 2;
 5852       Value |= (op & UINT64_C(7)) << 1;
 5855       Value |= (op & UINT64_C(8)) << 19;
 5856       Value |= (op & UINT64_C(7)) << 13;
 5865       Value |= (op & UINT64_C(8)) << 2;
 5866       Value |= (op & UINT64_C(7)) << 1;
 5869       Value |= (op & UINT64_C(8)) << 19;
 5870       Value |= (op & UINT64_C(7)) << 13;
 5873       op &= UINT64_C(15);
 5884       Value |= (op & UINT64_C(8)) << 2;
 5885       Value |= (op & UINT64_C(7)) << 1;
 5888       Value |= (op & UINT64_C(8)) << 19;
 5889       Value |= (op & UINT64_C(7)) << 13;
 5892       op &= UINT64_C(31);
 5905       op &= UINT64_C(7);
 5910       op &= UINT64_C(14);
 5925       Value |= (op & UINT64_C(8)) << 2;
 5926       Value |= (op & UINT64_C(7)) << 1;
 5929       Value |= (op & UINT64_C(8)) << 19;
 5930       Value |= (op & UINT64_C(7)) << 13;
 5933       Value |= (op & UINT64_C(7)) << 17;
 5934       Value |= (op & UINT64_C(8)) << 4;
 5941       Value |= (op & UINT64_C(8)) << 2;
 5942       Value |= (op & UINT64_C(7)) << 1;
 5945       Value |= (op & UINT64_C(8)) << 19;
 5946       Value |= (op & UINT64_C(7)) << 13;
 5949       Value |= (op & UINT64_C(7)) << 17;
 5950       Value |= (op & UINT64_C(8)) << 4;
 5953       op &= UINT64_C(1);
 5966       op &= UINT64_C(7);
 5971       op &= UINT64_C(14);
 6004       op &= UINT64_C(7);
 6009       op &= UINT64_C(15);
 6018       op &= UINT64_C(7);
 6023       op &= UINT64_C(14);
 6028       op &= UINT64_C(14);
 6039       Value |= (op & UINT64_C(8)) << 2;
 6040       Value |= (op & UINT64_C(7)) << 1;
 6043       Value |= (op & UINT64_C(8)) << 19;
 6044       Value |= (op & UINT64_C(7)) << 13;
 6047       Value |= (op & UINT64_C(7)) << 17;
 6048       Value |= (op & UINT64_C(8)) << 4;
 6055       Value |= (op & UINT64_C(8)) << 2;
 6056       Value |= (op & UINT64_C(7)) << 1;
 6059       Value |= (op & UINT64_C(8)) << 19;
 6060       Value |= (op & UINT64_C(7)) << 13;
 6063       Value |= (op & UINT64_C(7)) << 17;
 6064       Value |= (op & UINT64_C(8)) << 4;
 6067       op &= UINT64_C(3);
 6080       Value |= (op & UINT64_C(8)) << 2;
 6081       Value |= (op & UINT64_C(7)) << 1;
 6084       Value |= (op & UINT64_C(7)) << 17;
 6085       Value |= (op & UINT64_C(8)) << 4;
 6088       op &= UINT64_C(15);
 6097       op &= UINT64_C(7);
 6102       op &= UINT64_C(14);
 6107       op &= UINT64_C(14);
 6115       Value |= (op & UINT64_C(7)) << 17;
 6116       Value |= (op & UINT64_C(8)) << 4;
 6119       Value |= (op & UINT64_C(8)) << 19;
 6120       Value |= (op & UINT64_C(7)) << 13;
 6123       Value |= (op & UINT64_C(8)) << 2;
 6124       Value |= (op & UINT64_C(7)) << 1;
 6130       Value |= (op & UINT64_C(8)) << 4;
 6131       Value |= (op & UINT64_C(7));
 6134       op &= UINT64_C(15);
 6142       op &= UINT64_C(15);
 6146       op &= UINT64_C(15);
 6151       op &= UINT64_C(15);
 6162       op &= UINT64_C(15);
 6166       op &= UINT64_C(15);
 6171       op &= UINT64_C(15);
 6180       op &= UINT64_C(15);
 6184       op &= UINT64_C(15);
 6189       op &= UINT64_C(15);
 6194       op &= UINT64_C(15);
 6207       op &= UINT64_C(15);
 6212       op &= UINT64_C(15);
 6217       op &= UINT64_C(15);
 6225       op &= UINT64_C(15);
 6237       op &= UINT64_C(15);
 6242       Value |= (op & UINT64_C(15)) << 16;
 6243       Value |= (op & UINT64_C(15));
 6250       op &= UINT64_C(15);
 6255       op &= UINT64_C(15);
 6267       op &= UINT64_C(15);
 6272       op &= UINT64_C(15);
 6276       op &= UINT64_C(3);
 6287       op &= UINT64_C(15);
 6292       op &= UINT64_C(15);
 6296       op &= UINT64_C(15);
 6301       op &= UINT64_C(15);
 6367       op &= UINT64_C(15);
 6372       op &= UINT64_C(15);
 6377       op &= UINT64_C(15);
 6400       op &= UINT64_C(15);
 6405       op &= UINT64_C(15);
 6410       op &= UINT64_C(15);
 6414       op &= UINT64_C(15);
 6427       op &= UINT64_C(15);
 6432       op &= UINT64_C(15);
 6437       op &= UINT64_C(15);
 6441       op &= UINT64_C(3);
 6450       op &= UINT64_C(15);
 6455       op &= UINT64_C(15);
 6460       op &= UINT64_C(15);
 6464       Value |= (op & UINT64_C(28)) << 10;
 6465       Value |= (op & UINT64_C(3)) << 6;
 6472       op &= UINT64_C(15);
 6477       op &= UINT64_C(15);
 6482       Value |= (op & UINT64_C(2048)) << 15;
 6483       Value |= (op & UINT64_C(1792)) << 4;
 6484       Value |= (op & UINT64_C(255));
 6493       op &= UINT64_C(15);
 6498       op &= UINT64_C(15);
 6503       op &= UINT64_C(15);
 6510       op &= UINT64_C(15);
 6515       op &= UINT64_C(15);
 6520       Value |= (op & UINT64_C(28)) << 10;
 6521       Value |= (op & UINT64_C(3)) << 6;
 6522       Value |= (op & UINT64_C(992)) >> 5;
 6529       op &= UINT64_C(15);
 6534       op &= UINT64_C(15);
 6539       op &= UINT64_C(15);
 6547       op &= UINT64_C(15);
 6552       op &= UINT64_C(15);
 6557       op &= UINT64_C(31);
 6561       Value |= (op & UINT64_C(32)) << 16;
 6562       Value |= (op & UINT64_C(28)) << 10;
 6563       Value |= (op & UINT64_C(3)) << 6;
 6569       op &= UINT64_C(15);
 6574       op &= UINT64_C(15);
 6579       Value |= (op & UINT64_C(3840)) << 8;
 6580       Value |= (op & UINT64_C(255));
 6586       op &= UINT64_C(15);
 6591       op &= UINT64_C(255);
 6598       op &= UINT64_C(15);
 6603       Value |= (op & UINT64_C(2048)) << 15;
 6604       Value |= (op & UINT64_C(4096)) << 11;
 6605       Value |= (op & UINT64_C(4096)) << 9;
 6606       Value |= (op & UINT64_C(1792)) << 4;
 6607       Value |= (op & UINT64_C(255));
 6613       op &= UINT64_C(15);
 6618       Value |= (op & UINT64_C(28)) << 10;
 6619       Value |= (op & UINT64_C(3)) << 6;
 6620       Value |= (op & UINT64_C(992)) >> 5;
 6626       op &= UINT64_C(15);
 6631       Value |= (op & UINT64_C(2048)) << 15;
 6632       Value |= (op & UINT64_C(61440)) << 4;
 6633       Value |= (op & UINT64_C(1792)) << 4;
 6634       Value |= (op & UINT64_C(255));
 6640       op &= UINT64_C(15);
 6645       Value |= (op & UINT64_C(2048)) << 15;
 6646       Value |= (op & UINT64_C(61440)) << 4;
 6647       Value |= (op & UINT64_C(1792)) << 4;
 6648       Value |= (op & UINT64_C(255));
 6655       op &= UINT64_C(15);
 6660       op &= UINT64_C(31);
 6664       Value |= (op & UINT64_C(28)) << 10;
 6665       Value |= (op & UINT64_C(3)) << 6;
 6668       op &= UINT64_C(15);
 6676       op &= UINT64_C(7);
 6680       op &= UINT64_C(7);
 6689       op &= UINT64_C(7);
 6693       op &= UINT64_C(7);
 6698       op &= UINT64_C(7);
 6708       op &= UINT64_C(7);
 6712       op &= UINT64_C(7);
 6717       op &= UINT64_C(31);
 6727       op &= UINT64_C(7);
 6731       op &= UINT64_C(7);
 6739       op &= UINT64_C(7);
 6744       op &= UINT64_C(255);
 6751       op &= UINT64_C(7);
 6756       op &= UINT64_C(255);
 6766       op &= UINT64_C(15);
 6771       op &= UINT64_C(15);
 6776       op &= UINT64_C(15);
 6780       op &= UINT64_C(15);
 6796       op &= UINT64_C(15);
 6801       op &= UINT64_C(15);
 6806       op &= UINT64_C(15);
 6811       op &= UINT64_C(15);
 6832       op &= UINT64_C(14);
 6837       op &= UINT64_C(7);
 6842       op &= UINT64_C(7);
 6864       op &= UINT64_C(14);
 6869       op &= UINT64_C(7);
 6874       op &= UINT64_C(7);
 6883       op &= UINT64_C(15);
 6888       op &= UINT64_C(15);
 6899       op &= UINT64_C(15);
 6904       Value |= (op & UINT64_C(28)) << 10;
 6905       Value |= (op & UINT64_C(3)) << 6;
 6912       op &= UINT64_C(14);
 6917       op &= UINT64_C(14);
 6922       op &= UINT64_C(15);
 6931       op &= UINT64_C(14);
 6936       op &= UINT64_C(14);
 6941       op &= UINT64_C(15);
 6946       op &= UINT64_C(1);
 6960       op &= UINT64_C(14);
 6965       op &= UINT64_C(14);
 6970       Value |= (op & UINT64_C(28)) << 10;
 6971       Value |= (op & UINT64_C(3)) << 6;
 6991       op &= UINT64_C(14);
 6996       op &= UINT64_C(14);
 7001       op &= UINT64_C(7);
 7006       op &= UINT64_C(7);
 7028       op &= UINT64_C(14);
 7033       op &= UINT64_C(14);
 7038       op &= UINT64_C(7);
 7043       op &= UINT64_C(7);
 7051       Value |= (op & UINT64_C(8)) << 4;
 7052       Value |= (op & UINT64_C(7));
 7058       Value |= (op & UINT64_C(8)) << 4;
 7059       Value |= (op & UINT64_C(7));
 7062       op &= UINT64_C(15);
 7079       op &= UINT64_C(7);
 7083       op &= UINT64_C(7);
 7092       op &= UINT64_C(7);
 7097       op &= UINT64_C(255);
 7105       op &= UINT64_C(15);
 7113       op &= UINT64_C(15);
 7118       Value |= (op & UINT64_C(8)) << 4;
 7119       Value |= (op & UINT64_C(7));
 7131       op &= UINT64_C(7);
 7136       op &= UINT64_C(7);
 7145       op &= UINT64_C(7);
 7150       op &= UINT64_C(7);
 7157       op &= UINT64_C(15);
 7166       op &= UINT64_C(7);
 7171       op &= UINT64_C(7);
 7176       op &= UINT64_C(7);
 7194       op &= UINT64_C(15);
 7207       op &= UINT64_C(15);
 7212       op &= UINT64_C(15);
 7222       op &= UINT64_C(15);
 7227       Value |= (op & UINT64_C(3584)) << 3;
 7228       Value |= (op & UINT64_C(480)) >> 1;
 7229       Value |= (op & UINT64_C(15));
 7238       op &= UINT64_C(15);
 7243       Value |= (op & UINT64_C(2048)) << 15;
 7244       Value |= (op & UINT64_C(1792)) << 4;
 7245       Value |= (op & UINT64_C(255));
 7252       op &= UINT64_C(15);
 7257       Value |= (op & UINT64_C(16384));
 7258       Value |= (op & UINT64_C(8191));
 7265       op &= UINT64_C(15);
 7270       op &= UINT64_C(65535);
 7277       op &= UINT64_C(7);
 7282       op &= UINT64_C(255);
 7289       op &= UINT64_C(7);
 7294       op &= UINT64_C(255);
 7309       op &= UINT64_C(15);
 7320       op &= UINT64_C(15);
 7325       op &= UINT64_C(15);
 7337       op &= UINT64_C(15);
 7342       Value |= (op & UINT64_C(1)) << 11;
 7343       Value |= (op & UINT64_C(2046));
 7350       op &= UINT64_C(15);
 7355       Value |= (op & UINT64_C(16384));
 7356       Value |= (op & UINT64_C(8191));
 7363       op &= UINT64_C(15);
 7368       op &= UINT64_C(65535);
 7375       op &= UINT64_C(7);
 7380       op &= UINT64_C(255);
 7387       op &= UINT64_C(15);
 7391       op &= UINT64_C(15);
 7396       Value |= (op & UINT64_C(8)) << 19;
 7397       Value |= (op & UINT64_C(7)) << 13;
 7400       op &= UINT64_C(1);
 7412       op &= UINT64_C(15);
 7417       op &= UINT64_C(15);
 7422       Value |= (op & UINT64_C(256)) << 1;
 7423       Value |= (op & UINT64_C(255));
 7431       op &= UINT64_C(15);
 7436       op &= UINT64_C(15);
 7441       op &= UINT64_C(15);
 7446       op &= UINT64_C(15);
 7451       op &= UINT64_C(15);
 7458       op &= UINT64_C(15);
 7463       op &= UINT64_C(15);
 7468       op &= UINT64_C(15);
 7473       Value |= (op & UINT64_C(256)) << 15;
 7474       Value |= (op & UINT64_C(255));
 7481       op &= UINT64_C(15);
 7486       op &= UINT64_C(15);
 7491       Value |= (op & UINT64_C(256)) << 15;
 7492       Value |= (op & UINT64_C(7680)) << 7;
 7493       Value |= (op & UINT64_C(255));
 7499       op &= UINT64_C(15);
 7504       op &= UINT64_C(15);
 7509       Value |= (op & UINT64_C(256)) << 15;
 7510       Value |= (op & UINT64_C(7680)) << 7;
 7511       Value |= (op & UINT64_C(255));
 7524       op &= UINT64_C(15);
 7529       Value |= (op & UINT64_C(122880)) << 3;
 7530       Value |= (op & UINT64_C(4095));
 7540       op &= UINT64_C(15);
 7545       Value |= (op & UINT64_C(4096)) << 11;
 7546       Value |= (op & UINT64_C(4095));
 7558       op &= UINT64_C(15);
 7563       op &= UINT64_C(15);
 7571       op &= UINT64_C(15);
 7576       Value |= (op & UINT64_C(3840)) << 8;
 7577       Value |= (op & UINT64_C(255));
 7590       op &= UINT64_C(15);
 7595       Value |= (op & UINT64_C(7680)) << 7;
 7596       Value |= (op & UINT64_C(255));
 7609       op &= UINT64_C(15);
 7614       Value |= (op & UINT64_C(7680)) << 7;
 7615       Value |= (op & UINT64_C(256)) << 1;
 7616       Value |= (op & UINT64_C(255));
 7626       op &= UINT64_C(15);
 7631       Value |= (op & UINT64_C(7680)) << 7;
 7632       Value |= (op & UINT64_C(256)) << 1;
 7633       Value |= (op & UINT64_C(255));
 7646       op &= UINT64_C(15);
 7651       Value |= (op & UINT64_C(960)) << 10;
 7652       Value |= (op & UINT64_C(3)) << 4;
 7653       Value |= (op & UINT64_C(60)) >> 2;
 7661       op &= UINT64_C(15);
 7666       op &= UINT64_C(15);
 7671       op &= UINT64_C(7);
 7676       op &= UINT64_C(7);
 7681       op &= UINT64_C(15);
 7685       op &= UINT64_C(15);
 7698       op &= UINT64_C(7);
 7702       op &= UINT64_C(255);
 7717       op &= UINT64_C(7);
 7721       op &= UINT64_C(63);
 7729       op &= UINT64_C(7);
 7734       op &= UINT64_C(255);
 7742       op &= UINT64_C(7);
 7747       op &= UINT64_C(255);
 7756       op &= UINT64_C(15);
 7761       op &= UINT64_C(15);
 7766       Value |= (op & UINT64_C(256)) << 1;
 7767       Value |= (op & UINT64_C(255));
 7773       op &= UINT64_C(15);
 7778       op &= UINT64_C(15);
 7783       op &= UINT64_C(15);
 7788       Value |= (op & UINT64_C(256)) << 15;
 7789       Value |= (op & UINT64_C(255));
 7795       op &= UINT64_C(15);
 7800       op &= UINT64_C(15);
 7805       Value |= (op & UINT64_C(256)) << 15;
 7806       Value |= (op & UINT64_C(7680)) << 7;
 7807       Value |= (op & UINT64_C(255));
 7815       op &= UINT64_C(15);
 7820       Value |= (op & UINT64_C(7680)) << 7;
 7821       Value |= (op & UINT64_C(256)) << 1;
 7822       Value |= (op & UINT64_C(255));
 7828       op &= UINT64_C(15);
 7832       op &= UINT64_C(15);
 7837       Value |= (op & UINT64_C(8)) << 19;
 7838       Value |= (op & UINT64_C(7)) << 13;
 7841       op &= UINT64_C(1);
 7851       op &= UINT64_C(15);
 7856       op &= UINT64_C(15);
 7861       op &= UINT64_C(15);
 7866       op &= UINT64_C(15);
 7871       op &= UINT64_C(15);
 7880       op &= UINT64_C(15);
 7885       op &= UINT64_C(15);
 7890       op &= UINT64_C(7);
 7895       op &= UINT64_C(7);
 7900       op &= UINT64_C(15);
 7904       op &= UINT64_C(15);
 7912       Value |= (op & UINT64_C(3072));
 7913       Value |= (op & UINT64_C(255));
 7916       op &= UINT64_C(15);
 7931       Value |= (op & UINT64_C(1)) << 22;
 7932       Value |= (op & UINT64_C(30)) << 11;
 7935       Value |= (op & UINT64_C(16)) << 1;
 7936       Value |= (op & UINT64_C(15));
 7967       Value |= (op & UINT64_C(1)) << 22;
 7968       Value |= (op & UINT64_C(30)) << 11;
 7971       Value |= (op & UINT64_C(1)) << 5;
 7972       Value |= (op & UINT64_C(30)) >> 1;
 7989       Value |= (op & UINT64_C(1)) << 22;
 7990       Value |= (op & UINT64_C(30)) << 11;
 7993       Value |= (op & UINT64_C(30)) << 15;
 7994       Value |= (op & UINT64_C(1)) << 7;
 7997       Value |= (op & UINT64_C(1)) << 5;
 7998       Value |= (op & UINT64_C(30)) >> 1;
 8009       Value |= (op & UINT64_C(15)) << 16;
 8010       Value |= (op & UINT64_C(16)) << 3;
 8013       op &= UINT64_C(15);
 8018       op &= UINT64_C(15);
 8027       Value |= (op & UINT64_C(15)) << 16;
 8028       Value |= (op & UINT64_C(16)) << 3;
 8031       op &= UINT64_C(15);
 8036       op &= UINT64_C(15);
 8041       Value |= (op & UINT64_C(2)) << 20;
 8042       Value |= (op & UINT64_C(1)) << 6;
 8049       Value |= (op & UINT64_C(15)) << 16;
 8050       Value |= (op & UINT64_C(16)) << 3;
 8053       op &= UINT64_C(15);
 8058       op &= UINT64_C(15);
 8063       Value |= (op & UINT64_C(4)) << 19;
 8064       Value |= (op & UINT64_C(3)) << 5;
 8071       Value |= (op & UINT64_C(15)) << 16;
 8072       Value |= (op & UINT64_C(16)) << 3;
 8075       op &= UINT64_C(15);
 8080       op &= UINT64_C(15);
 8085       op &= UINT64_C(1);
 8095       Value |= (op & UINT64_C(15)) << 16;
 8096       Value |= (op & UINT64_C(16)) << 3;
 8099       op &= UINT64_C(15);
 8104       op &= UINT64_C(15);
 8109       Value |= (op & UINT64_C(2)) << 20;
 8110       Value |= (op & UINT64_C(1)) << 6;
 8118       Value |= (op & UINT64_C(15)) << 16;
 8119       Value |= (op & UINT64_C(16)) << 3;
 8122       op &= UINT64_C(15);
 8127       op &= UINT64_C(15);
 8132       Value |= (op & UINT64_C(4)) << 19;
 8133       Value |= (op & UINT64_C(3)) << 5;
 8140       Value |= (op & UINT64_C(15)) << 16;
 8141       Value |= (op & UINT64_C(16)) << 3;
 8144       op &= UINT64_C(15);
 8149       op &= UINT64_C(15);
 8154       op &= UINT64_C(1);
 8180       op &= UINT64_C(7);
 8185       op &= UINT64_C(15);
 8210       op &= UINT64_C(7);
 8215       op &= UINT64_C(15);
 8240       op &= UINT64_C(7);
 8245       op &= UINT64_C(15);
 8270       op &= UINT64_C(7);
 8275       op &= UINT64_C(15);
 8290       Value |= (op & UINT64_C(16)) << 18;
 8291       Value |= (op & UINT64_C(15)) << 12;
 8294       Value |= (op & UINT64_C(15)) << 16;
 8295       Value |= (op & UINT64_C(16));
 8302       Value |= (op & UINT64_C(16)) << 18;
 8303       Value |= (op & UINT64_C(15)) << 12;
 8306       Value |= (op & UINT64_C(15)) << 16;
 8307       Value |= (op & UINT64_C(48));
 8310       op &= UINT64_C(3);
 8335       Value |= (op & UINT64_C(16)) << 18;
 8336       Value |= (op & UINT64_C(15)) << 12;
 8339       Value |= (op & UINT64_C(15)) << 16;
 8340       Value |= (op & UINT64_C(48));
 8347       Value |= (op & UINT64_C(16)) << 18;
 8348       Value |= (op & UINT64_C(15)) << 12;
 8351       op &= UINT64_C(15);
 8356       op &= UINT64_C(7);
 8365       Value |= (op & UINT64_C(16)) << 18;
 8366       Value |= (op & UINT64_C(15)) << 12;
 8369       Value |= (op & UINT64_C(15)) << 16;
 8370       Value |= (op & UINT64_C(16)) << 1;
 8371       Value |= (op & UINT64_C(16));
 8374       op &= UINT64_C(15);
 8378       op &= UINT64_C(1);
 8387       Value |= (op & UINT64_C(16)) << 18;
 8388       Value |= (op & UINT64_C(15)) << 12;
 8391       Value |= (op & UINT64_C(15)) << 16;
 8392       Value |= (op & UINT64_C(16));
 8395       op &= UINT64_C(15);
 8399       op &= UINT64_C(3);
 8415       Value |= (op & UINT64_C(16)) << 18;
 8416       Value |= (op & UINT64_C(15)) << 12;
 8419       Value |= (op & UINT64_C(15)) << 16;
 8420       Value |= (op & UINT64_C(16));
 8423       op &= UINT64_C(15);
 8432       Value |= (op & UINT64_C(16)) << 18;
 8433       Value |= (op & UINT64_C(15)) << 12;
 8436       Value |= (op & UINT64_C(15)) << 16;
 8437       Value |= (op & UINT64_C(16));
 8440       op &= UINT64_C(1);
 8450       Value |= (op & UINT64_C(16)) << 18;
 8451       Value |= (op & UINT64_C(15)) << 12;
 8454       Value |= (op & UINT64_C(15)) << 16;
 8455       Value |= (op & UINT64_C(16));
 8458       op &= UINT64_C(3);
 8467       Value |= (op & UINT64_C(16)) << 18;
 8468       Value |= (op & UINT64_C(15)) << 12;
 8471       Value |= (op & UINT64_C(15)) << 16;
 8472       Value |= (op & UINT64_C(16));
 8475       op &= UINT64_C(7);
 8491       Value |= (op & UINT64_C(16)) << 18;
 8492       Value |= (op & UINT64_C(15)) << 12;
 8495       Value |= (op & UINT64_C(15)) << 16;
 8496       Value |= (op & UINT64_C(16));
 8519       Value |= (op & UINT64_C(16)) << 18;
 8520       Value |= (op & UINT64_C(15)) << 12;
 8523       Value |= (op & UINT64_C(15)) << 16;
 8524       Value |= (op & UINT64_C(48));
 8527       op &= UINT64_C(15);
 8551       Value |= (op & UINT64_C(16)) << 18;
 8552       Value |= (op & UINT64_C(15)) << 12;
 8555       Value |= (op & UINT64_C(15)) << 16;
 8556       Value |= (op & UINT64_C(48));
 8563       Value |= (op & UINT64_C(16)) << 18;
 8564       Value |= (op & UINT64_C(15)) << 12;
 8567       op &= UINT64_C(15);
 8572       op &= UINT64_C(15);
 8576       op &= UINT64_C(7);
 8586       Value |= (op & UINT64_C(16)) << 18;
 8587       Value |= (op & UINT64_C(15)) << 12;
 8590       Value |= (op & UINT64_C(15)) << 16;
 8591       Value |= (op & UINT64_C(16));
 8594       op &= UINT64_C(15);
 8598       op &= UINT64_C(1);
 8608       Value |= (op & UINT64_C(16)) << 18;
 8609       Value |= (op & UINT64_C(15)) << 12;
 8612       Value |= (op & UINT64_C(15)) << 16;
 8613       Value |= (op & UINT64_C(16));
 8616       op &= UINT64_C(15);
 8620       op &= UINT64_C(3);
 8629       Value |= (op & UINT64_C(16)) << 18;
 8630       Value |= (op & UINT64_C(15)) << 12;
 8633       Value |= (op & UINT64_C(15)) << 16;
 8634       Value |= (op & UINT64_C(16));
 8637       op &= UINT64_C(15);
 8641       op &= UINT64_C(7);
 8655       Value |= (op & UINT64_C(16)) << 18;
 8656       Value |= (op & UINT64_C(15)) << 12;
 8659       Value |= (op & UINT64_C(15)) << 16;
 8660       Value |= (op & UINT64_C(16));
 8668       Value |= (op & UINT64_C(16)) << 18;
 8669       Value |= (op & UINT64_C(15)) << 12;
 8672       op &= UINT64_C(15);
 8677       op &= UINT64_C(1);
 8687       Value |= (op & UINT64_C(16)) << 18;
 8688       Value |= (op & UINT64_C(15)) << 12;
 8691       op &= UINT64_C(15);
 8696       op &= UINT64_C(3);
 8705       Value |= (op & UINT64_C(16)) << 18;
 8706       Value |= (op & UINT64_C(15)) << 12;
 8709       op &= UINT64_C(15);
 8714       op &= UINT64_C(7);
 8728       Value |= (op & UINT64_C(16)) << 18;
 8729       Value |= (op & UINT64_C(15)) << 12;
 8732       Value |= (op & UINT64_C(15)) << 16;
 8733       Value |= (op & UINT64_C(16));
 8736       op &= UINT64_C(15);
 8745       Value |= (op & UINT64_C(16)) << 18;
 8746       Value |= (op & UINT64_C(15)) << 12;
 8749       Value |= (op & UINT64_C(15)) << 16;
 8750       Value |= (op & UINT64_C(16));
 8753       op &= UINT64_C(3);
 8762       Value |= (op & UINT64_C(16)) << 18;
 8763       Value |= (op & UINT64_C(15)) << 12;
 8766       Value |= (op & UINT64_C(15)) << 16;
 8767       Value |= (op & UINT64_C(16));
 8770       op &= UINT64_C(7);
 8780       Value |= (op & UINT64_C(16)) << 18;
 8781       Value |= (op & UINT64_C(15)) << 12;
 8784       Value |= (op & UINT64_C(15)) << 16;
 8785       Value |= (op & UINT64_C(48));
 8788       op &= UINT64_C(1);
 8802       Value |= (op & UINT64_C(16)) << 18;
 8803       Value |= (op & UINT64_C(15)) << 12;
 8806       Value |= (op & UINT64_C(15)) << 16;
 8807       Value |= (op & UINT64_C(48));
 8815       Value |= (op & UINT64_C(16)) << 18;
 8816       Value |= (op & UINT64_C(15)) << 12;
 8819       op &= UINT64_C(15);
 8824       op &= UINT64_C(15);
 8828       op &= UINT64_C(1);
 8838       Value |= (op & UINT64_C(16)) << 18;
 8839       Value |= (op & UINT64_C(15)) << 12;
 8842       op &= UINT64_C(15);
 8847       op &= UINT64_C(15);
 8851       op &= UINT64_C(3);
 8860       Value |= (op & UINT64_C(16)) << 18;
 8861       Value |= (op & UINT64_C(15)) << 12;
 8864       op &= UINT64_C(15);
 8869       op &= UINT64_C(15);
 8873       op &= UINT64_C(7);
 8883       Value |= (op & UINT64_C(16)) << 18;
 8884       Value |= (op & UINT64_C(15)) << 12;
 8887       Value |= (op & UINT64_C(15)) << 16;
 8888       Value |= (op & UINT64_C(16));
 8891       op &= UINT64_C(15);
 8895       op &= UINT64_C(3);
 8904       Value |= (op & UINT64_C(16)) << 18;
 8905       Value |= (op & UINT64_C(15)) << 12;
 8908       Value |= (op & UINT64_C(15)) << 16;
 8909       Value |= (op & UINT64_C(16));
 8912       op &= UINT64_C(15);
 8916       op &= UINT64_C(7);
 8926       Value |= (op & UINT64_C(16)) << 18;
 8927       Value |= (op & UINT64_C(15)) << 12;
 8930       Value |= (op & UINT64_C(15)) << 16;
 8931       Value |= (op & UINT64_C(48));
 8934       op &= UINT64_C(15);
 8938       op &= UINT64_C(1);
 8952       Value |= (op & UINT64_C(16)) << 18;
 8953       Value |= (op & UINT64_C(15)) << 12;
 8956       Value |= (op & UINT64_C(15)) << 16;
 8957       Value |= (op & UINT64_C(48));
 8960       op &= UINT64_C(15);
 8979       Value |= (op & UINT64_C(16)) << 18;
 8980       Value |= (op & UINT64_C(15)) << 12;
 8983       Value |= (op & UINT64_C(15)) << 16;
 8984       Value |= (op & UINT64_C(16));
 9002       Value |= (op & UINT64_C(16)) << 18;
 9003       Value |= (op & UINT64_C(15)) << 12;
 9006       Value |= (op & UINT64_C(15)) << 16;
 9007       Value |= (op & UINT64_C(16));
 9010       op &= UINT64_C(15);
 9029       Value |= (op & UINT64_C(16)) << 18;
 9030       Value |= (op & UINT64_C(15)) << 12;
 9033       Value |= (op & UINT64_C(15)) << 16;
 9034       Value |= (op & UINT64_C(16));
 9046       Value |= (op & UINT64_C(16)) << 18;
 9047       Value |= (op & UINT64_C(15)) << 12;
 9050       op &= UINT64_C(15);
 9062       Value |= (op & UINT64_C(16)) << 18;
 9063       Value |= (op & UINT64_C(15)) << 12;
 9066       Value |= (op & UINT64_C(15)) << 16;
 9067       Value |= (op & UINT64_C(16));
 9075       Value |= (op & UINT64_C(16)) << 18;
 9076       Value |= (op & UINT64_C(15)) << 12;
 9079       Value |= (op & UINT64_C(15)) << 16;
 9080       Value |= (op & UINT64_C(32)) << 1;
 9081       Value |= (op & UINT64_C(16));
 9093       Value |= (op & UINT64_C(16)) << 18;
 9094       Value |= (op & UINT64_C(15)) << 12;
 9097       op &= UINT64_C(15);
 9102       op &= UINT64_C(15);
 9113       Value |= (op & UINT64_C(16)) << 18;
 9114       Value |= (op & UINT64_C(15)) << 12;
 9117       Value |= (op & UINT64_C(15)) << 16;
 9118       Value |= (op & UINT64_C(16));
 9121       op &= UINT64_C(15);
 9130       Value |= (op & UINT64_C(16)) << 18;
 9131       Value |= (op & UINT64_C(15)) << 12;
 9134       Value |= (op & UINT64_C(15)) << 16;
 9135       Value |= (op & UINT64_C(32)) << 1;
 9136       Value |= (op & UINT64_C(16));
 9139       op &= UINT64_C(15);
 9147       Value |= (op & UINT64_C(16)) << 18;
 9148       Value |= (op & UINT64_C(15)) << 12;
 9151       Value |= (op & UINT64_C(15)) << 16;
 9152       Value |= (op & UINT64_C(48));
 9155       op &= UINT64_C(1);
 9169       Value |= (op & UINT64_C(16)) << 18;
 9170       Value |= (op & UINT64_C(15)) << 12;
 9173       Value |= (op & UINT64_C(128)) << 17;
 9174       Value |= (op & UINT64_C(112)) << 12;
 9175       Value |= (op & UINT64_C(15));
 9185       Value |= (op & UINT64_C(16)) << 18;
 9186       Value |= (op & UINT64_C(15)) << 12;
 9189       Value |= (op & UINT64_C(128)) << 17;
 9190       Value |= (op & UINT64_C(112)) << 12;
 9191       Value |= (op & UINT64_C(1536));
 9192       Value |= (op & UINT64_C(15));
 9202       Value |= (op & UINT64_C(16)) << 18;
 9203       Value |= (op & UINT64_C(15)) << 12;
 9206       Value |= (op & UINT64_C(128)) << 17;
 9207       Value |= (op & UINT64_C(112)) << 12;
 9208       Value |= (op & UINT64_C(3840));
 9209       Value |= (op & UINT64_C(15));
 9223       Value |= (op & UINT64_C(16)) << 18;
 9224       Value |= (op & UINT64_C(15)) << 12;
 9227       Value |= (op & UINT64_C(128)) << 17;
 9228       Value |= (op & UINT64_C(112)) << 12;
 9229       Value |= (op & UINT64_C(512));
 9230       Value |= (op & UINT64_C(15));
 9246       Value |= (op & UINT64_C(16)) << 18;
 9247       Value |= (op & UINT64_C(15)) << 12;
 9250       Value |= (op & UINT64_C(16)) << 1;
 9251       Value |= (op & UINT64_C(15));
 9254       op &= UINT64_C(15);
 9272       Value |= (op & UINT64_C(16)) << 18;
 9273       Value |= (op & UINT64_C(15)) << 12;
 9276       Value |= (op & UINT64_C(16)) << 1;
 9277       Value |= (op & UINT64_C(15));
 9280       op &= UINT64_C(31);
 9296       Value |= (op & UINT64_C(16)) << 18;
 9297       Value |= (op & UINT64_C(15)) << 12;
 9300       Value |= (op & UINT64_C(16)) << 1;
 9301       Value |= (op & UINT64_C(15));
 9304       op &= UINT64_C(63);
 9322       Value |= (op & UINT64_C(16)) << 18;
 9323       Value |= (op & UINT64_C(15)) << 12;
 9326       Value |= (op & UINT64_C(16)) << 1;
 9327       Value |= (op & UINT64_C(15));
 9330       op &= UINT64_C(7);
 9354       Value |= (op & UINT64_C(16)) << 18;
 9355       Value |= (op & UINT64_C(15)) << 12;
 9358       Value |= (op & UINT64_C(16)) << 1;
 9359       Value |= (op & UINT64_C(15));
 9362       op &= UINT64_C(63);
 9386       Value |= (op & UINT64_C(16)) << 18;
 9387       Value |= (op & UINT64_C(15)) << 12;
 9390       Value |= (op & UINT64_C(16)) << 1;
 9391       Value |= (op & UINT64_C(15));
 9394       op &= UINT64_C(15);
 9418       Value |= (op & UINT64_C(16)) << 18;
 9419       Value |= (op & UINT64_C(15)) << 12;
 9422       Value |= (op & UINT64_C(16)) << 1;
 9423       Value |= (op & UINT64_C(15));
 9426       op &= UINT64_C(31);
 9442       Value |= (op & UINT64_C(16)) << 18;
 9443       Value |= (op & UINT64_C(15)) << 12;
 9446       Value |= (op & UINT64_C(16)) << 1;
 9447       Value |= (op & UINT64_C(15));
 9450       op &= UINT64_C(63);
 9474       Value |= (op & UINT64_C(16)) << 18;
 9475       Value |= (op & UINT64_C(15)) << 12;
 9478       Value |= (op & UINT64_C(16)) << 1;
 9479       Value |= (op & UINT64_C(15));
 9482       op &= UINT64_C(7);
 9492       Value |= (op & UINT64_C(16)) << 18;
 9493       Value |= (op & UINT64_C(15)) << 12;
 9496       Value |= (op & UINT64_C(16)) << 1;
 9497       Value |= (op & UINT64_C(15));
 9500       op &= UINT64_C(1);
 9510       Value |= (op & UINT64_C(16)) << 18;
 9511       Value |= (op & UINT64_C(15)) << 12;
 9514       Value |= (op & UINT64_C(16)) << 1;
 9515       Value |= (op & UINT64_C(15));
 9518       op &= UINT64_C(3);
 9528       Value |= (op & UINT64_C(16)) << 18;
 9529       Value |= (op & UINT64_C(15)) << 12;
 9532       Value |= (op & UINT64_C(16)) << 1;
 9533       Value |= (op & UINT64_C(15));
 9536       op &= UINT64_C(7);
 9738       Value |= (op & UINT64_C(16)) << 18;
 9739       Value |= (op & UINT64_C(15)) << 12;
 9742       Value |= (op & UINT64_C(16)) << 1;
 9743       Value |= (op & UINT64_C(15));
 9805       Value |= (op & UINT64_C(16)) << 18;
 9806       Value |= (op & UINT64_C(15)) << 12;
 9809       Value |= (op & UINT64_C(16)) << 1;
 9810       Value |= (op & UINT64_C(15));
 9818       Value |= (op & UINT64_C(16)) << 18;
 9819       Value |= (op & UINT64_C(15)) << 12;
 9822       Value |= (op & UINT64_C(16)) << 1;
 9823       Value |= (op & UINT64_C(15));
 9826       op &= UINT64_C(15);
 9836       Value |= (op & UINT64_C(16)) << 18;
 9837       Value |= (op & UINT64_C(15)) << 12;
 9840       Value |= (op & UINT64_C(16)) << 1;
 9841       Value |= (op & UINT64_C(15));
 9844       op &= UINT64_C(31);
 9854       Value |= (op & UINT64_C(16)) << 18;
 9855       Value |= (op & UINT64_C(15)) << 12;
 9858       Value |= (op & UINT64_C(16)) << 1;
 9859       Value |= (op & UINT64_C(15));
 9862       op &= UINT64_C(63);
 9872       Value |= (op & UINT64_C(16)) << 18;
 9873       Value |= (op & UINT64_C(15)) << 12;
 9876       Value |= (op & UINT64_C(16)) << 1;
 9877       Value |= (op & UINT64_C(15));
 9880       op &= UINT64_C(7);
 9898       Value |= (op & UINT64_C(16)) << 18;
 9899       Value |= (op & UINT64_C(15)) << 12;
 9902       Value |= (op & UINT64_C(16)) << 1;
 9903       Value |= (op & UINT64_C(15));
 9906       op &= UINT64_C(15);
 9924       Value |= (op & UINT64_C(16)) << 18;
 9925       Value |= (op & UINT64_C(15)) << 12;
 9928       Value |= (op & UINT64_C(16)) << 1;
 9929       Value |= (op & UINT64_C(15));
 9932       op &= UINT64_C(31);
 9950       Value |= (op & UINT64_C(16)) << 18;
 9951       Value |= (op & UINT64_C(15)) << 12;
 9954       Value |= (op & UINT64_C(16)) << 1;
 9955       Value |= (op & UINT64_C(15));
 9958       op &= UINT64_C(63);
 9976       Value |= (op & UINT64_C(16)) << 18;
 9977       Value |= (op & UINT64_C(15)) << 12;
 9980       Value |= (op & UINT64_C(16)) << 1;
 9981       Value |= (op & UINT64_C(15));
 9984       op &= UINT64_C(7);
10008       Value |= (op & UINT64_C(16)) << 18;
10009       Value |= (op & UINT64_C(15)) << 12;
10012       Value |= (op & UINT64_C(16)) << 1;
10013       Value |= (op & UINT64_C(15));
10021       Value |= (op & UINT64_C(16)) << 18;
10022       Value |= (op & UINT64_C(15)) << 12;
10025       Value |= (op & UINT64_C(15)) << 16;
10026       Value |= (op & UINT64_C(16)) << 3;
10029       Value |= (op & UINT64_C(16)) << 1;
10030       Value |= (op & UINT64_C(15));
10036       Value |= (op & UINT64_C(16)) << 18;
10037       Value |= (op & UINT64_C(15)) << 12;
10040       Value |= (op & UINT64_C(15)) << 16;
10041       Value |= (op & UINT64_C(16)) << 3;
10044       Value |= (op & UINT64_C(16)) << 1;
10045       Value |= (op & UINT64_C(15));
10048       op &= UINT64_C(1);
10057       Value |= (op & UINT64_C(16)) << 18;
10058       Value |= (op & UINT64_C(15)) << 12;
10061       Value |= (op & UINT64_C(15)) << 16;
10062       Value |= (op & UINT64_C(16)) << 3;
10065       Value |= (op & UINT64_C(16)) << 1;
10066       Value |= (op & UINT64_C(15));
10069       op &= UINT64_C(1);
10078       Value |= (op & UINT64_C(16)) << 18;
10079       Value |= (op & UINT64_C(15)) << 12;
10082       Value |= (op & UINT64_C(15)) << 16;
10083       Value |= (op & UINT64_C(16)) << 3;
10086       Value |= (op & UINT64_C(16)) << 1;
10087       Value |= (op & UINT64_C(15));
10090       op &= UINT64_C(15);
10099       Value |= (op & UINT64_C(16)) << 18;
10100       Value |= (op & UINT64_C(15)) << 12;
10103       Value |= (op & UINT64_C(15)) << 16;
10104       Value |= (op & UINT64_C(16)) << 3;
10107       Value |= (op & UINT64_C(16)) << 1;
10108       Value |= (op & UINT64_C(15));
10111       op &= UINT64_C(3);
10120       Value |= (op & UINT64_C(16)) << 18;
10121       Value |= (op & UINT64_C(15)) << 12;
10124       Value |= (op & UINT64_C(15)) << 16;
10125       Value |= (op & UINT64_C(16)) << 3;
10128       Value |= (op & UINT64_C(16)) << 1;
10129       Value |= (op & UINT64_C(15));
10132       op &= UINT64_C(3);
10141       Value |= (op & UINT64_C(16)) << 18;
10142       Value |= (op & UINT64_C(15)) << 12;
10145       Value |= (op & UINT64_C(15)) << 16;
10146       Value |= (op & UINT64_C(16)) << 3;
10149       Value |= (op & UINT64_C(16)) << 1;
10150       Value |= (op & UINT64_C(15));
10153       op &= UINT64_C(7);
10162       Value |= (op & UINT64_C(16)) << 18;
10163       Value |= (op & UINT64_C(15)) << 12;
10166       Value |= (op & UINT64_C(15)) << 16;
10167       Value |= (op & UINT64_C(16)) << 3;
10170       Value |= (op & UINT64_C(16)) << 1;
10171       Value |= (op & UINT64_C(15));
10174       op &= UINT64_C(7);
10186       Value |= (op & UINT64_C(16)) << 18;
10187       Value |= (op & UINT64_C(15)) << 12;
10190       Value |= (op & UINT64_C(15)) << 16;
10191       Value |= (op & UINT64_C(16)) << 3;
10194       Value |= (op & UINT64_C(16)) << 1;
10195       Value |= (op & UINT64_C(15));
10198       op &= UINT64_C(1);
10516       Value |= (op & UINT64_C(16)) << 18;
10517       Value |= (op & UINT64_C(15)) << 12;
10520       Value |= (op & UINT64_C(15)) << 16;
10521       Value |= (op & UINT64_C(16)) << 3;
10524       Value |= (op & UINT64_C(16)) << 1;
10525       Value |= (op & UINT64_C(15));
10539       Value |= (op & UINT64_C(16)) << 18;
10540       Value |= (op & UINT64_C(15)) << 12;
10543       Value |= (op & UINT64_C(15)) << 16;
10544       Value |= (op & UINT64_C(16)) << 3;
10547       Value |= (op & UINT64_C(16)) << 1;
10548       Value |= (op & UINT64_C(15));
10565       Value |= (op & UINT64_C(16)) << 18;
10566       Value |= (op & UINT64_C(15)) << 12;
10569       Value |= (op & UINT64_C(15)) << 16;
10570       Value |= (op & UINT64_C(16)) << 3;
10573       op &= UINT64_C(15);
10577       op &= UINT64_C(1);
10587       Value |= (op & UINT64_C(16)) << 18;
10588       Value |= (op & UINT64_C(15)) << 12;
10591       Value |= (op & UINT64_C(15)) << 16;
10592       Value |= (op & UINT64_C(16)) << 3;
10595       op &= UINT64_C(7);
10599       Value |= (op & UINT64_C(2)) << 4;
10600       Value |= (op & UINT64_C(1)) << 3;
10616       Value |= (op & UINT64_C(16)) << 18;
10617       Value |= (op & UINT64_C(15)) << 12;
10620       Value |= (op & UINT64_C(15)) << 16;
10621       Value |= (op & UINT64_C(16)) << 3;
10624       op &= UINT64_C(7);
10628       Value |= (op & UINT64_C(2)) << 4;
10629       Value |= (op & UINT64_C(1)) << 3;
10637       Value |= (op & UINT64_C(16)) << 18;
10638       Value |= (op & UINT64_C(15)) << 12;
10641       Value |= (op & UINT64_C(30)) << 15;
10642       Value |= (op & UINT64_C(1)) << 7;
10645       Value |= (op & UINT64_C(1)) << 5;
10646       Value |= (op & UINT64_C(14)) >> 1;
10649       op &= UINT64_C(1);
10658       Value |= (op & UINT64_C(16)) << 18;
10659       Value |= (op & UINT64_C(15)) << 12;
10662       Value |= (op & UINT64_C(30)) << 15;
10663       Value |= (op & UINT64_C(1)) << 7;
10666       Value |= (op & UINT64_C(1)) << 5;
10667       Value |= (op & UINT64_C(30)) >> 1;
10736       Value |= (op & UINT64_C(16)) << 18;
10737       Value |= (op & UINT64_C(15)) << 12;
10740       Value |= (op & UINT64_C(15)) << 16;
10741       Value |= (op & UINT64_C(16)) << 3;
10744       Value |= (op & UINT64_C(16)) << 1;
10745       Value |= (op & UINT64_C(15));
10755       Value |= (op & UINT64_C(16)) << 18;
10756       Value |= (op & UINT64_C(15)) << 12;
10759       Value |= (op & UINT64_C(15)) << 16;
10760       Value |= (op & UINT64_C(16)) << 3;
10763       Value |= (op & UINT64_C(16)) << 1;
10764       Value |= (op & UINT64_C(15));
10767       op &= UINT64_C(3);
10776       Value |= (op & UINT64_C(16)) << 18;
10777       Value |= (op & UINT64_C(15)) << 12;
10780       Value |= (op & UINT64_C(15)) << 16;
10781       Value |= (op & UINT64_C(16)) << 3;
10784       Value |= (op & UINT64_C(16)) << 1;
10785       Value |= (op & UINT64_C(15));
10788       op &= UINT64_C(3);
10882       Value |= (op & UINT64_C(16)) << 18;
10883       Value |= (op & UINT64_C(15)) << 12;
10886       Value |= (op & UINT64_C(15)) << 16;
10887       Value |= (op & UINT64_C(16)) << 3;
10890       Value |= (op & UINT64_C(16)) << 1;
10891       Value |= (op & UINT64_C(15));
10915       Value |= (op & UINT64_C(16)) << 18;
10916       Value |= (op & UINT64_C(15)) << 12;
10919       Value |= (op & UINT64_C(15)) << 16;
10920       Value |= (op & UINT64_C(16)) << 3;
10923       op &= UINT64_C(15);
10927       op &= UINT64_C(1);
10937       Value |= (op & UINT64_C(16)) << 18;
10938       Value |= (op & UINT64_C(15)) << 12;
10941       Value |= (op & UINT64_C(15)) << 16;
10942       Value |= (op & UINT64_C(16)) << 3;
10945       op &= UINT64_C(15);
10949       op &= UINT64_C(3);
10954       op &= UINT64_C(1);
10979       Value |= (op & UINT64_C(16)) << 18;
10980       Value |= (op & UINT64_C(15)) << 12;
10983       Value |= (op & UINT64_C(15)) << 16;
10984       Value |= (op & UINT64_C(16)) << 3;
10987       op &= UINT64_C(7);
10991       Value |= (op & UINT64_C(2)) << 4;
10992       Value |= (op & UINT64_C(1)) << 3;
11002       Value |= (op & UINT64_C(16)) << 18;
11003       Value |= (op & UINT64_C(15)) << 12;
11006       Value |= (op & UINT64_C(15)) << 16;
11007       Value |= (op & UINT64_C(16)) << 3;
11010       Value |= (op & UINT64_C(16)) << 1;
11011       Value |= (op & UINT64_C(15));
11020       Value |= (op & UINT64_C(16)) << 18;
11021       Value |= (op & UINT64_C(15)) << 12;
11024       Value |= (op & UINT64_C(15)) << 16;
11025       Value |= (op & UINT64_C(16)) << 3;
11028       op &= UINT64_C(15);
11032       op &= UINT64_C(1);
11040       Value |= (op & UINT64_C(16)) << 18;
11041       Value |= (op & UINT64_C(15)) << 12;
11044       Value |= (op & UINT64_C(15)) << 16;
11045       Value |= (op & UINT64_C(16));
11048       op &= UINT64_C(3);
11058       Value |= (op & UINT64_C(16)) << 18;
11059       Value |= (op & UINT64_C(15)) << 12;
11062       Value |= (op & UINT64_C(15)) << 16;
11063       Value |= (op & UINT64_C(16));
11066       op &= UINT64_C(1);
11076       Value |= (op & UINT64_C(16)) << 18;
11077       Value |= (op & UINT64_C(15)) << 12;
11080       Value |= (op & UINT64_C(15)) << 16;
11081       Value |= (op & UINT64_C(16));
11084       op &= UINT64_C(3);
11093       Value |= (op & UINT64_C(16)) << 18;
11094       Value |= (op & UINT64_C(15)) << 12;
11097       Value |= (op & UINT64_C(15)) << 16;
11098       Value |= (op & UINT64_C(16));
11101       op &= UINT64_C(7);
11111       Value |= (op & UINT64_C(16)) << 18;
11112       Value |= (op & UINT64_C(15)) << 12;
11115       Value |= (op & UINT64_C(15)) << 16;
11116       Value |= (op & UINT64_C(16));
11119       op &= UINT64_C(3);
11128       Value |= (op & UINT64_C(16)) << 18;
11129       Value |= (op & UINT64_C(15)) << 12;
11132       Value |= (op & UINT64_C(15)) << 16;
11133       Value |= (op & UINT64_C(16));
11136       op &= UINT64_C(7);
11158       Value |= (op & UINT64_C(16)) << 18;
11159       Value |= (op & UINT64_C(15)) << 12;
11162       Value |= (op & UINT64_C(15)) << 16;
11163       Value |= (op & UINT64_C(16));
11171       Value |= (op & UINT64_C(16)) << 18;
11172       Value |= (op & UINT64_C(15)) << 12;
11175       Value |= (op & UINT64_C(15)) << 16;
11176       Value |= (op & UINT64_C(48));
11179       op &= UINT64_C(1);
11210       Value |= (op & UINT64_C(16)) << 18;
11211       Value |= (op & UINT64_C(15)) << 12;
11214       Value |= (op & UINT64_C(15)) << 16;
11215       Value |= (op & UINT64_C(48));
11222       Value |= (op & UINT64_C(16)) << 18;
11223       Value |= (op & UINT64_C(15)) << 12;
11226       op &= UINT64_C(15);
11231       op &= UINT64_C(7);
11241       Value |= (op & UINT64_C(16)) << 18;
11242       Value |= (op & UINT64_C(15)) << 12;
11245       op &= UINT64_C(15);
11250       op &= UINT64_C(1);
11260       Value |= (op & UINT64_C(16)) << 18;
11261       Value |= (op & UINT64_C(15)) << 12;
11264       op &= UINT64_C(15);
11269       op &= UINT64_C(3);
11278       Value |= (op & UINT64_C(16)) << 18;
11279       Value |= (op & UINT64_C(15)) << 12;
11282       op &= UINT64_C(15);
11287       op &= UINT64_C(7);
11296       Value |= (op & UINT64_C(16)) << 18;
11297       Value |= (op & UINT64_C(15)) << 12;
11300       Value |= (op & UINT64_C(15)) << 16;
11301       Value |= (op & UINT64_C(48));
11304       op &= UINT64_C(1);
11316       Value |= (op & UINT64_C(16)) << 18;
11317       Value |= (op & UINT64_C(15)) << 12;
11320       Value |= (op & UINT64_C(15)) << 16;
11321       Value |= (op & UINT64_C(16));
11348       Value |= (op & UINT64_C(16)) << 18;
11349       Value |= (op & UINT64_C(15)) << 12;
11352       Value |= (op & UINT64_C(15)) << 16;
11353       Value |= (op & UINT64_C(48));
11360       Value |= (op & UINT64_C(16)) << 18;
11361       Value |= (op & UINT64_C(15)) << 12;
11364       Value |= (op & UINT64_C(15)) << 16;
11365       Value |= (op & UINT64_C(16));
11368       op &= UINT64_C(15);
11372       op &= UINT64_C(3);
11382       Value |= (op & UINT64_C(16)) << 18;
11383       Value |= (op & UINT64_C(15)) << 12;
11386       Value |= (op & UINT64_C(15)) << 16;
11387       Value |= (op & UINT64_C(16));
11390       op &= UINT64_C(15);
11394       op &= UINT64_C(1);
11404       Value |= (op & UINT64_C(16)) << 18;
11405       Value |= (op & UINT64_C(15)) << 12;
11408       Value |= (op & UINT64_C(15)) << 16;
11409       Value |= (op & UINT64_C(16));
11412       op &= UINT64_C(15);
11416       op &= UINT64_C(3);
11425       Value |= (op & UINT64_C(16)) << 18;
11426       Value |= (op & UINT64_C(15)) << 12;
11429       Value |= (op & UINT64_C(15)) << 16;
11430       Value |= (op & UINT64_C(16));
11433       op &= UINT64_C(15);
11437       op &= UINT64_C(7);
11447       Value |= (op & UINT64_C(16)) << 18;
11448       Value |= (op & UINT64_C(15)) << 12;
11451       Value |= (op & UINT64_C(15)) << 16;
11452       Value |= (op & UINT64_C(16));
11455       op &= UINT64_C(15);
11459       op &= UINT64_C(3);
11468       Value |= (op & UINT64_C(16)) << 18;
11469       Value |= (op & UINT64_C(15)) << 12;
11472       Value |= (op & UINT64_C(15)) << 16;
11473       Value |= (op & UINT64_C(16));
11476       op &= UINT64_C(15);
11480       op &= UINT64_C(7);
11494       Value |= (op & UINT64_C(16)) << 18;
11495       Value |= (op & UINT64_C(15)) << 12;
11498       Value |= (op & UINT64_C(15)) << 16;
11499       Value |= (op & UINT64_C(16));
11502       op &= UINT64_C(15);
11513       Value |= (op & UINT64_C(16)) << 18;
11514       Value |= (op & UINT64_C(15)) << 12;
11517       Value |= (op & UINT64_C(15)) << 16;
11518       Value |= (op & UINT64_C(16));
11521       op &= UINT64_C(15);
11530       Value |= (op & UINT64_C(16)) << 18;
11531       Value |= (op & UINT64_C(15)) << 12;
11534       Value |= (op & UINT64_C(15)) << 16;
11535       Value |= (op & UINT64_C(48));
11538       op &= UINT64_C(15);
11542       op &= UINT64_C(1);
11556       Value |= (op & UINT64_C(16)) << 18;
11557       Value |= (op & UINT64_C(15)) << 12;
11560       Value |= (op & UINT64_C(15)) << 16;
11561       Value |= (op & UINT64_C(48));
11564       op &= UINT64_C(15);
11592       Value |= (op & UINT64_C(16)) << 18;
11593       Value |= (op & UINT64_C(15)) << 12;
11596       Value |= (op & UINT64_C(15)) << 16;
11597       Value |= (op & UINT64_C(48));
11600       op &= UINT64_C(15);
11608       Value |= (op & UINT64_C(16)) << 18;
11609       Value |= (op & UINT64_C(15)) << 12;
11612       op &= UINT64_C(15);
11617       op &= UINT64_C(15);
11621       op &= UINT64_C(7);
11631       Value |= (op & UINT64_C(16)) << 18;
11632       Value |= (op & UINT64_C(15)) << 12;
11635       op &= UINT64_C(15);
11640       op &= UINT64_C(15);
11644       op &= UINT64_C(1);
11654       Value |= (op & UINT64_C(16)) << 18;
11655       Value |= (op & UINT64_C(15)) << 12;
11658       op &= UINT64_C(15);
11663       op &= UINT64_C(15);
11667       op &= UINT64_C(3);
11676       Value |= (op & UINT64_C(16)) << 18;
11677       Value |= (op & UINT64_C(15)) << 12;
11680       op &= UINT64_C(15);
11685       op &= UINT64_C(15);
11689       op &= UINT64_C(7);
11698       Value |= (op & UINT64_C(16)) << 18;
11699       Value |= (op & UINT64_C(15)) << 12;
11702       Value |= (op & UINT64_C(15)) << 16;
11703       Value |= (op & UINT64_C(48));
11706       op &= UINT64_C(15);
11710       op &= UINT64_C(1);
11742       Value |= (op & UINT64_C(256)) << 15;
11743       Value |= (op & UINT64_C(7680)) << 7;
11744       Value |= (op & UINT64_C(255));
11747       op &= UINT64_C(15);
11752       op &= UINT64_C(15);
11762       Value |= (op & UINT64_C(122880)) << 3;
11763       Value |= (op & UINT64_C(4095));
11771       Value |= (op & UINT64_C(4096)) << 11;
11772       Value |= (op & UINT64_C(122880)) << 3;
11773       Value |= (op & UINT64_C(4095));
11780       Value |= (op & UINT64_C(4096)) << 11;
11781       Value |= (op & UINT64_C(4095));
11790       op &= UINT64_C(15);
11795       op &= UINT64_C(15);
11804       op &= UINT64_C(15);
11809       op &= UINT64_C(15);
11814       op &= UINT64_C(15);
11824       Value |= (op & UINT64_C(7680)) << 7;
11825       Value |= (op & UINT64_C(255));
11833       Value |= (op & UINT64_C(960)) << 10;
11834       Value |= (op & UINT64_C(3)) << 4;
11835       Value |= (op & UINT64_C(60)) >> 2;
11842       op &= UINT64_C(15);
11847       op &= UINT64_C(15);
11855       op &= UINT64_C(15);
11860       Value |= (op & UINT64_C(63488)) << 5;
11861       Value |= (op & UINT64_C(1)) << 11;
11862       Value |= (op & UINT64_C(2046));
11868       op &= UINT64_C(15);
11873       Value |= (op & UINT64_C(260096)) << 5;
11874       Value |= (op & UINT64_C(1)) << 11;
11875       Value |= (op & UINT64_C(2046));
11881       Value |= (op & UINT64_C(32)) << 15;
11882       Value |= (op & UINT64_C(15)) << 8;
11883       Value |= (op & UINT64_C(16));
11886       op &= UINT64_C(15);
11894       Value |= (op & UINT64_C(32)) << 15;
11895       Value |= (op & UINT64_C(15)) << 16;
11896       Value |= (op & UINT64_C(16));
11899       op &= UINT64_C(15);
11907       op &= UINT64_C(15);
11912       Value |= (op & UINT64_C(2048)) << 5;
11913       Value |= (op & UINT64_C(1)) << 11;
11914       Value |= (op & UINT64_C(2046));
11917       op &= UINT64_C(1);
11922       op &= UINT64_C(15);
11930       op &= UINT64_C(15);
11935       op &= UINT64_C(15);
11942       op &= UINT64_C(15);
11949       op &= UINT64_C(7);
11956       op &= UINT64_C(7);
11961       op &= UINT64_C(255);
11968       op &= UINT64_C(1);
11976       op &= UINT64_C(1);
11986       Value |= (op & UINT64_C(1)) << 7;
11987       Value |= (op & UINT64_C(2)) << 4;
11990       Value |= (op & UINT64_C(8)) << 19;
11991       Value |= (op & UINT64_C(7)) << 13;
11994       op &= UINT64_C(7);
11999       op &= UINT64_C(15);
12008       Value |= (op & UINT64_C(1)) << 7;
12009       Value |= (op & UINT64_C(2)) << 4;
12012       op &= UINT64_C(7);
12017       op &= UINT64_C(15);
12026       Value |= (op & UINT64_C(1)) << 7;
12027       Value |= (op & UINT64_C(2)) >> 1;
12030       Value |= (op & UINT64_C(8)) << 19;
12031       Value |= (op & UINT64_C(7)) << 13;
12034       op &= UINT64_C(7);
12039       Value |= (op & UINT64_C(8)) << 2;
12040       Value |= (op & UINT64_C(7)) << 1;
12048       Value |= (op & UINT64_C(1)) << 7;
12049       Value |= (op & UINT64_C(2)) >> 1;
12052       op &= UINT64_C(7);
12057       Value |= (op & UINT64_C(8)) << 2;
12058       Value |= (op & UINT64_C(7)) << 1;
12065       Value |= (op & UINT64_C(4)) << 10;
12066       Value |= (op & UINT64_C(1)) << 7;
12067       Value |= (op & UINT64_C(2)) << 4;
12070       Value |= (op & UINT64_C(8)) << 19;
12071       Value |= (op & UINT64_C(7)) << 13;
12074       op &= UINT64_C(7);
12079       op &= UINT64_C(15);
12087       Value |= (op & UINT64_C(4)) << 10;
12088       Value |= (op & UINT64_C(1)) << 7;
12089       Value |= (op & UINT64_C(2)) << 4;
12092       op &= UINT64_C(7);
12097       op &= UINT64_C(15);
12105       Value |= (op & UINT64_C(4)) << 10;
12106       Value |= (op & UINT64_C(1)) << 7;
12107       Value |= (op & UINT64_C(2)) >> 1;
12110       Value |= (op & UINT64_C(8)) << 19;
12111       Value |= (op & UINT64_C(7)) << 13;
12114       op &= UINT64_C(7);
12119       Value |= (op & UINT64_C(8)) << 2;
12120       Value |= (op & UINT64_C(7)) << 1;
12127       Value |= (op & UINT64_C(4)) << 10;
12128       Value |= (op & UINT64_C(1)) << 7;
12129       Value |= (op & UINT64_C(2)) >> 1;
12132       op &= UINT64_C(7);
12137       Value |= (op & UINT64_C(8)) << 2;
12138       Value |= (op & UINT64_C(7)) << 1;
12149       op &= UINT64_C(1);
12154       Value |= (op & UINT64_C(8)) << 19;
12155       Value |= (op & UINT64_C(7)) << 13;
12158       op &= UINT64_C(7);
12163       Value |= (op & UINT64_C(8)) << 2;
12164       Value |= (op & UINT64_C(7)) << 1;
12175       op &= UINT64_C(1);
12180       Value |= (op & UINT64_C(8)) << 19;
12181       Value |= (op & UINT64_C(7)) << 13;
12184       op &= UINT64_C(7);
12189       op &= UINT64_C(15);
12201       op &= UINT64_C(1);
12206       op &= UINT64_C(7);
12211       Value |= (op & UINT64_C(8)) << 2;
12212       Value |= (op & UINT64_C(7)) << 1;
12223       op &= UINT64_C(1);
12228       op &= UINT64_C(7);
12233       op &= UINT64_C(15);
12240       op &= UINT64_C(16777215);
12247       op &= UINT64_C(15);
12254       op &= UINT64_C(15);
12263       op &= UINT64_C(15);
12271       Value |= (op & UINT64_C(8388608)) << 3;
12272       Value |= (op & UINT64_C(2095104)) << 5;
12273       Value |= (op & UINT64_C(4194304)) >> 9;
12274       Value |= (op & UINT64_C(2097152)) >> 10;
12275       Value |= (op & UINT64_C(2047));
12281       Value |= (op & UINT64_C(8388608)) << 3;
12282       Value |= (op & UINT64_C(2095104)) << 5;
12283       Value |= (op & UINT64_C(4194304)) >> 9;
12284       Value |= (op & UINT64_C(2097152)) >> 10;
12285       Value |= (op & UINT64_C(2046));
12294       Value |= (op & UINT64_C(128)) << 21;
12295       Value |= (op & UINT64_C(112)) << 12;
12296       Value |= (op & UINT64_C(15));
12299       Value |= (op & UINT64_C(8)) << 19;
12300       Value |= (op & UINT64_C(7)) << 13;
12307       Value |= (op & UINT64_C(128)) << 21;
12308       Value |= (op & UINT64_C(112)) << 12;
12309       Value |= (op & UINT64_C(15));
12312       Value |= (op & UINT64_C(8)) << 19;
12313       Value |= (op & UINT64_C(7)) << 13;
12320       Value |= (op & UINT64_C(128)) << 21;
12321       Value |= (op & UINT64_C(112)) << 12;
12322       Value |= (op & UINT64_C(15));
12325       Value |= (op & UINT64_C(8)) << 19;
12326       Value |= (op & UINT64_C(7)) << 13;
12335       Value |= (op & UINT64_C(128)) << 21;
12336       Value |= (op & UINT64_C(112)) << 12;
12337       Value |= (op & UINT64_C(15));
12340       Value |= (op & UINT64_C(8)) << 19;
12341       Value |= (op & UINT64_C(7)) << 13;
12347       Value |= (op & UINT64_C(65520)) << 4;
12348       Value |= (op & UINT64_C(15));
12354       op &= UINT64_C(1);
12362       op &= UINT64_C(1);
12370       op &= UINT64_C(15);
12380       op &= UINT64_C(255);
12389       Value |= (op & UINT64_C(128)) << 21;
12390       Value |= (op & UINT64_C(112)) << 12;
12391       Value |= (op & UINT64_C(15));
12394       Value |= (op & UINT64_C(8)) << 19;
12395       Value |= (op & UINT64_C(7)) << 13;
12402       Value |= (op & UINT64_C(128)) << 21;
12403       Value |= (op & UINT64_C(112)) << 12;
12404       Value |= (op & UINT64_C(3840));
12405       Value |= (op & UINT64_C(15));
12408       Value |= (op & UINT64_C(8)) << 19;
12409       Value |= (op & UINT64_C(7)) << 13;
12416       Value |= (op & UINT64_C(128)) << 21;
12417       Value |= (op & UINT64_C(112)) << 12;
12418       Value |= (op & UINT64_C(512));
12419       Value |= (op & UINT64_C(15));
12422       Value |= (op & UINT64_C(8)) << 19;
12423       Value |= (op & UINT64_C(7)) << 13;
12430       op &= UINT64_C(127);
12437       op &= UINT64_C(31);
12442       Value |= (op & UINT64_C(8)) << 19;
12443       Value |= (op & UINT64_C(7)) << 13;
12446       op &= UINT64_C(15);
12454       Value |= (op & UINT64_C(61440)) << 4;
12455       Value |= (op & UINT64_C(4095));
12461       Value |= (op & UINT64_C(65520)) << 4;
12462       Value |= (op & UINT64_C(15));
12468       op &= UINT64_C(255);
12475       op &= UINT64_C(1);
12480       op &= UINT64_C(7);
12487       op &= UINT64_C(3);
12492       op &= UINT64_C(7);
12500       op &= UINT64_C(3);
12505       op &= UINT64_C(7);
12510       op &= UINT64_C(31);
12517       op &= UINT64_C(3);
12522       op &= UINT64_C(7);
12530       op &= UINT64_C(3);
12535       op &= UINT64_C(7);
12540       op &= UINT64_C(31);
12547       Value |= (op & UINT64_C(1)) << 11;
12548       Value |= (op & UINT64_C(2046));
12555       Value |= (op & UINT64_C(1)) << 11;
12556       Value |= (op & UINT64_C(2046));
12562       Value |= (op & UINT64_C(16)) << 16;
12563       Value |= (op & UINT64_C(15)) << 8;
12566       op &= UINT64_C(15);
12587       op &= UINT64_C(31);
12605       Value |= (op & UINT64_C(256)) << 15;
12606       Value |= (op & UINT64_C(255));
12609       op &= UINT64_C(15);
12614       op &= UINT64_C(15);
12619       op &= UINT64_C(15);
12629       op &= UINT64_C(15);
12634       op &= UINT64_C(15);
12639       op &= UINT64_C(15);
12644       op &= UINT64_C(15);
12649       op &= UINT64_C(7);
12654       op &= UINT64_C(15);
12667       op &= UINT64_C(15);
12674       op &= UINT64_C(15);
12693       op &= UINT64_C(255);
12697       op &= UINT64_C(15);
12702       op &= UINT64_C(15);
12707       op &= UINT64_C(15);
12717       op &= UINT64_C(15);
12725       op &= UINT64_C(15);
12734       op &= UINT64_C(15);
12739       Value |= (op & UINT64_C(1048576)) << 6;
12740       Value |= (op & UINT64_C(258048)) << 4;
12741       Value |= (op & UINT64_C(262144)) >> 5;
12742       Value |= (op & UINT64_C(524288)) >> 8;
12743       Value |= (op & UINT64_C(4094)) >> 1;
12750       op &= UINT64_C(15);
12755       Value |= (op & UINT64_C(16)) << 18;
12756       Value |= (op & UINT64_C(15)) << 12;
12764       op &= UINT64_C(15);
12769       op &= UINT64_C(15);
12778       op &= UINT64_C(15);
12783       op &= UINT64_C(15);
12788       Value |= (op & UINT64_C(256)) << 14;
12789       Value |= (op & UINT64_C(7680)) << 3;
12790       Value |= (op & UINT64_C(255));
12798       op &= UINT64_C(15);
12803       op &= UINT64_C(15);
12808       Value |= (op & UINT64_C(3840)) << 4;
12809       Value |= (op & UINT64_C(254));
12817       op &= UINT64_C(15);
12822       op &= UINT64_C(15);
12827       Value |= (op & UINT64_C(4096)) << 10;
12828       Value |= (op & UINT64_C(3840)) << 4;
12829       Value |= (op & UINT64_C(254));
12837       op &= UINT64_C(15);
12842       op &= UINT64_C(15);
12869       op &= UINT64_C(15);
12874       op &= UINT64_C(15);
12886       op &= UINT64_C(15);
12891       Value |= (op & UINT64_C(1)) << 22;
12892       Value |= (op & UINT64_C(30)) << 11;
12899       op &= UINT64_C(15);
12904       op &= UINT64_C(15);
12911       op &= UINT64_C(15);
12916       op &= UINT64_C(16777215);
12924       op &= UINT64_C(15);
12929       op &= UINT64_C(15);
12936       op &= UINT64_C(15);
12941       op &= UINT64_C(255);
12949       op &= UINT64_C(15);
12954       op &= UINT64_C(15);
12976       op &= UINT64_C(15);
12981       op &= UINT64_C(65535);
12985       op &= UINT64_C(15);
12993       op &= UINT64_C(15);
12998       op &= UINT64_C(16777215);
13005       op &= UINT64_C(15);
13010       op &= UINT64_C(16777215);
13017       op &= UINT64_C(15);
13022       op &= UINT64_C(255);
13037       op &= UINT64_C(15);
13042       Value |= (op & UINT64_C(16)) << 18;
13043       Value |= (op & UINT64_C(15)) << 12;
13046       Value |= (op & UINT64_C(16)) << 1;
13047       Value |= (op & UINT64_C(15));
13057       op &= UINT64_C(15);
13062       Value |= (op & UINT64_C(16)) << 18;
13063       Value |= (op & UINT64_C(15)) << 12;
13066       Value |= (op & UINT64_C(1)) << 5;
13067       Value |= (op & UINT64_C(30)) >> 1;
13074       op &= UINT64_C(15);
13079       Value |= (op & UINT64_C(16)) << 18;
13080       Value |= (op & UINT64_C(15)) << 12;
13083       Value |= (op & UINT64_C(240)) << 12;
13084       Value |= (op & UINT64_C(15));
13092       op &= UINT64_C(15);
13097       Value |= (op & UINT64_C(16)) << 1;
13098       Value |= (op & UINT64_C(15));
13101       Value |= (op & UINT64_C(1)) << 22;
13102       Value |= (op & UINT64_C(30)) << 11;
13113       op &= UINT64_C(15);
13118       op &= UINT64_C(15);
13123       op &= UINT64_C(15);
13130       op &= UINT64_C(15);
13135       op &= UINT64_C(15);
13140       Value |= (op & UINT64_C(61440)) << 4;
13141       Value |= (op & UINT64_C(4095));
13147       op &= UINT64_C(15);
13152       op &= UINT64_C(15);
13157       Value |= (op & UINT64_C(12288)) << 10;
13158       Value |= (op & UINT64_C(4095));
13167       op &= UINT64_C(15);
13172       op &= UINT64_C(15);
13177       op &= UINT64_C(15);
13187       op &= UINT64_C(15);
13192       op &= UINT64_C(15);
13197       op &= UINT64_C(4095);
13207       op &= UINT64_C(15);
13212       op &= UINT64_C(15);
13217       Value |= (op & UINT64_C(256)) << 14;
13218       Value |= (op & UINT64_C(7680)) << 3;
13219       Value |= (op & UINT64_C(255));
13229       op &= UINT64_C(15);
13234       op &= UINT64_C(15);
13239       Value |= (op & UINT64_C(3840)) << 4;
13240       Value |= (op & UINT64_C(254));
13250       op &= UINT64_C(15);
13255       op &= UINT64_C(15);
13260       Value |= (op & UINT64_C(4096)) << 10;
13261       Value |= (op & UINT64_C(3840)) << 4;
13262       Value |= (op & UINT64_C(254));
13271       op &= UINT64_C(15);
13276       op &= UINT64_C(15);
13280       op &= UINT64_C(15);
13289       op &= UINT64_C(15);
13294       op &= UINT64_C(15);
13299       Value |= (op & UINT64_C(30)) << 15;
13300       Value |= (op & UINT64_C(1)) << 7;
13317       op &= UINT64_C(15);
13322       op &= UINT64_C(15);
13327       op &= UINT64_C(15);
13336       op &= UINT64_C(15);
13341       op &= UINT64_C(15);
13351       op &= UINT64_C(15);
13356       op &= UINT64_C(15);
13370       op &= UINT64_C(15);
13375       Value |= (op & UINT64_C(1)) << 22;
13376       Value |= (op & UINT64_C(30)) << 11;
13379       Value |= (op & UINT64_C(16)) << 1;
13380       Value |= (op & UINT64_C(15));
13419       op &= UINT64_C(15);
13424       Value |= (op & UINT64_C(1)) << 22;
13425       Value |= (op & UINT64_C(30)) << 11;
13428       Value |= (op & UINT64_C(1)) << 5;
13429       Value |= (op & UINT64_C(30)) >> 1;
13437       op &= UINT64_C(15);
13442       Value |= (op & UINT64_C(1)) << 22;
13443       Value |= (op & UINT64_C(30)) << 11;
13446       Value |= (op & UINT64_C(240)) << 12;
13447       Value |= (op & UINT64_C(15));
13454       op &= UINT64_C(15);
13459       Value |= (op & UINT64_C(1)) << 5;
13460       Value |= (op & UINT64_C(30)) >> 1;
13463       Value |= (op & UINT64_C(16)) << 18;
13464       Value |= (op & UINT64_C(15)) << 12;
13472       op &= UINT64_C(15);
13477       Value |= (op & UINT64_C(30)) << 15;
13478       Value |= (op & UINT64_C(1)) << 7;
13481       op &= UINT64_C(15);
13499       op &= UINT64_C(15);
13504       Value |= (op & UINT64_C(128)) << 16;
13505       Value |= (op & UINT64_C(3840)) << 8;
13506       Value |= (op & UINT64_C(127));
13513       op &= UINT64_C(15);
13518       Value |= (op & UINT64_C(32)) << 17;
13519       Value |= (op & UINT64_C(15)) << 16;
13520       Value |= (op & UINT64_C(16)) << 4;
13523       op &= UINT64_C(15);
13530       op &= UINT64_C(15);
13535       Value |= (op & UINT64_C(32)) << 17;
13536       Value |= (op & UINT64_C(15)) << 16;
13537       Value |= (op & UINT64_C(16)) << 4;
13540       op &= UINT64_C(15);
13548       op &= UINT64_C(15);
13553       Value |= (op & UINT64_C(16)) << 18;
13554       Value |= (op & UINT64_C(15)) << 16;
13557       op &= UINT64_C(15);
13564       op &= UINT64_C(15);
13569       Value |= (op & UINT64_C(16)) << 18;
13570       Value |= (op & UINT64_C(15)) << 16;
13573       op &= UINT64_C(4095);
13595       op &= UINT64_C(15);
13600       op &= UINT64_C(65535);
13604       op &= UINT64_C(15);
13614       op &= UINT64_C(15);
13619       op &= UINT64_C(1);
13624       op &= UINT64_C(15);
13629       op &= UINT64_C(15);
13637       op &= UINT64_C(15);
13642       op &= UINT64_C(1);
13647       op &= UINT64_C(15);
13652       op &= UINT64_C(4095);
13663       op &= UINT64_C(15);
13668       Value |= (op & UINT64_C(16)) << 18;
13669       Value |= (op & UINT64_C(15)) << 12;
13672       Value |= (op & UINT64_C(15)) << 16;
13673       Value |= (op & UINT64_C(16)) << 3;
13676       Value |= (op & UINT64_C(16)) << 1;
13677       Value |= (op & UINT64_C(15));
13685       op &= UINT64_C(15);
13690       Value |= (op & UINT64_C(16)) << 18;
13691       Value |= (op & UINT64_C(15)) << 12;
13694       Value |= (op & UINT64_C(256)) << 15;
13695       Value |= (op & UINT64_C(7680)) << 7;
13696       Value |= (op & UINT64_C(255));
13703       op &= UINT64_C(15);
13708       Value |= (op & UINT64_C(16)) << 1;
13709       Value |= (op & UINT64_C(15));
13712       op &= UINT64_C(15);
13717       op &= UINT64_C(15);
13726       op &= UINT64_C(15);
13731       Value |= (op & UINT64_C(16)) << 1;
13732       Value |= (op & UINT64_C(15));
13735       op &= UINT64_C(15);
13740       op &= UINT64_C(15);
13754       op &= UINT64_C(15);
13759       op &= UINT64_C(15);
13764       op &= UINT64_C(15);
13768       op &= UINT64_C(3);
13776       op &= UINT64_C(15);
13781       op &= UINT64_C(15);
13786       op &= UINT64_C(15);
13791       op &= UINT64_C(15);
13798       op &= UINT64_C(15);
13803       op &= UINT64_C(15);
13808       Value |= (op & UINT64_C(992)) << 11;
13809       Value |= (op & UINT64_C(31)) << 7;
13815       op &= UINT64_C(15);
13820       op &= UINT64_C(15);
13825       Value |= (op & UINT64_C(61440)) << 4;
13826       Value |= (op & UINT64_C(4095));
13833       op &= UINT64_C(15);
13838       op &= UINT64_C(15);
13843       op &= UINT64_C(15);
13848       op &= UINT64_C(15);
13859       op &= UINT64_C(15);
13864       op &= UINT64_C(15);
13869       op &= UINT64_C(15);
13873       op &= UINT64_C(15);
13884       op &= UINT64_C(15);
13889       op &= UINT64_C(15);
13894       Value |= (op & UINT64_C(4064));
13895       Value |= (op & UINT64_C(15));
13910       op &= UINT64_C(15);
13915       op &= UINT64_C(15);
13919       op &= UINT64_C(15);
13924       op &= UINT64_C(15);
13967       op &= UINT64_C(15);
13972       op &= UINT64_C(15);
13977       op &= UINT64_C(15);
13982       op &= UINT64_C(15);
13992       op &= UINT64_C(15);
13997       op &= UINT64_C(15);
14002       op &= UINT64_C(15);
14007       op &= UINT64_C(15);
14015       op &= UINT64_C(15);
14020       op &= UINT64_C(15);
14025       op &= UINT64_C(15);
14029       op &= UINT64_C(15);
14040       op &= UINT64_C(15);
14045       op &= UINT64_C(15);
14050       Value |= (op & UINT64_C(4096)) << 11;
14051       Value |= (op & UINT64_C(122880)) << 3;
14052       Value |= (op & UINT64_C(4095));
14058       op &= UINT64_C(15);
14063       op &= UINT64_C(15);
14068       Value |= (op & UINT64_C(4096)) << 11;
14069       Value |= (op & UINT64_C(4095));
14082       op &= UINT64_C(15);
14087       op &= UINT64_C(15);
14091       op &= UINT64_C(15);
14096       op &= UINT64_C(15);
14113       op &= UINT64_C(15);
14118       Value |= (op & UINT64_C(1)) << 22;
14119       Value |= (op & UINT64_C(30)) << 11;
14122       Value |= (op & UINT64_C(30)) << 15;
14123       Value |= (op & UINT64_C(1)) << 7;
14126       Value |= (op & UINT64_C(1)) << 5;
14127       Value |= (op & UINT64_C(30)) >> 1;
14135       op &= UINT64_C(15);
14140       Value |= (op & UINT64_C(1)) << 22;
14141       Value |= (op & UINT64_C(30)) << 11;
14144       Value |= (op & UINT64_C(256)) << 15;
14145       Value |= (op & UINT64_C(7680)) << 7;
14146       Value |= (op & UINT64_C(255));
14154       op &= UINT64_C(15);
14159       Value |= (op & UINT64_C(1)) << 22;
14160       Value |= (op & UINT64_C(30)) << 11;
14163       Value |= (op & UINT64_C(256)) << 15;
14164       Value |= (op & UINT64_C(7680)) << 7;
14165       Value |= (op & UINT64_C(255));
14183       op &= UINT64_C(15);
14188       Value |= (op & UINT64_C(128)) << 16;
14189       Value |= (op & UINT64_C(3840)) << 8;
14190       Value |= (op & UINT64_C(127));
14206       op &= UINT64_C(15);
14211       Value |= (op & UINT64_C(128)) << 16;
14212       Value |= (op & UINT64_C(127));
14215       op &= UINT64_C(15);
14239       op &= UINT64_C(15);
14244       Value |= (op & UINT64_C(1)) << 5;
14245       Value |= (op & UINT64_C(30)) >> 1;
14248       Value |= (op & UINT64_C(1)) << 22;
14249       Value |= (op & UINT64_C(30)) << 11;
14263       op &= UINT64_C(15);
14268       Value |= (op & UINT64_C(1)) << 5;
14269       Value |= (op & UINT64_C(30)) >> 1;
14272       Value |= (op & UINT64_C(16)) << 18;
14273       Value |= (op & UINT64_C(15)) << 12;
14289       op &= UINT64_C(15);
14294       op &= UINT64_C(1);
14299       op &= UINT64_C(15);
14304       op &= UINT64_C(15);
14309       op &= UINT64_C(15);
14325       op &= UINT64_C(15);
14330       op &= UINT64_C(1);
14335       op &= UINT64_C(15);
14340       op &= UINT64_C(15);
14345       op &= UINT64_C(4095);
14352       op &= UINT64_C(15);
14357       op &= UINT64_C(1);
14362       op &= UINT64_C(15);
14367       Value |= (op & UINT64_C(4064));
14368       Value |= (op & UINT64_C(15));
14374       op &= UINT64_C(15);
14379       op &= UINT64_C(1);
14384       op &= UINT64_C(15);
14389       Value |= (op & UINT64_C(4064));
14390       Value |= (op & UINT64_C(15));
14396       op &= UINT64_C(15);
14401       op &= UINT64_C(1);
14406       op &= UINT64_C(15);
14411       op &= UINT64_C(15);
14416       op &= UINT64_C(15);
14430       op &= UINT64_C(15);
14435       Value |= (op & UINT64_C(16)) << 18;
14436       Value |= (op & UINT64_C(15)) << 12;
14439       Value |= (op & UINT64_C(15)) << 16;
14440       Value |= (op & UINT64_C(16)) << 3;
14443       Value |= (op & UINT64_C(16)) << 1;
14444       Value |= (op & UINT64_C(15));
14456       op &= UINT64_C(15);
14461       op &= UINT64_C(15);
14466       op &= UINT64_C(15);
14470       op &= UINT64_C(15);
14475       op &= UINT64_C(3);
14484       op &= UINT64_C(15);
14489       op &= UINT64_C(15);
14494       op &= UINT64_C(15);
14498       op &= UINT64_C(31);
14503       op &= UINT64_C(31);
14512       op &= UINT64_C(15);
14517       op &= UINT64_C(15);
14522       op &= UINT64_C(15);
14527       op &= UINT64_C(15);
14531       op &= UINT64_C(31);
14539       op &= UINT64_C(15);
14544       op &= UINT64_C(15);
14549       op &= UINT64_C(15);
14553       Value |= (op & UINT64_C(992)) << 11;
14554       Value |= (op & UINT64_C(31)) << 7;
14561       op &= UINT64_C(15);
14566       op &= UINT64_C(15);
14571       op &= UINT64_C(31);
14576       op &= UINT64_C(15);
14580       Value |= (op & UINT64_C(31)) << 7;
14581       Value |= (op & UINT64_C(32)) << 1;
14587       op &= UINT64_C(15);
14592       op &= UINT64_C(15);
14597       op &= UINT64_C(15);
14602       op &= UINT64_C(15);
14606       op &= UINT64_C(15);
14618       op &= UINT64_C(15);
14623       op &= UINT64_C(15);
14628       op &= UINT64_C(15);
14632       op &= UINT64_C(15);
14637       op &= UINT64_C(15);
14648       op &= UINT64_C(15);
14653       op &= UINT64_C(15);
14658       Value |= (op & UINT64_C(3840));
14659       Value |= (op & UINT64_C(96));
14660       Value |= (op & UINT64_C(15));
14669       op &= UINT64_C(15);
14674       op &= UINT64_C(15);
14678       op &= UINT64_C(15);
14683       op &= UINT64_C(15);
14688       op &= UINT64_C(15);
14701       op &= UINT64_C(15);
14706       op &= UINT64_C(15);
14710       op &= UINT64_C(15);
14715       op &= UINT64_C(15);
14720       op &= UINT64_C(15);
14729       op &= UINT64_C(15);
14734       op &= UINT64_C(15);
14739       Value |= (op & UINT64_C(4096)) << 11;
14740       Value |= (op & UINT64_C(122880)) << 3;
14741       Value |= (op & UINT64_C(4095));
14750       op &= UINT64_C(15);
14755       op &= UINT64_C(15);
14760       Value |= (op & UINT64_C(4096)) << 11;
14761       Value |= (op & UINT64_C(122880)) << 3;
14762       Value |= (op & UINT64_C(4064));
14763       Value |= (op & UINT64_C(15));
14770       op &= UINT64_C(15);
14775       op &= UINT64_C(15);
14780       Value |= (op & UINT64_C(4096)) << 11;
14781       Value |= (op & UINT64_C(122880)) << 3;
14782       Value |= (op & UINT64_C(4095));
14803       op &= UINT64_C(15);
14808       Value |= (op & UINT64_C(1)) << 22;
14809       Value |= (op & UINT64_C(30)) << 11;
14812       Value |= (op & UINT64_C(30)) << 15;
14813       Value |= (op & UINT64_C(1)) << 7;
14816       Value |= (op & UINT64_C(1)) << 5;
14817       Value |= (op & UINT64_C(30)) >> 1;
14827       op &= UINT64_C(15);
14832       Value |= (op & UINT64_C(256)) << 15;
14833       Value |= (op & UINT64_C(8192)) << 9;
14834       Value |= (op & UINT64_C(7680)) << 7;
14835       Value |= (op & UINT64_C(240)) << 4;
14836       Value |= (op & UINT64_C(15));
14839       op &= UINT64_C(15);
14854       op &= UINT64_C(15);
14859       Value |= (op & UINT64_C(256)) << 15;
14860       Value |= (op & UINT64_C(7680)) << 7;
14861       Value |= (op & UINT64_C(255));
14864       op &= UINT64_C(15);
14869       op &= UINT64_C(15);
14879       op &= UINT64_C(15);
14884       op &= UINT64_C(15);
14889       op &= UINT64_C(15);
14894       Value |= (op & UINT64_C(256)) << 15;
14895       Value |= (op & UINT64_C(240)) << 4;
14896       Value |= (op & UINT64_C(15));
14902       op &= UINT64_C(15);
14907       op &= UINT64_C(15);
14912       op &= UINT64_C(15);
14917       Value |= (op & UINT64_C(256)) << 15;
14918       Value |= (op & UINT64_C(240)) << 4;
14919       Value |= (op & UINT64_C(15));
14926       op &= UINT64_C(15);
14931       Value |= (op & UINT64_C(128)) << 16;
14932       Value |= (op & UINT64_C(3840)) << 8;
14933       Value |= (op & UINT64_C(127));
14941       op &= UINT64_C(15);
14946       Value |= (op & UINT64_C(128)) << 16;
14947       Value |= (op & UINT64_C(127));
14950       op &= UINT64_C(15);
14959       op &= UINT64_C(15);
14964       Value |= (op & UINT64_C(1)) << 5;
14965       Value |= (op & UINT64_C(30)) >> 1;
14968       op &= UINT64_C(15);
14973       op &= UINT64_C(15);
14985       op &= UINT64_C(15);
14990       Value |= (op & UINT64_C(256)) << 15;
14991       Value |= (op & UINT64_C(255));
14994       op &= UINT64_C(15);
14999       op &= UINT64_C(15);
15004       op &= UINT64_C(15);
15015       op &= UINT64_C(15);
15020       op &= UINT64_C(255);
15024       op &= UINT64_C(15);
15029       op &= UINT64_C(15);
15034       op &= UINT64_C(15);
15051       op &= UINT64_C(15);
15056       op &= UINT64_C(1);
15061       op &= UINT64_C(15);
15066       op &= UINT64_C(15);
15071       Value |= (op & UINT64_C(4064));
15072       Value |= (op & UINT64_C(15));
15078       op &= UINT64_C(15);
15083       op &= UINT64_C(1);
15088       op &= UINT64_C(15);
15093       Value |= (op & UINT64_C(3840));
15094       Value |= (op & UINT64_C(96));
15095       Value |= (op & UINT64_C(15));
15101       op &= UINT64_C(15);
15106       op &= UINT64_C(1);
15111       op &= UINT64_C(15);
15116       Value |= (op & UINT64_C(3840));
15117       Value |= (op & UINT64_C(96));
15118       Value |= (op & UINT64_C(15));
15124       op &= UINT64_C(15);
15129       op &= UINT64_C(1);
15134       op &= UINT64_C(15);
15139       op &= UINT64_C(15);
15144       op &= UINT64_C(15);
15148       op &= UINT64_C(15);
15157       op &= UINT64_C(15);
15162       op &= UINT64_C(1);
15167       op &= UINT64_C(15);
15172       op &= UINT64_C(15);
15177       op &= UINT64_C(15);
15182       op &= UINT64_C(15);
15189       op &= UINT64_C(15);
15194       Value |= (op & UINT64_C(1)) << 5;
15195       Value |= (op & UINT64_C(30)) >> 1;
15198       op &= UINT64_C(15);
15203       op &= UINT64_C(15);
15212       op &= UINT64_C(15);
15217       op &= UINT64_C(15);
15222       op &= UINT64_C(15);
15227       op &= UINT64_C(15);
15232       op &= UINT64_C(15);
15237       op &= UINT64_C(15);
15246       op &= UINT64_C(15);
15251       op &= UINT64_C(15);
15256       Value |= (op & UINT64_C(256)) << 15;
15257       Value |= (op & UINT64_C(8192)) << 9;
15258       Value |= (op & UINT64_C(7680)) << 7;
15259       Value |= (op & UINT64_C(240)) << 4;
15260       Value |= (op & UINT64_C(15));
15267       op &= UINT64_C(15);
15272       op &= UINT64_C(15);
15277       Value |= (op & UINT64_C(4096)) << 11;
15278       Value |= (op & UINT64_C(122880)) << 3;
15279       Value |= (op & UINT64_C(4064));
15280       Value |= (op & UINT64_C(15));
15289       op &= UINT64_C(15);
15294       op &= UINT64_C(15);
15299       Value |= (op & UINT64_C(4096)) << 11;
15300       Value |= (op & UINT64_C(4064));
15301       Value |= (op & UINT64_C(15));
15304       op &= UINT64_C(15);
15315       op &= UINT64_C(15);
15320       op &= UINT64_C(15);
15325       Value |= (op & UINT64_C(4096)) << 11;
15326       Value |= (op & UINT64_C(4095));
15329       op &= UINT64_C(15);
15339       op &= UINT64_C(15);
15344       op &= UINT64_C(15);
15349       Value |= (op & UINT64_C(256)) << 15;
15350       Value |= (op & UINT64_C(512)) << 13;
15351       Value |= (op & UINT64_C(240)) << 4;
15352       Value |= (op & UINT64_C(15));
15355       op &= UINT64_C(15);
15363       op &= UINT64_C(15);
15368       op &= UINT64_C(15);
15373       Value |= (op & UINT64_C(256)) << 15;
15374       Value |= (op & UINT64_C(8192)) << 9;
15375       Value |= (op & UINT64_C(7680)) << 7;
15376       Value |= (op & UINT64_C(240)) << 4;
15377       Value |= (op & UINT64_C(15));
15384       op &= UINT64_C(15);
15389       op &= UINT64_C(15);
15394       Value |= (op & UINT64_C(4096)) << 11;
15395       Value |= (op & UINT64_C(122880)) << 3;
15396       Value |= (op & UINT64_C(4064));
15397       Value |= (op & UINT64_C(15));
15406       op &= UINT64_C(15);
15411       op &= UINT64_C(15);
15416       Value |= (op & UINT64_C(4096)) << 11;
15417       Value |= (op & UINT64_C(4064));
15418       Value |= (op & UINT64_C(15));
15421       op &= UINT64_C(15);
15432       op &= UINT64_C(15);
15437       op &= UINT64_C(15);
15442       Value |= (op & UINT64_C(4096)) << 11;
15443       Value |= (op & UINT64_C(4095));
15446       op &= UINT64_C(15);
15454       op &= UINT64_C(15);
15459       op &= UINT64_C(15);
15464       Value |= (op & UINT64_C(256)) << 15;
15465       Value |= (op & UINT64_C(512)) << 13;
15466       Value |= (op & UINT64_C(240)) << 4;
15467       Value |= (op & UINT64_C(15));
15470       op &= UINT64_C(15);
15478       op &= UINT64_C(15);
15483       op &= UINT64_C(15);
15488       op &= UINT64_C(15);
15493       op &= UINT64_C(15);
15498       op &= UINT64_C(15);
15503       op &= UINT64_C(15);
15511       op &= UINT64_C(15);
15516       Value |= (op & UINT64_C(256)) << 15;
15517       Value |= (op & UINT64_C(8192)) << 9;
15518       Value |= (op & UINT64_C(7680)) << 7;
15519       Value |= (op & UINT64_C(240)) << 4;
15520       Value |= (op & UINT64_C(15));
15523       op &= UINT64_C(15);
15533       op &= UINT64_C(15);
15538       op &= UINT64_C(15);
15543       op &= UINT64_C(15);
15548       Value |= (op & UINT64_C(16)) << 19;
15549       Value |= (op & UINT64_C(15));
15555       op &= UINT64_C(15);
15560       op &= UINT64_C(15);
15565       op &= UINT64_C(15);
15570       Value |= (op & UINT64_C(16)) << 19;
15571       Value |= (op & UINT64_C(15));
15586       op &= UINT64_C(15);
15591       op &= UINT64_C(1);
15596       op &= UINT64_C(15);
15601       op &= UINT64_C(15);
15606       Value |= (op & UINT64_C(3840));
15607       Value |= (op & UINT64_C(96));
15608       Value |= (op & UINT64_C(15));
15614       op &= UINT64_C(15);
15619       op &= UINT64_C(15);
15624       op &= UINT64_C(15);
15629       op &= UINT64_C(15);
15634       op &= UINT64_C(15);
15648       op &= UINT64_C(15);
15653       op &= UINT64_C(15);
15657       op &= UINT64_C(15);
15662       op &= UINT64_C(15);
15667       op &= UINT64_C(15);
15675       op &= UINT64_C(15);
15680       op &= UINT64_C(15);
15685       Value |= (op & UINT64_C(256)) << 15;
15686       Value |= (op & UINT64_C(8192)) << 9;
15687       Value |= (op & UINT64_C(7680)) << 7;
15688       Value |= (op & UINT64_C(240)) << 4;
15689       Value |= (op & UINT64_C(15));
15695       op &= UINT64_C(15);
15700       op &= UINT64_C(15);
15705       op &= UINT64_C(15);
15710       op &= UINT64_C(7);
15715       op &= UINT64_C(7);
15720       op &= UINT64_C(15);
15724       op &= UINT64_C(15);
15732       op &= UINT64_C(15);
15737       op &= UINT64_C(15);
15742       Value |= (op & UINT64_C(256)) << 15;
15743       Value |= (op & UINT64_C(512)) << 13;
15744       Value |= (op & UINT64_C(240)) << 4;
15745       Value |= (op & UINT64_C(15));
15748       op &= UINT64_C(15);
15756       op &= UINT64_C(15);
15761       op &= UINT64_C(15);
15766       Value |= (op & UINT64_C(256)) << 15;
15767       Value |= (op & UINT64_C(8192)) << 9;
15768       Value |= (op & UINT64_C(7680)) << 7;
15769       Value |= (op & UINT64_C(240)) << 4;
15770       Value |= (op & UINT64_C(15));
15776       op &= UINT64_C(15);
15781       op &= UINT64_C(15);
15786       Value |= (op & UINT64_C(256)) << 15;
15787       Value |= (op & UINT64_C(512)) << 13;
15788       Value |= (op & UINT64_C(240)) << 4;
15789       Value |= (op & UINT64_C(15));
15792       op &= UINT64_C(15);
15800       op &= UINT64_C(15);
15805       op &= UINT64_C(15);
15810       op &= UINT64_C(15);
15815       op &= UINT64_C(7);
15820       op &= UINT64_C(7);
15825       op &= UINT64_C(15);
15829       op &= UINT64_C(15);
15837       op &= UINT64_C(15);
15842       op &= UINT64_C(15);
15847       op &= UINT64_C(15);
15852       op &= UINT64_C(15);
15857       op &= UINT64_C(15);
15862       op &= UINT64_C(7);
15867       op &= UINT64_C(15);
15875       op &= UINT64_C(15);
15880       op &= UINT64_C(1);
15885       op &= UINT64_C(15);
15890       op &= UINT64_C(15);
15895       op &= UINT64_C(15);
15900       op &= UINT64_C(15);
15907       Value |= (op & UINT64_C(16384)) >> 6;
15908       Value |= (op & UINT64_C(255));
15914       Value |= (op & UINT64_C(256)) << 14;
15915       Value |= (op & UINT64_C(7680)) << 3;
15916       Value |= (op & UINT64_C(255));
15923       Value |= (op & UINT64_C(32768)) >> 7;
15924       Value |= (op & UINT64_C(255));
15930       Value |= (op & UINT64_C(4096)) << 10;
15931       Value |= (op & UINT64_C(3840)) << 4;
15932       Value |= (op & UINT64_C(254));
15939       Value |= (op & UINT64_C(49152));
15940       Value |= (op & UINT64_C(8191));
15948       op &= UINT64_C(1);
15953       op &= UINT64_C(15);
15958       op &= UINT64_C(15);
15966       op &= UINT64_C(1);
15971       op &= UINT64_C(15);
15976       Value |= (op & UINT64_C(2048)) << 15;
15977       Value |= (op & UINT64_C(1792)) << 4;
15978       Value |= (op & UINT64_C(255));
15987       op &= UINT64_C(1);
15992       op &= UINT64_C(15);
15997       op &= UINT64_C(15);
16001       Value |= (op & UINT64_C(28)) << 10;
16002       Value |= (op & UINT64_C(3)) << 6;
16021       op &= UINT64_C(1);
16026       op &= UINT64_C(15);
16031       op &= UINT64_C(15);
16036       op &= UINT64_C(15);
16052       op &= UINT64_C(1);
16057       op &= UINT64_C(15);
16062       op &= UINT64_C(15);
16067       Value |= (op & UINT64_C(2048)) << 15;
16068       Value |= (op & UINT64_C(1792)) << 4;
16069       Value |= (op & UINT64_C(255));
16075       op &= UINT64_C(1);
16080       op &= UINT64_C(15);
16085       Value |= (op & UINT64_C(3584)) << 3;
16086       Value |= (op & UINT64_C(480)) >> 1;
16087       Value |= (op & UINT64_C(15));
16102       op &= UINT64_C(1);
16107       op &= UINT64_C(15);
16112       op &= UINT64_C(15);
16117       Value |= (op & UINT64_C(3584)) << 3;
16118       Value |= (op & UINT64_C(480)) >> 1;
16119       Value |= (op & UINT64_C(15));
16127       Value |= (op & UINT64_C(4096)) << 11;
16128       Value |= (op & UINT64_C(122880)) << 3;
16129       Value |= (op & UINT64_C(4064));
16130       Value |= (op & UINT64_C(15));
16136       Value |= (op & UINT64_C(1)) << 24;
16137       Value |= (op & UINT64_C(33554430)) >> 1;
16143       op &= UINT64_C(2047);
16150       Value |= (op & UINT64_C(8388608)) << 3;
16151       Value |= (op & UINT64_C(2095104)) << 5;
16152       Value |= (op & UINT64_C(4194304)) >> 9;
16153       Value |= (op & UINT64_C(2097152)) >> 10;
16154       Value |= (op & UINT64_C(2047));
16161       Value |= (op & UINT64_C(32)) << 4;
16162       Value |= (op & UINT64_C(31)) << 3;
16165       op &= UINT64_C(7);
16173       Value |= (op & UINT64_C(65520)) << 4;
16174       Value |= (op & UINT64_C(15));
16180       op &= UINT64_C(255);
16187       op &= UINT64_C(63);
gen/lib/Target/AVR/AVRGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(7168),	// ADCRdRr
  261     UINT64_C(3072),	// ADDRdRr
  262     UINT64_C(38400),	// ADIWRdK
  263     UINT64_C(28672),	// ANDIRdK
  264     UINT64_C(8192),	// ANDRdRr
  265     UINT64_C(37893),	// ASRRd
  266     UINT64_C(38024),	// BCLRs
  267     UINT64_C(63488),	// BLD
  268     UINT64_C(62464),	// BRBCsk
  269     UINT64_C(61440),	// BRBSsk
  270     UINT64_C(38296),	// BREAK
  271     UINT64_C(61441),	// BREQk
  272     UINT64_C(62468),	// BRGEk
  273     UINT64_C(61440),	// BRLOk
  274     UINT64_C(61444),	// BRLTk
  275     UINT64_C(61442),	// BRMIk
  276     UINT64_C(62465),	// BRNEk
  277     UINT64_C(62466),	// BRPLk
  278     UINT64_C(62464),	// BRSHk
  279     UINT64_C(37896),	// BSETs
  280     UINT64_C(64000),	// BST
  281     UINT64_C(2483945472),	// CALLk
  282     UINT64_C(38912),	// CBIAb
  283     UINT64_C(37888),	// COMRd
  284     UINT64_C(1024),	// CPCRdRr
  285     UINT64_C(12288),	// CPIRdK
  286     UINT64_C(5120),	// CPRdRr
  287     UINT64_C(4096),	// CPSE
  288     UINT64_C(37898),	// DECRd
  289     UINT64_C(37899),	// DESK
  290     UINT64_C(38169),	// EICALL
  291     UINT64_C(37913),	// EIJMP
  292     UINT64_C(38360),	// ELPM
  293     UINT64_C(36870),	// ELPMRdZ
  294     UINT64_C(36871),	// ELPMRdZPi
  295     UINT64_C(9216),	// EORRdRr
  296     UINT64_C(776),	// FMUL
  297     UINT64_C(896),	// FMULS
  298     UINT64_C(904),	// FMULSU
  299     UINT64_C(38153),	// ICALL
  300     UINT64_C(37897),	// IJMP
  301     UINT64_C(37891),	// INCRd
  302     UINT64_C(45056),	// INRdA
  303     UINT64_C(2483814400),	// JMPk
  304     UINT64_C(37382),	// LACZRd
  305     UINT64_C(37381),	// LASZRd
  306     UINT64_C(37383),	// LATZRd
  307     UINT64_C(32768),	// LDDRdPtrQ
  308     UINT64_C(57344),	// LDIRdK
  309     UINT64_C(32768),	// LDRdPtr
  310     UINT64_C(32770),	// LDRdPtrPd
  311     UINT64_C(32769),	// LDRdPtrPi
  312     UINT64_C(2415919104),	// LDSRdK
  313     UINT64_C(38344),	// LPM
  314     UINT64_C(36868),	// LPMRdZ
  315     UINT64_C(36869),	// LPMRdZPi
  316     UINT64_C(37894),	// LSRRd
  317     UINT64_C(11264),	// MOVRdRr
  318     UINT64_C(256),	// MOVWRdRr
  319     UINT64_C(39936),	// MULRdRr
  320     UINT64_C(512),	// MULSRdRr
  321     UINT64_C(768),	// MULSURdRr
  322     UINT64_C(37889),	// NEGRd
  323     UINT64_C(0),	// NOP
  324     UINT64_C(24576),	// ORIRdK
  325     UINT64_C(10240),	// ORRdRr
  326     UINT64_C(47104),	// OUTARr
  327     UINT64_C(36879),	// POPRd
  328     UINT64_C(37391),	// PUSHRr
  329     UINT64_C(53248),	// RCALLk
  330     UINT64_C(38152),	// RET
  331     UINT64_C(38168),	// RETI
  332     UINT64_C(49152),	// RJMPk
  333     UINT64_C(37895),	// RORRd
  334     UINT64_C(16384),	// SBCIRdK
  335     UINT64_C(2048),	// SBCRdRr
  336     UINT64_C(39424),	// SBIAb
  337     UINT64_C(39168),	// SBICAb
  338     UINT64_C(39680),	// SBISAb
  339     UINT64_C(38656),	// SBIWRdK
  340     UINT64_C(64512),	// SBRCRrB
  341     UINT64_C(65024),	// SBRSRrB
  342     UINT64_C(38280),	// SLEEP
  343     UINT64_C(38376),	// SPM
  344     UINT64_C(38392),	// SPMZPi
  345     UINT64_C(33280),	// STDPtrQRr
  346     UINT64_C(33282),	// STPtrPdRr
  347     UINT64_C(33281),	// STPtrPiRr
  348     UINT64_C(33280),	// STPtrRr
  349     UINT64_C(2449473536),	// STSKRr
  350     UINT64_C(20480),	// SUBIRdK
  351     UINT64_C(6144),	// SUBRdRr
  352     UINT64_C(37890),	// SWAPRd
  353     UINT64_C(38312),	// WDR
  354     UINT64_C(37380),	// XCHZRd
  355     UINT64_C(0)
  384       op &= UINT64_C(31);
  389       op &= UINT64_C(7);
  396       Value |= (op & UINT64_C(48)) << 5;
  397       Value |= (op & UINT64_C(15));
  400       op &= UINT64_C(31);
  408       op &= UINT64_C(30);
  413       op &= UINT64_C(30);
  430       op &= UINT64_C(31);
  438       op &= UINT64_C(31);
  443       Value |= (op & UINT64_C(48)) << 5;
  444       Value |= (op & UINT64_C(15));
  451       op &= UINT64_C(6);
  456       Value |= (op & UINT64_C(48)) << 2;
  457       Value |= (op & UINT64_C(15));
  464       Value |= (op & UINT64_C(4063232)) << 3;
  465       Value |= (op & UINT64_C(131071));
  472       op &= UINT64_C(4095);
  486       op &= UINT64_C(127);
  495       op &= UINT64_C(127);
  500       op &= UINT64_C(7);
  507       op &= UINT64_C(15);
  515       Value |= (op & UINT64_C(32)) << 8;
  516       Value |= (op & UINT64_C(24)) << 7;
  517       Value |= (op & UINT64_C(64)) >> 3;
  518       Value |= (op & UINT64_C(7));
  521       op &= UINT64_C(31);
  529       Value |= (op & UINT64_C(32)) << 8;
  530       Value |= (op & UINT64_C(24)) << 7;
  531       Value |= (op & UINT64_C(64)) >> 3;
  532       Value |= (op & UINT64_C(7));
  535       op &= UINT64_C(31);
  543       op &= UINT64_C(3);
  548       op &= UINT64_C(31);
  557       op &= UINT64_C(3);
  562       op &= UINT64_C(31);
  572       op &= UINT64_C(3);
  577       op &= UINT64_C(31);
  587       op &= UINT64_C(3);
  592       op &= UINT64_C(31);
  602       op &= UINT64_C(15);
  607       Value |= (op & UINT64_C(240)) << 4;
  608       Value |= (op & UINT64_C(15));
  617       op &= UINT64_C(15);
  622       Value |= (op & UINT64_C(240)) << 4;
  623       Value |= (op & UINT64_C(15));
  630       op &= UINT64_C(15);
  635       op &= UINT64_C(15);
  642       op &= UINT64_C(31);
  647       op &= UINT64_C(65535);
  657       op &= UINT64_C(31);
  668       op &= UINT64_C(31);
  673       op &= UINT64_C(7);
  684       op &= UINT64_C(31);
  689       Value |= (op & UINT64_C(16)) << 5;
  690       Value |= (op & UINT64_C(15));
  702       op &= UINT64_C(31);
  707       Value |= (op & UINT64_C(16)) << 5;
  708       Value |= (op & UINT64_C(15));
  716       op &= UINT64_C(7);
  721       op &= UINT64_C(7);
  728       op &= UINT64_C(31);
  733       op &= UINT64_C(65535);
  743       op &= UINT64_C(31);
  752       op &= UINT64_C(7);
gen/lib/Target/BPF/BPFGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(504403158265495552),	// ADD_ri
  199     UINT64_C(288230376151711744),	// ADD_ri_32
  200     UINT64_C(1080863910568919040),	// ADD_rr
  201     UINT64_C(864691128455135232),	// ADD_rr_32
  202     UINT64_C(6269010681299730432),	// AND_ri
  203     UINT64_C(6052837899185946624),	// AND_ri_32
  204     UINT64_C(6845471433603153920),	// AND_rr
  205     UINT64_C(6629298651489370112),	// AND_rr_32
  206     UINT64_C(15852670688344145936),	// BE16
  207     UINT64_C(15852670688344145952),	// BE32
  208     UINT64_C(15852670688344145984),	// BE64
  209     UINT64_C(3963167672086036480),	// DIV_ri
  210     UINT64_C(3746994889972252672),	// DIV_ri_32
  211     UINT64_C(4539628424389459968),	// DIV_rr
  212     UINT64_C(4323455642275676160),	// DIV_rr_32
  213     UINT64_C(1738389456165011456),	// FI_ri
  214     UINT64_C(9583660007044415488),	// JAL
  215     UINT64_C(10160120759347838976),	// JALX
  216     UINT64_C(1513209474796486656),	// JEQ_ri
  217     UINT64_C(1585267068834414592),	// JEQ_ri_32
  218     UINT64_C(2089670227099910144),	// JEQ_rr
  219     UINT64_C(2161727821137838080),	// JEQ_rr_32
  220     UINT64_C(360287970189639680),	// JMP
  221     UINT64_C(6124895493223874560),	// JNE_ri
  222     UINT64_C(6196953087261802496),	// JNE_ri_32
  223     UINT64_C(6701356245527298048),	// JNE_rr
  224     UINT64_C(6773413839565225984),	// JNE_rr_32
  225     UINT64_C(8430738502437568512),	// JSGE_ri
  226     UINT64_C(8502796096475496448),	// JSGE_ri_32
  227     UINT64_C(9007199254740992000),	// JSGE_rr
  228     UINT64_C(9079256848778919936),	// JSGE_rr_32
  229     UINT64_C(7277816997830721536),	// JSGT_ri
  230     UINT64_C(7349874591868649472),	// JSGT_ri_32
  231     UINT64_C(7854277750134145024),	// JSGT_rr
  232     UINT64_C(7926335344172072960),	// JSGT_rr_32
  233     UINT64_C(15348267530078650368),	// JSLE_ri
  234     UINT64_C(15420325124116578304),	// JSLE_ri_32
  235     UINT64_C(15924728282382073856),	// JSLE_rr
  236     UINT64_C(15996785876420001792),	// JSLE_rr_32
  237     UINT64_C(14195346025471803392),	// JSLT_ri
  238     UINT64_C(14267403619509731328),	// JSLT_ri_32
  239     UINT64_C(14771806777775226880),	// JSLT_rr
  240     UINT64_C(14843864371813154816),	// JSLT_rr_32
  241     UINT64_C(3819052484010180608),	// JUGE_ri
  242     UINT64_C(3891110078048108544),	// JUGE_ri_32
  243     UINT64_C(4395513236313604096),	// JUGE_rr
  244     UINT64_C(4467570830351532032),	// JUGE_rr_32
  245     UINT64_C(2666130979403333632),	// JUGT_ri
  246     UINT64_C(2738188573441261568),	// JUGT_ri_32
  247     UINT64_C(3242591731706757120),	// JUGT_rr
  248     UINT64_C(3314649325744685056),	// JUGT_rr_32
  249     UINT64_C(13042424520864956416),	// JULE_ri
  250     UINT64_C(13114482114902884352),	// JULE_ri_32
  251     UINT64_C(13618885273168379904),	// JULE_rr
  252     UINT64_C(13690942867206307840),	// JULE_rr_32
  253     UINT64_C(11889503016258109440),	// JULT_ri
  254     UINT64_C(11961560610296037376),	// JULT_ri_32
  255     UINT64_C(12465963768561532928),	// JULT_rr
  256     UINT64_C(12538021362599460864),	// JULT_rr_32
  257     UINT64_C(8142508126285856768),	// LDB
  258     UINT64_C(8142508126285856768),	// LDB32
  259     UINT64_C(8718968878589280256),	// LDD
  260     UINT64_C(7566047373982433280),	// LDH
  261     UINT64_C(7566047373982433280),	// LDH32
  262     UINT64_C(6989586621679009792),	// LDW
  263     UINT64_C(6989586621679009792),	// LDW32
  264     UINT64_C(3458764513820540928),	// LD_ABS_B
  265     UINT64_C(2882303761517117440),	// LD_ABS_H
  266     UINT64_C(2305843009213693952),	// LD_ABS_W
  267     UINT64_C(5764607523034234880),	// LD_IND_B
  268     UINT64_C(5188146770730811392),	// LD_IND_H
  269     UINT64_C(4611686018427387904),	// LD_IND_W
  270     UINT64_C(1729382256910270464),	// LD_imm64
  271     UINT64_C(1729382256910270464),	// LD_pseudo
  272     UINT64_C(15276209936040722448),	// LE16
  273     UINT64_C(15276209936040722464),	// LE32
  274     UINT64_C(15276209936040722496),	// LE64
  275     UINT64_C(13546827679130451968),	// MOV_32_64
  276     UINT64_C(13186539708940812288),	// MOV_ri
  277     UINT64_C(12970366926827028480),	// MOV_ri_32
  278     UINT64_C(13763000461244235776),	// MOV_rr
  279     UINT64_C(13546827679130451968),	// MOV_rr_32
  280     UINT64_C(2810246167479189504),	// MUL_ri
  281     UINT64_C(2594073385365405696),	// MUL_ri_32
  282     UINT64_C(3386706919782612992),	// MUL_rr
  283     UINT64_C(3170534137668829184),	// MUL_rr_32
  284     UINT64_C(9511602413006487552),	// NEG_32
  285     UINT64_C(9727775195120271360),	// NEG_64
  286     UINT64_C(13763000461244235776),	// NOP
  287     UINT64_C(5116089176692883456),	// OR_ri
  288     UINT64_C(4899916394579099648),	// OR_ri_32
  289     UINT64_C(5692549928996306944),	// OR_rr
  290     UINT64_C(5476377146882523136),	// OR_rr_32
  291     UINT64_C(10736581511651262464),	// RET
  292     UINT64_C(7421932185906577408),	// SLL_ri
  293     UINT64_C(7205759403792793600),	// SLL_ri_32
  294     UINT64_C(7998392938210000896),	// SLL_rr
  295     UINT64_C(7782220156096217088),	// SLL_rr_32
  296     UINT64_C(14339461213547659264),	// SRA_ri
  297     UINT64_C(14123288431433875456),	// SRA_ri_32
  298     UINT64_C(14915921965851082752),	// SRA_rr
  299     UINT64_C(14699749183737298944),	// SRA_rr_32
  300     UINT64_C(8574853690513424384),	// SRL_ri
  301     UINT64_C(8358680908399640576),	// SRL_ri_32
  302     UINT64_C(9151314442816847872),	// SRL_rr
  303     UINT64_C(8935141660703064064),	// SRL_rr_32
  304     UINT64_C(8286623314361712640),	// STB
  305     UINT64_C(8286623314361712640),	// STB32
  306     UINT64_C(8863084066665136128),	// STD
  307     UINT64_C(7710162562058289152),	// STH
  308     UINT64_C(7710162562058289152),	// STH32
  309     UINT64_C(7133701809754865664),	// STW
  310     UINT64_C(7133701809754865664),	// STW32
  311     UINT64_C(1657324662872342528),	// SUB_ri
  312     UINT64_C(1441151880758558720),	// SUB_ri_32
  313     UINT64_C(2233785415175766016),	// SUB_rr
  314     UINT64_C(2017612633061982208),	// SUB_rr_32
  315     UINT64_C(15780613094306217984),	// XADDD
  316     UINT64_C(14051230837395947520),	// XADDW
  317     UINT64_C(14051230837395947520),	// XADDW32
  318     UINT64_C(12033618204333965312),	// XOR_ri
  319     UINT64_C(11817445422220181504),	// XOR_ri_32
  320     UINT64_C(12610078956637388800),	// XOR_rr
  321     UINT64_C(12393906174523604992),	// XOR_rr_32
  322     UINT64_C(0)
  338       op &= UINT64_C(4294967295);
  345       op &= UINT64_C(65535);
  360       op &= UINT64_C(15);
  387       op &= UINT64_C(15);
  392       op &= UINT64_C(65535);
  397       op &= UINT64_C(4294967295);
  410       op &= UINT64_C(15);
  415       Value |= (op & UINT64_C(983040)) << 36;
  416       Value |= (op & UINT64_C(65535)) << 32;
  424       op &= UINT64_C(15);
  429       op &= UINT64_C(4294967295);
  455       op &= UINT64_C(15);
  460       op &= UINT64_C(4294967295);
  467       op &= UINT64_C(15);
  472       op &= UINT64_C(4294967295);
  476       op &= UINT64_C(15);
  486       op &= UINT64_C(15);
  491       op &= UINT64_C(15);
  518       op &= UINT64_C(15);
  523       op &= UINT64_C(15);
  528       op &= UINT64_C(65535);
  555       op &= UINT64_C(15);
  560       op &= UINT64_C(15);
  570       op &= UINT64_C(15);
  575       op &= UINT64_C(1048575);
  585       op &= UINT64_C(4294967295);
  598       op &= UINT64_C(15);
  603       op &= UINT64_C(1048575);
  613       op &= UINT64_C(15);
gen/lib/Target/Hexagon/HexagonGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(0),
  261     UINT64_C(0),
  262     UINT64_C(0),
  263     UINT64_C(0),
  264     UINT64_C(0),
  265     UINT64_C(0),
  266     UINT64_C(0),
  267     UINT64_C(0),
  268     UINT64_C(0),
  269     UINT64_C(0),
  270     UINT64_C(0),
  271     UINT64_C(0),
  272     UINT64_C(0),
  273     UINT64_C(0),
  274     UINT64_C(0),
  275     UINT64_C(0),
  276     UINT64_C(0),
  277     UINT64_C(0),
  278     UINT64_C(0),
  279     UINT64_C(0),
  280     UINT64_C(0),
  281     UINT64_C(0),
  282     UINT64_C(0),
  283     UINT64_C(0),
  284     UINT64_C(0),
  285     UINT64_C(0),
  286     UINT64_C(0),
  287     UINT64_C(0),
  288     UINT64_C(0),
  289     UINT64_C(0),
  290     UINT64_C(0),
  291     UINT64_C(0),
  292     UINT64_C(0),
  293     UINT64_C(0),
  294     UINT64_C(0),
  295     UINT64_C(0),
  296     UINT64_C(0),
  297     UINT64_C(0),
  298     UINT64_C(0),
  299     UINT64_C(0),
  300     UINT64_C(0),
  301     UINT64_C(0),
  302     UINT64_C(0),
  303     UINT64_C(0),
  304     UINT64_C(0),
  305     UINT64_C(0),
  306     UINT64_C(0),
  307     UINT64_C(0),
  308     UINT64_C(0),
  309     UINT64_C(0),
  310     UINT64_C(0),
  311     UINT64_C(0),
  312     UINT64_C(0),
  313     UINT64_C(0),
  314     UINT64_C(0),
  315     UINT64_C(0),
  316     UINT64_C(0),
  317     UINT64_C(0),
  318     UINT64_C(0),
  319     UINT64_C(0),
  320     UINT64_C(0),
  321     UINT64_C(0),
  322     UINT64_C(0),
  323     UINT64_C(0),
  324     UINT64_C(0),
  325     UINT64_C(0),
  326     UINT64_C(0),
  327     UINT64_C(0),
  328     UINT64_C(0),
  329     UINT64_C(0),
  330     UINT64_C(0),
  331     UINT64_C(0),
  332     UINT64_C(0),
  333     UINT64_C(0),
  334     UINT64_C(0),
  335     UINT64_C(0),
  336     UINT64_C(0),
  337     UINT64_C(0),
  338     UINT64_C(0),
  339     UINT64_C(0),
  340     UINT64_C(0),
  341     UINT64_C(0),
  342     UINT64_C(0),
  343     UINT64_C(0),
  344     UINT64_C(0),
  345     UINT64_C(0),
  346     UINT64_C(0),
  347     UINT64_C(0),
  348     UINT64_C(0),
  349     UINT64_C(0),
  350     UINT64_C(0),
  351     UINT64_C(0),
  352     UINT64_C(0),
  353     UINT64_C(0),
  354     UINT64_C(0),
  355     UINT64_C(0),
  356     UINT64_C(0),
  357     UINT64_C(0),
  358     UINT64_C(0),
  359     UINT64_C(0),
  360     UINT64_C(0),
  361     UINT64_C(0),
  362     UINT64_C(0),
  363     UINT64_C(0),
  364     UINT64_C(0),
  365     UINT64_C(0),
  366     UINT64_C(0),
  367     UINT64_C(0),
  368     UINT64_C(0),
  369     UINT64_C(0),
  370     UINT64_C(0),
  371     UINT64_C(0),
  372     UINT64_C(0),
  373     UINT64_C(0),
  374     UINT64_C(0),
  375     UINT64_C(0),
  376     UINT64_C(0),
  377     UINT64_C(0),
  378     UINT64_C(0),
  379     UINT64_C(0),
  380     UINT64_C(0),
  381     UINT64_C(0),
  382     UINT64_C(0),
  383     UINT64_C(0),
  384     UINT64_C(0),
  385     UINT64_C(0),
  386     UINT64_C(0),
  387     UINT64_C(0),
  388     UINT64_C(0),
  389     UINT64_C(0),
  390     UINT64_C(0),
  391     UINT64_C(0),
  392     UINT64_C(0),
  393     UINT64_C(0),
  394     UINT64_C(0),
  395     UINT64_C(0),
  396     UINT64_C(0),
  397     UINT64_C(0),
  398     UINT64_C(0),
  399     UINT64_C(0),
  400     UINT64_C(0),
  401     UINT64_C(0),
  402     UINT64_C(0),
  403     UINT64_C(0),
  404     UINT64_C(0),
  405     UINT64_C(0),
  406     UINT64_C(0),
  407     UINT64_C(0),
  408     UINT64_C(0),
  409     UINT64_C(0),
  410     UINT64_C(0),
  411     UINT64_C(0),
  412     UINT64_C(0),
  413     UINT64_C(0),
  414     UINT64_C(0),
  415     UINT64_C(0),
  416     UINT64_C(0),
  417     UINT64_C(0),
  418     UINT64_C(0),
  419     UINT64_C(0),
  420     UINT64_C(0),
  421     UINT64_C(0),
  422     UINT64_C(0),
  423     UINT64_C(0),
  424     UINT64_C(0),
  425     UINT64_C(0),
  426     UINT64_C(0),
  427     UINT64_C(0),
  428     UINT64_C(0),
  429     UINT64_C(0),
  430     UINT64_C(0),
  431     UINT64_C(0),
  432     UINT64_C(0),
  433     UINT64_C(0),
  434     UINT64_C(0),
  435     UINT64_C(0),
  436     UINT64_C(0),
  437     UINT64_C(0),
  438     UINT64_C(0),
  439     UINT64_C(0),
  440     UINT64_C(0),
  441     UINT64_C(0),
  442     UINT64_C(0),
  443     UINT64_C(0),
  444     UINT64_C(0),
  445     UINT64_C(0),
  446     UINT64_C(0),
  447     UINT64_C(0),
  448     UINT64_C(0),
  449     UINT64_C(0),
  450     UINT64_C(0),
  451     UINT64_C(0),
  452     UINT64_C(0),
  453     UINT64_C(0),
  454     UINT64_C(0),
  455     UINT64_C(0),
  456     UINT64_C(0),
  457     UINT64_C(0),
  458     UINT64_C(0),
  459     UINT64_C(0),
  460     UINT64_C(0),
  461     UINT64_C(0),
  462     UINT64_C(0),
  463     UINT64_C(0),
  464     UINT64_C(0),
  465     UINT64_C(0),
  466     UINT64_C(0),
  467     UINT64_C(0),
  468     UINT64_C(0),
  469     UINT64_C(0),
  470     UINT64_C(0),
  471     UINT64_C(0),
  472     UINT64_C(0),
  473     UINT64_C(0),
  474     UINT64_C(0),
  475     UINT64_C(0),
  476     UINT64_C(0),
  477     UINT64_C(0),
  478     UINT64_C(0),
  479     UINT64_C(0),
  480     UINT64_C(0),
  481     UINT64_C(0),
  482     UINT64_C(0),
  483     UINT64_C(0),
  484     UINT64_C(0),
  485     UINT64_C(0),
  486     UINT64_C(0),
  487     UINT64_C(0),
  488     UINT64_C(0),
  489     UINT64_C(0),
  490     UINT64_C(0),
  491     UINT64_C(0),
  492     UINT64_C(0),
  493     UINT64_C(0),
  494     UINT64_C(0),
  495     UINT64_C(0),
  496     UINT64_C(0),
  497     UINT64_C(0),
  498     UINT64_C(0),
  499     UINT64_C(0),
  500     UINT64_C(0),
  501     UINT64_C(0),
  502     UINT64_C(0),
  503     UINT64_C(0),
  504     UINT64_C(0),
  505     UINT64_C(0),
  506     UINT64_C(0),
  507     UINT64_C(0),
  508     UINT64_C(0),
  509     UINT64_C(0),
  510     UINT64_C(0),
  511     UINT64_C(0),
  512     UINT64_C(0),
  513     UINT64_C(0),
  514     UINT64_C(0),
  515     UINT64_C(0),
  516     UINT64_C(0),
  517     UINT64_C(0),
  518     UINT64_C(0),
  519     UINT64_C(0),
  520     UINT64_C(0),
  521     UINT64_C(0),
  522     UINT64_C(0),
  523     UINT64_C(0),
  524     UINT64_C(0),
  525     UINT64_C(0),
  526     UINT64_C(0),
  527     UINT64_C(0),
  528     UINT64_C(0),
  529     UINT64_C(0),
  530     UINT64_C(0),
  531     UINT64_C(0),
  532     UINT64_C(0),
  533     UINT64_C(0),
  534     UINT64_C(0),
  535     UINT64_C(0),
  536     UINT64_C(0),
  537     UINT64_C(0),
  538     UINT64_C(0),
  539     UINT64_C(0),
  540     UINT64_C(0),
  541     UINT64_C(0),
  542     UINT64_C(0),
  543     UINT64_C(0),
  544     UINT64_C(0),
  545     UINT64_C(0),
  546     UINT64_C(0),
  547     UINT64_C(0),
  548     UINT64_C(0),
  549     UINT64_C(0),
  550     UINT64_C(0),
  551     UINT64_C(0),
  552     UINT64_C(0),
  553     UINT64_C(0),
  554     UINT64_C(0),
  555     UINT64_C(0),
  556     UINT64_C(0),
  557     UINT64_C(0),
  558     UINT64_C(0),
  559     UINT64_C(0),
  560     UINT64_C(0),
  561     UINT64_C(0),
  562     UINT64_C(0),
  563     UINT64_C(0),
  564     UINT64_C(0),
  565     UINT64_C(0),
  566     UINT64_C(0),
  567     UINT64_C(0),
  568     UINT64_C(0),
  569     UINT64_C(0),
  570     UINT64_C(0),
  571     UINT64_C(0),
  572     UINT64_C(0),
  573     UINT64_C(0),
  574     UINT64_C(0),
  575     UINT64_C(0),
  576     UINT64_C(0),
  577     UINT64_C(0),
  578     UINT64_C(0),
  579     UINT64_C(0),
  580     UINT64_C(0),
  581     UINT64_C(0),
  582     UINT64_C(0),
  583     UINT64_C(0),
  584     UINT64_C(0),
  585     UINT64_C(0),
  586     UINT64_C(0),
  587     UINT64_C(0),
  588     UINT64_C(0),
  589     UINT64_C(0),
  590     UINT64_C(0),
  591     UINT64_C(0),
  592     UINT64_C(0),
  593     UINT64_C(0),
  594     UINT64_C(0),
  595     UINT64_C(0),
  596     UINT64_C(0),
  597     UINT64_C(0),
  598     UINT64_C(0),
  599     UINT64_C(0),
  600     UINT64_C(0),
  601     UINT64_C(0),
  602     UINT64_C(0),
  603     UINT64_C(0),
  604     UINT64_C(0),
  605     UINT64_C(0),
  606     UINT64_C(0),
  607     UINT64_C(0),
  608     UINT64_C(0),
  609     UINT64_C(0),
  610     UINT64_C(0),
  611     UINT64_C(0),
  612     UINT64_C(0),
  613     UINT64_C(0),
  614     UINT64_C(0),
  615     UINT64_C(0),
  616     UINT64_C(0),
  617     UINT64_C(0),
  618     UINT64_C(0),
  619     UINT64_C(0),
  620     UINT64_C(0),
  621     UINT64_C(0),
  622     UINT64_C(0),
  623     UINT64_C(0),
  624     UINT64_C(0),
  625     UINT64_C(0),
  626     UINT64_C(0),
  627     UINT64_C(0),
  628     UINT64_C(0),
  629     UINT64_C(0),
  630     UINT64_C(0),
  631     UINT64_C(0),
  632     UINT64_C(0),
  633     UINT64_C(0),
  634     UINT64_C(0),
  635     UINT64_C(0),
  636     UINT64_C(0),
  637     UINT64_C(0),
  638     UINT64_C(0),
  639     UINT64_C(0),
  640     UINT64_C(0),
  641     UINT64_C(0),
  642     UINT64_C(0),
  643     UINT64_C(0),
  644     UINT64_C(0),
  645     UINT64_C(0),
  646     UINT64_C(0),
  647     UINT64_C(0),
  648     UINT64_C(0),
  649     UINT64_C(0),
  650     UINT64_C(0),
  651     UINT64_C(0),
  652     UINT64_C(0),
  653     UINT64_C(0),
  654     UINT64_C(0),
  655     UINT64_C(0),
  656     UINT64_C(0),
  657     UINT64_C(0),
  658     UINT64_C(0),
  659     UINT64_C(0),
  660     UINT64_C(0),
  661     UINT64_C(0),
  662     UINT64_C(0),
  663     UINT64_C(0),
  664     UINT64_C(0),
  665     UINT64_C(0),
  666     UINT64_C(0),
  667     UINT64_C(0),
  668     UINT64_C(0),
  669     UINT64_C(0),
  670     UINT64_C(0),
  671     UINT64_C(0),
  672     UINT64_C(0),
  673     UINT64_C(0),
  674     UINT64_C(0),
  675     UINT64_C(0),
  676     UINT64_C(0),
  677     UINT64_C(0),
  678     UINT64_C(0),
  679     UINT64_C(0),
  680     UINT64_C(0),
  681     UINT64_C(0),
  682     UINT64_C(0),
  683     UINT64_C(0),
  684     UINT64_C(0),
  685     UINT64_C(0),
  686     UINT64_C(0),
  687     UINT64_C(0),
  688     UINT64_C(0),
  689     UINT64_C(0),
  690     UINT64_C(0),
  691     UINT64_C(0),
  692     UINT64_C(0),
  693     UINT64_C(0),
  694     UINT64_C(0),
  695     UINT64_C(0),
  696     UINT64_C(0),
  697     UINT64_C(0),
  698     UINT64_C(0),
  699     UINT64_C(0),
  700     UINT64_C(0),
  701     UINT64_C(0),
  702     UINT64_C(0),
  703     UINT64_C(0),
  704     UINT64_C(0),
  705     UINT64_C(0),
  706     UINT64_C(0),
  707     UINT64_C(0),
  708     UINT64_C(0),
  709     UINT64_C(0),
  710     UINT64_C(0),
  711     UINT64_C(0),
  712     UINT64_C(0),
  713     UINT64_C(0),
  714     UINT64_C(0),
  715     UINT64_C(0),
  716     UINT64_C(0),
  717     UINT64_C(0),
  718     UINT64_C(0),
  719     UINT64_C(0),
  720     UINT64_C(0),
  721     UINT64_C(0),
  722     UINT64_C(0),
  723     UINT64_C(0),
  724     UINT64_C(0),
  725     UINT64_C(0),
  726     UINT64_C(0),
  727     UINT64_C(0),
  728     UINT64_C(0),
  729     UINT64_C(0),
  730     UINT64_C(0),
  731     UINT64_C(0),
  732     UINT64_C(0),
  733     UINT64_C(0),
  734     UINT64_C(0),
  735     UINT64_C(0),
  736     UINT64_C(0),
  737     UINT64_C(0),
  738     UINT64_C(0),
  739     UINT64_C(0),
  740     UINT64_C(0),
  741     UINT64_C(0),
  742     UINT64_C(0),
  743     UINT64_C(0),
  744     UINT64_C(0),
  745     UINT64_C(0),
  746     UINT64_C(0),
  747     UINT64_C(0),
  748     UINT64_C(0),
  749     UINT64_C(0),
  750     UINT64_C(2357198976),	// A2_abs
  751     UINT64_C(2155872448),	// A2_absp
  752     UINT64_C(2357199008),	// A2_abssat
  753     UINT64_C(4076863488),	// A2_add
  754     UINT64_C(3577741408),	// A2_addh_h16_hh
  755     UINT64_C(3577741376),	// A2_addh_h16_hl
  756     UINT64_C(3577741344),	// A2_addh_h16_lh
  757     UINT64_C(3577741312),	// A2_addh_h16_ll
  758     UINT64_C(3577741536),	// A2_addh_h16_sat_hh
  759     UINT64_C(3577741504),	// A2_addh_h16_sat_hl
  760     UINT64_C(3577741472),	// A2_addh_h16_sat_lh
  761     UINT64_C(3577741440),	// A2_addh_h16_sat_ll
  762     UINT64_C(3573547072),	// A2_addh_l16_hl
  763     UINT64_C(3573547008),	// A2_addh_l16_ll
  764     UINT64_C(3573547200),	// A2_addh_l16_sat_hl
  765     UINT64_C(3573547136),	// A2_addh_l16_sat_ll
  766     UINT64_C(2952790016),	// A2_addi
  767     UINT64_C(3539992800),	// A2_addp
  768     UINT64_C(3546284192),	// A2_addpsat
  769     UINT64_C(4131389440),	// A2_addsat
  770     UINT64_C(3546284256),	// A2_addsph
  771     UINT64_C(3546284224),	// A2_addspl
  772     UINT64_C(4043309056),	// A2_and
  773     UINT64_C(1979711488),	// A2_andir
  774     UINT64_C(3554672640),	// A2_andp
  775     UINT64_C(1879048192),	// A2_aslh
  776     UINT64_C(1881145344),	// A2_asrh
  777     UINT64_C(4085252096),	// A2_combine_hh
  778     UINT64_C(4087349248),	// A2_combine_hl
  779     UINT64_C(4089446400),	// A2_combine_lh
  780     UINT64_C(4091543552),	// A2_combine_ll
  781     UINT64_C(2080374784),	// A2_combineii
  782     UINT64_C(4110417920),	// A2_combinew
  783     UINT64_C(3586129920),	// A2_max
  784     UINT64_C(3552575616),	// A2_maxp
  785     UINT64_C(3586130048),	// A2_maxu
  786     UINT64_C(3552575648),	// A2_maxup
  787     UINT64_C(3584032768),	// A2_min
  788     UINT64_C(3550478528),	// A2_minp
  789     UINT64_C(3584032896),	// A2_minu
  790     UINT64_C(3550478560),	// A2_minup
  791     UINT64_C(2155872416),	// A2_negp
  792     UINT64_C(2357199040),	// A2_negsat
  793     UINT64_C(2130706432),	// A2_nop
  794     UINT64_C(2155872384),	// A2_notp
  795     UINT64_C(4045406208),	// A2_or
  796     UINT64_C(1988100096),	// A2_orir
  797     UINT64_C(3554672704),	// A2_orp
  798     UINT64_C(4211081344),	// A2_paddf
  799     UINT64_C(4211089536),	// A2_paddfnew
  800     UINT64_C(1954545664),	// A2_paddif
  801     UINT64_C(1954553856),	// A2_paddifnew
  802     UINT64_C(1946157056),	// A2_paddit
  803     UINT64_C(1946165248),	// A2_padditnew
  804     UINT64_C(4211081216),	// A2_paddt
  805     UINT64_C(4211089408),	// A2_paddtnew
  806     UINT64_C(4177526912),	// A2_pandf
  807     UINT64_C(4177535104),	// A2_pandfnew
  808     UINT64_C(4177526784),	// A2_pandt
  809     UINT64_C(4177534976),	// A2_pandtnew
  810     UINT64_C(4179624064),	// A2_porf
  811     UINT64_C(4179632256),	// A2_porfnew
  812     UINT64_C(4179623936),	// A2_port
  813     UINT64_C(4179632128),	// A2_portnew
  814     UINT64_C(4213178496),	// A2_psubf
  815     UINT64_C(4213186688),	// A2_psubfnew
  816     UINT64_C(4213178368),	// A2_psubt
  817     UINT64_C(4213186560),	// A2_psubtnew
  818     UINT64_C(4183818368),	// A2_pxorf
  819     UINT64_C(4183826560),	// A2_pxorfnew
  820     UINT64_C(4183818240),	// A2_pxort
  821     UINT64_C(4183826432),	// A2_pxortnew
  822     UINT64_C(2294284320),	// A2_roundsat
  823     UINT64_C(2294284288),	// A2_sat
  824     UINT64_C(2361393376),	// A2_satb
  825     UINT64_C(2361393280),	// A2_sath
  826     UINT64_C(2361393344),	// A2_satub
  827     UINT64_C(2361393312),	// A2_satuh
  828     UINT64_C(4078960640),	// A2_sub
  829     UINT64_C(3579838560),	// A2_subh_h16_hh
  830     UINT64_C(3579838528),	// A2_subh_h16_hl
  831     UINT64_C(3579838496),	// A2_subh_h16_lh
  832     UINT64_C(3579838464),	// A2_subh_h16_ll
  833     UINT64_C(3579838688),	// A2_subh_h16_sat_hh
  834     UINT64_C(3579838656),	// A2_subh_h16_sat_hl
  835     UINT64_C(3579838624),	// A2_subh_h16_sat_lh
  836     UINT64_C(3579838592),	// A2_subh_h16_sat_ll
  837     UINT64_C(3575644224),	// A2_subh_l16_hl
  838     UINT64_C(3575644160),	// A2_subh_l16_ll
  839     UINT64_C(3575644352),	// A2_subh_l16_sat_hl
  840     UINT64_C(3575644288),	// A2_subh_l16_sat_ll
  841     UINT64_C(3542089952),	// A2_subp
  842     UINT64_C(1983905792),	// A2_subri
  843     UINT64_C(4139778048),	// A2_subsat
  844     UINT64_C(4127195136),	// A2_svaddh
  845     UINT64_C(4129292288),	// A2_svaddhs
  846     UINT64_C(4133486592),	// A2_svadduhs
  847     UINT64_C(4143972352),	// A2_svavgh
  848     UINT64_C(4146069504),	// A2_svavghs
  849     UINT64_C(4150263808),	// A2_svnavgh
  850     UINT64_C(4135583744),	// A2_svsubh
  851     UINT64_C(4137680896),	// A2_svsubhs
  852     UINT64_C(4141875200),	// A2_svsubuhs
  853     UINT64_C(2357199072),	// A2_swiz
  854     UINT64_C(1889533952),	// A2_sxtb
  855     UINT64_C(1893728256),	// A2_sxth
  856     UINT64_C(2218786816),	// A2_sxtw
  857     UINT64_C(1885339648),	// A2_tfr
  858     UINT64_C(1778384896),	// A2_tfrcrr
  859     UINT64_C(1914699776),	// A2_tfrih
  860     UINT64_C(1897922560),	// A2_tfril
  861     UINT64_C(1646264320),	// A2_tfrrcr
  862     UINT64_C(2013265920),	// A2_tfrsi
  863     UINT64_C(2151678080),	// A2_vabsh
  864     UINT64_C(2151678112),	// A2_vabshsat
  865     UINT64_C(2151678144),	// A2_vabsw
  866     UINT64_C(2151678176),	// A2_vabswsat
  867     UINT64_C(3539992640),	// A2_vaddh
  868     UINT64_C(3539992672),	// A2_vaddhs
  869     UINT64_C(3539992576),	// A2_vaddub
  870     UINT64_C(3539992608),	// A2_vaddubs
  871     UINT64_C(3539992704),	// A2_vadduhs
  872     UINT64_C(3539992736),	// A2_vaddw
  873     UINT64_C(3539992768),	// A2_vaddws
  874     UINT64_C(3544186944),	// A2_vavgh
  875     UINT64_C(3544187008),	// A2_vavghcr
  876     UINT64_C(3544186976),	// A2_vavghr
  877     UINT64_C(3544186880),	// A2_vavgub
  878     UINT64_C(3544186912),	// A2_vavgubr
  879     UINT64_C(3544187040),	// A2_vavguh
  880     UINT64_C(3544187072),	// A2_vavguhr
  881     UINT64_C(3546284128),	// A2_vavguw
  882     UINT64_C(3546284160),	// A2_vavguwr
  883     UINT64_C(3546284032),	// A2_vavgw
  884     UINT64_C(3546284096),	// A2_vavgwcr
  885     UINT64_C(3546284064),	// A2_vavgwr
  886     UINT64_C(3523215552),	// A2_vcmpbeq
  887     UINT64_C(3523215584),	// A2_vcmpbgtu
  888     UINT64_C(3523215456),	// A2_vcmpheq
  889     UINT64_C(3523215488),	// A2_vcmphgt
  890     UINT64_C(3523215520),	// A2_vcmphgtu
  891     UINT64_C(3523215360),	// A2_vcmpweq
  892     UINT64_C(3523215392),	// A2_vcmpwgt
  893     UINT64_C(3523215424),	// A2_vcmpwgtu
  894     UINT64_C(2155872480),	// A2_vconj
  895     UINT64_C(3552575680),	// A2_vmaxb
  896     UINT64_C(3552575520),	// A2_vmaxh
  897     UINT64_C(3552575488),	// A2_vmaxub
  898     UINT64_C(3552575552),	// A2_vmaxuh
  899     UINT64_C(3550478496),	// A2_vmaxuw
  900     UINT64_C(3552575584),	// A2_vmaxw
  901     UINT64_C(3552575712),	// A2_vminb
  902     UINT64_C(3550478368),	// A2_vminh
  903     UINT64_C(3550478336),	// A2_vminub
  904     UINT64_C(3550478400),	// A2_vminuh
  905     UINT64_C(3550478464),	// A2_vminuw
  906     UINT64_C(3550478432),	// A2_vminw
  907     UINT64_C(3548381184),	// A2_vnavgh
  908     UINT64_C(3548381248),	// A2_vnavghcr
  909     UINT64_C(3548381216),	// A2_vnavghr
  910     UINT64_C(3548381280),	// A2_vnavgw
  911     UINT64_C(3548381376),	// A2_vnavgwcr
  912     UINT64_C(3548381312),	// A2_vnavgwr
  913     UINT64_C(3896508448),	// A2_vraddub
  914     UINT64_C(3930062880),	// A2_vraddub_acc
  915     UINT64_C(3896508480),	// A2_vrsadub
  916     UINT64_C(3930062912),	// A2_vrsadub_acc
  917     UINT64_C(3542089792),	// A2_vsubh
  918     UINT64_C(3542089824),	// A2_vsubhs
  919     UINT64_C(3542089728),	// A2_vsubub
  920     UINT64_C(3542089760),	// A2_vsububs
  921     UINT64_C(3542089856),	// A2_vsubuhs
  922     UINT64_C(3542089888),	// A2_vsubw
  923     UINT64_C(3542089920),	// A2_vsubws
  924     UINT64_C(4049600512),	// A2_xor
  925     UINT64_C(3554672768),	// A2_xorp
  926     UINT64_C(1891631104),	// A2_zxth
  927     UINT64_C(3267362816),	// A4_addp_c
  928     UINT64_C(4051697664),	// A4_andn
  929     UINT64_C(3554672672),	// A4_andnp
  930     UINT64_C(3558866944),	// A4_bitsplit
  931     UINT64_C(2294284416),	// A4_bitspliti
  932     UINT64_C(3523223712),	// A4_boundscheck_hi
  933     UINT64_C(3523223680),	// A4_boundscheck_lo
  934     UINT64_C(3351249088),	// A4_cmpbeq
  935     UINT64_C(3707764736),	// A4_cmpbeqi
  936     UINT64_C(3351248960),	// A4_cmpbgt
  937     UINT64_C(3709861888),	// A4_cmpbgti
  938     UINT64_C(3351249120),	// A4_cmpbgtu
  939     UINT64_C(3711959040),	// A4_cmpbgtui
  940     UINT64_C(3351248992),	// A4_cmpheq
  941     UINT64_C(3707764744),	// A4_cmpheqi
  942     UINT64_C(3351249024),	// A4_cmphgt
  943     UINT64_C(3709861896),	// A4_cmphgti
  944     UINT64_C(3351249056),	// A4_cmphgtu
  945     UINT64_C(3711959048),	// A4_cmphgtui
  946     UINT64_C(2088763392),	// A4_combineii
  947     UINT64_C(1931485184),	// A4_combineir
  948     UINT64_C(1929388032),	// A4_combineri
  949     UINT64_C(2363490304),	// A4_cround_ri
  950     UINT64_C(3334471680),	// A4_cround_rr
  951     UINT64_C(0),	// A4_ext
  952     UINT64_C(3554672864),	// A4_modwrapu
  953     UINT64_C(4053794816),	// A4_orn
  954     UINT64_C(3554672736),	// A4_ornp
  955     UINT64_C(1879058432),	// A4_paslhf
  956     UINT64_C(1879059456),	// A4_paslhfnew
  957     UINT64_C(1879056384),	// A4_paslht
  958     UINT64_C(1879057408),	// A4_paslhtnew
  959     UINT64_C(1881155584),	// A4_pasrhf
  960     UINT64_C(1881156608),	// A4_pasrhfnew
  961     UINT64_C(1881153536),	// A4_pasrht
  962     UINT64_C(1881154560),	// A4_pasrhtnew
  963     UINT64_C(1889544192),	// A4_psxtbf
  964     UINT64_C(1889545216),	// A4_psxtbfnew
  965     UINT64_C(1889542144),	// A4_psxtbt
  966     UINT64_C(1889543168),	// A4_psxtbtnew
  967     UINT64_C(1893738496),	// A4_psxthf
  968     UINT64_C(1893739520),	// A4_psxthfnew
  969     UINT64_C(1893736448),	// A4_psxtht
  970     UINT64_C(1893737472),	// A4_psxthtnew
  971     UINT64_C(1887447040),	// A4_pzxtbf
  972     UINT64_C(1887448064),	// A4_pzxtbfnew
  973     UINT64_C(1887444992),	// A4_pzxtbt
  974     UINT64_C(1887446016),	// A4_pzxtbtnew
  975     UINT64_C(1891641344),	// A4_pzxthf
  976     UINT64_C(1891642368),	// A4_pzxthfnew
  977     UINT64_C(1891639296),	// A4_pzxtht
  978     UINT64_C(1891640320),	// A4_pzxthtnew
  979     UINT64_C(4081057792),	// A4_rcmpeq
  980     UINT64_C(1933582336),	// A4_rcmpeqi
  981     UINT64_C(4083154944),	// A4_rcmpneq
  982     UINT64_C(1935679488),	// A4_rcmpneqi
  983     UINT64_C(2363490432),	// A4_round_ri
  984     UINT64_C(2363490496),	// A4_round_ri_sat
  985     UINT64_C(3334471808),	// A4_round_rr
  986     UINT64_C(3334471872),	// A4_round_rr_sat
  987     UINT64_C(3269459968),	// A4_subp_c
  988     UINT64_C(1744830464),	// A4_tfrcpp
  989     UINT64_C(1663041536),	// A4_tfrpcp
  990     UINT64_C(3523223648),	// A4_tlbmatch
  991     UINT64_C(3523223552),	// A4_vcmpbeq_any
  992     UINT64_C(3690987520),	// A4_vcmpbeqi
  993     UINT64_C(3523223616),	// A4_vcmpbgt
  994     UINT64_C(3693084672),	// A4_vcmpbgti
  995     UINT64_C(3695181824),	// A4_vcmpbgtui
  996     UINT64_C(3690987528),	// A4_vcmpheqi
  997     UINT64_C(3693084680),	// A4_vcmphgti
  998     UINT64_C(3695181832),	// A4_vcmphgtui
  999     UINT64_C(3690987536),	// A4_vcmpweqi
 1000     UINT64_C(3693084688),	// A4_vcmpwgti
 1001     UINT64_C(3695181840),	// A4_vcmpwgtui
 1002     UINT64_C(3407872032),	// A4_vrmaxh
 1003     UINT64_C(3407880224),	// A4_vrmaxuh
 1004     UINT64_C(3407880256),	// A4_vrmaxuw
 1005     UINT64_C(3407872064),	// A4_vrmaxw
 1006     UINT64_C(3407872160),	// A4_vrminh
 1007     UINT64_C(3407880352),	// A4_vrminuh
 1008     UINT64_C(3407880384),	// A4_vrminuw
 1009     UINT64_C(3407872192),	// A4_vrminw
 1010     UINT64_C(3936354304),	// A5_ACS
 1011     UINT64_C(3242197024),	// A5_vaddhubs
 1012     UINT64_C(3523223584),	// A6_vcmpbeq_notany
 1013     UINT64_C(3940548608),	// A6_vminub_RdP
 1014     UINT64_C(1805647872),	// C2_all8
 1015     UINT64_C(1795162112),	// C2_and
 1016     UINT64_C(1801453568),	// C2_andn
 1017     UINT64_C(1803550720),	// C2_any8
 1018     UINT64_C(3347054592),	// C2_bitsclr
 1019     UINT64_C(2239758336),	// C2_bitsclri
 1020     UINT64_C(3342860288),	// C2_bitsset
 1021     UINT64_C(4244635776),	// C2_ccombinewf
 1022     UINT64_C(4244643968),	// C2_ccombinewnewf
 1023     UINT64_C(4244643840),	// C2_ccombinewnewt
 1024     UINT64_C(4244635648),	// C2_ccombinewt
 1025     UINT64_C(2122317824),	// C2_cmoveif
 1026     UINT64_C(2113929216),	// C2_cmoveit
 1027     UINT64_C(2122326016),	// C2_cmovenewif
 1028     UINT64_C(2113937408),	// C2_cmovenewit
 1029     UINT64_C(4060086272),	// C2_cmpeq
 1030     UINT64_C(1962934272),	// C2_cmpeqi
 1031     UINT64_C(3531603968),	// C2_cmpeqp
 1032     UINT64_C(4064280576),	// C2_cmpgt
 1033     UINT64_C(1967128576),	// C2_cmpgti
 1034     UINT64_C(3531604032),	// C2_cmpgtp
 1035     UINT64_C(4066377728),	// C2_cmpgtu
 1036     UINT64_C(1971322880),	// C2_cmpgtui
 1037     UINT64_C(3531604096),	// C2_cmpgtup
 1038     UINT64_C(2248146944),	// C2_mask
 1039     UINT64_C(4093640704),	// C2_mux
 1040     UINT64_C(2046820352),	// C2_muxii
 1041     UINT64_C(1929379840),	// C2_muxir
 1042     UINT64_C(1937768448),	// C2_muxri
 1043     UINT64_C(1807745024),	// C2_not
 1044     UINT64_C(1797259264),	// C2_or
 1045     UINT64_C(1809842176),	// C2_orn
 1046     UINT64_C(2302672896),	// C2_tfrpr
 1047     UINT64_C(2235564032),	// C2_tfrrp
 1048     UINT64_C(2298478592),	// C2_vitpack
 1049     UINT64_C(3506438144),	// C2_vmux
 1050     UINT64_C(1799356416),	// C2_xor
 1051     UINT64_C(1783169024),	// C4_addipc
 1052     UINT64_C(1796210688),	// C4_and_and
 1053     UINT64_C(1804599296),	// C4_and_andn
 1054     UINT64_C(1798307840),	// C4_and_or
 1055     UINT64_C(1806696448),	// C4_and_orn
 1056     UINT64_C(4064280592),	// C4_cmplte
 1057     UINT64_C(1967128592),	// C4_cmpltei
 1058     UINT64_C(4066377744),	// C4_cmplteu
 1059     UINT64_C(1971322896),	// C4_cmplteui
 1060     UINT64_C(4060086288),	// C4_cmpneq
 1061     UINT64_C(1962934288),	// C4_cmpneqi
 1062     UINT64_C(1795170448),	// C4_fastcorner9
 1063     UINT64_C(1796219024),	// C4_fastcorner9_not
 1064     UINT64_C(3349151744),	// C4_nbitsclr
 1065     UINT64_C(2241855488),	// C4_nbitsclri
 1066     UINT64_C(3344957440),	// C4_nbitsset
 1067     UINT64_C(1800404992),	// C4_or_and
 1068     UINT64_C(1808793600),	// C4_or_andn
 1069     UINT64_C(1802502144),	// C4_or_or
 1070     UINT64_C(1810890752),	// C4_or_orn
 1071     UINT64_C(1509949440),	// CALLProfile
 1072     UINT64_C(0),	// CONST32
 1073     UINT64_C(0),	// CONST64
 1074     UINT64_C(0),	// DuplexIClass0
 1075     UINT64_C(8192),	// DuplexIClass1
 1076     UINT64_C(536870912),	// DuplexIClass2
 1077     UINT64_C(536879104),	// DuplexIClass3
 1078     UINT64_C(1073741824),	// DuplexIClass4
 1079     UINT64_C(1073750016),	// DuplexIClass5
 1080     UINT64_C(1610612736),	// DuplexIClass6
 1081     UINT64_C(1610620928),	// DuplexIClass7
 1082     UINT64_C(2147483648),	// DuplexIClass8
 1083     UINT64_C(2147491840),	// DuplexIClass9
 1084     UINT64_C(2684354560),	// DuplexIClassA
 1085     UINT64_C(2684362752),	// DuplexIClassB
 1086     UINT64_C(3221225472),	// DuplexIClassC
 1087     UINT64_C(3221233664),	// DuplexIClassD
 1088     UINT64_C(3758096384),	// DuplexIClassE
 1089     UINT64_C(3758104576),	// DuplexIClassF
 1090     UINT64_C(1384120320),	// EH_RETURN_JMPR
 1091     UINT64_C(2162163808),	// F2_conv_d2df
 1092     UINT64_C(2285895712),	// F2_conv_d2sf
 1093     UINT64_C(2162163712),	// F2_conv_df2d
 1094     UINT64_C(2162163904),	// F2_conv_df2d_chop
 1095     UINT64_C(2281701408),	// F2_conv_df2sf
 1096     UINT64_C(2162163744),	// F2_conv_df2ud
 1097     UINT64_C(2162163936),	// F2_conv_df2ud_chop
 1098     UINT64_C(2287992864),	// F2_conv_df2uw
 1099     UINT64_C(2292187168),	// F2_conv_df2uw_chop
 1100     UINT64_C(2290090016),	// F2_conv_df2w
 1101     UINT64_C(2296381472),	// F2_conv_df2w_chop
 1102     UINT64_C(2222981248),	// F2_conv_sf2d
 1103     UINT64_C(2222981312),	// F2_conv_sf2d_chop
 1104     UINT64_C(2222981120),	// F2_conv_sf2df
 1105     UINT64_C(2222981216),	// F2_conv_sf2ud
 1106     UINT64_C(2222981280),	// F2_conv_sf2ud_chop
 1107     UINT64_C(2338324480),	// F2_conv_sf2uw
 1108     UINT64_C(2338324512),	// F2_conv_sf2uw_chop
 1109     UINT64_C(2340421632),	// F2_conv_sf2w
 1110     UINT64_C(2340421664),	// F2_conv_sf2w_chop
 1111     UINT64_C(2162163776),	// F2_conv_ud2df
 1112     UINT64_C(2283798560),	// F2_conv_ud2sf
 1113     UINT64_C(2222981152),	// F2_conv_uw2df
 1114     UINT64_C(2334130176),	// F2_conv_uw2sf
 1115     UINT64_C(2222981184),	// F2_conv_w2df
 1116     UINT64_C(2336227328),	// F2_conv_w2sf
 1117     UINT64_C(3892314208),	// F2_dfadd
 1118     UINT64_C(3699376144),	// F2_dfclass
 1119     UINT64_C(3537895424),	// F2_dfcmpeq
 1120     UINT64_C(3537895488),	// F2_dfcmpge
 1121     UINT64_C(3537895456),	// F2_dfcmpgt
 1122     UINT64_C(3537895520),	// F2_dfcmpuo
 1123     UINT64_C(3644850176),	// F2_dfimm_n
 1124     UINT64_C(3640655872),	// F2_dfimm_p
 1125     UINT64_C(3900702816),	// F2_dfsub
 1126     UINT64_C(3942645760),	// F2_sfadd
 1127     UINT64_C(2246049792),	// F2_sfclass
 1128     UINT64_C(3353346144),	// F2_sfcmpeq
 1129     UINT64_C(3353346048),	// F2_sfcmpge
 1130     UINT64_C(3353346176),	// F2_sfcmpgt
 1131     UINT64_C(3353346080),	// F2_sfcmpuo
 1132     UINT64_C(3955228704),	// F2_sffixupd
 1133     UINT64_C(3955228672),	// F2_sffixupn
 1134     UINT64_C(2342518784),	// F2_sffixupr
 1135     UINT64_C(4009754752),	// F2_sffma
 1136     UINT64_C(4009754816),	// F2_sffma_lib
 1137     UINT64_C(4016046208),	// F2_sffma_sc
 1138     UINT64_C(4009754784),	// F2_sffms
 1139     UINT64_C(4009754848),	// F2_sffms_lib
 1140     UINT64_C(3594518528),	// F2_sfimm_n
 1141     UINT64_C(3590324224),	// F2_sfimm_p
 1142     UINT64_C(2346713088),	// F2_sfinvsqrta
 1143     UINT64_C(3951034368),	// F2_sfmax
 1144     UINT64_C(3951034400),	// F2_sfmin
 1145     UINT64_C(3946840064),	// F2_sfmpy
 1146     UINT64_C(3957325952),	// F2_sfrecipa
 1147     UINT64_C(3942645792),	// F2_sfsub
 1148     UINT64_C(1746927616),	// G4_tfrgcpp
 1149     UINT64_C(1780482048),	// G4_tfrgcrr
 1150     UINT64_C(1660944384),	// G4_tfrgpcp
 1151     UINT64_C(1644167168),	// G4_tfrgrcr
 1152     UINT64_C(35651584),	// HI
 1153     UINT64_C(1509949440),	// J2_call
 1154     UINT64_C(1562378240),	// J2_callf
 1155     UINT64_C(1352663040),	// J2_callr
 1156     UINT64_C(1361051648),	// J2_callrf
 1157     UINT64_C(1358954496),	// J2_callrt
 1158     UINT64_C(1560281088),	// J2_callt
 1159     UINT64_C(1476395008),	// J2_jump
 1160     UINT64_C(1545601024),	// J2_jumpf
 1161     UINT64_C(1545603072),	// J2_jumpfnew
 1162     UINT64_C(1545607168),	// J2_jumpfnewpt
 1163     UINT64_C(1545605120),	// J2_jumpfpt
 1164     UINT64_C(1384120320),	// J2_jumpr
 1165     UINT64_C(1398800384),	// J2_jumprf
 1166     UINT64_C(1398802432),	// J2_jumprfnew
 1167     UINT64_C(1398806528),	// J2_jumprfnewpt
 1168     UINT64_C(1398804480),	// J2_jumprfpt
 1169     UINT64_C(1631584256),	// J2_jumprgtez
 1170     UINT64_C(1631588352),	// J2_jumprgtezpt
 1171     UINT64_C(1639972864),	// J2_jumprltez
 1172     UINT64_C(1639976960),	// J2_jumprltezpt
 1173     UINT64_C(1635778560),	// J2_jumprnz
 1174     UINT64_C(1635782656),	// J2_jumprnzpt
 1175     UINT64_C(1396703232),	// J2_jumprt
 1176     UINT64_C(1396705280),	// J2_jumprtnew
 1177     UINT64_C(1396709376),	// J2_jumprtnewpt
 1178     UINT64_C(1396707328),	// J2_jumprtpt
 1179     UINT64_C(1627389952),	// J2_jumprz
 1180     UINT64_C(1627394048),	// J2_jumprzpt
 1181     UINT64_C(1543503872),	// J2_jumpt
 1182     UINT64_C(1543505920),	// J2_jumptnew
 1183     UINT64_C(1543510016),	// J2_jumptnewpt
 1184     UINT64_C(1543507968),	// J2_jumptpt
 1185     UINT64_C(1761607680),	// J2_loop0i
 1186     UINT64_C(1761607680),	// J2_loop0iext
 1187     UINT64_C(1610612736),	// J2_loop0r
 1188     UINT64_C(1610612736),	// J2_loop0rext
 1189     UINT64_C(1763704832),	// J2_loop1i
 1190     UINT64_C(1763704832),	// J2_loop1iext
 1191     UINT64_C(1612709888),	// J2_loop1r
 1192     UINT64_C(1612709888),	// J2_loop1rext
 1193     UINT64_C(1413480448),	// J2_pause
 1194     UINT64_C(1772093440),	// J2_ploop1si
 1195     UINT64_C(1621098496),	// J2_ploop1sr
 1196     UINT64_C(1774190592),	// J2_ploop2si
 1197     UINT64_C(1623195648),	// J2_ploop2sr
 1198     UINT64_C(1776287744),	// J2_ploop3si
 1199     UINT64_C(1625292800),	// J2_ploop3sr
 1200     UINT64_C(1409286144),	// J2_trap0
 1201     UINT64_C(1417674752),	// J2_trap1
 1202     UINT64_C(541065216),	// J4_cmpeq_f_jumpnv_nt
 1203     UINT64_C(541073408),	// J4_cmpeq_f_jumpnv_t
 1204     UINT64_C(339738624),	// J4_cmpeq_fp0_jump_nt
 1205     UINT64_C(339746816),	// J4_cmpeq_fp0_jump_t
 1206     UINT64_C(339742720),	// J4_cmpeq_fp1_jump_nt
 1207     UINT64_C(339750912),	// J4_cmpeq_fp1_jump_t
 1208     UINT64_C(536870912),	// J4_cmpeq_t_jumpnv_nt
 1209     UINT64_C(536879104),	// J4_cmpeq_t_jumpnv_t
 1210     UINT64_C(335544320),	// J4_cmpeq_tp0_jump_nt
 1211     UINT64_C(335552512),	// J4_cmpeq_tp0_jump_t
 1212     UINT64_C(335548416),	// J4_cmpeq_tp1_jump_nt
 1213     UINT64_C(335556608),	// J4_cmpeq_tp1_jump_t
 1214     UINT64_C(608174080),	// J4_cmpeqi_f_jumpnv_nt
 1215     UINT64_C(608182272),	// J4_cmpeqi_f_jumpnv_t
 1216     UINT64_C(272629760),	// J4_cmpeqi_fp0_jump_nt
 1217     UINT64_C(272637952),	// J4_cmpeqi_fp0_jump_t
 1218     UINT64_C(306184192),	// J4_cmpeqi_fp1_jump_nt
 1219     UINT64_C(306192384),	// J4_cmpeqi_fp1_jump_t
 1220     UINT64_C(603979776),	// J4_cmpeqi_t_jumpnv_nt
 1221     UINT64_C(603987968),	// J4_cmpeqi_t_jumpnv_t
 1222     UINT64_C(268435456),	// J4_cmpeqi_tp0_jump_nt
 1223     UINT64_C(268443648),	// J4_cmpeqi_tp0_jump_t
 1224     UINT64_C(301989888),	// J4_cmpeqi_tp1_jump_nt
 1225     UINT64_C(301998080),	// J4_cmpeqi_tp1_jump_t
 1226     UINT64_C(641728512),	// J4_cmpeqn1_f_jumpnv_nt
 1227     UINT64_C(641736704),	// J4_cmpeqn1_f_jumpnv_t
 1228     UINT64_C(297795584),	// J4_cmpeqn1_fp0_jump_nt
 1229     UINT64_C(297803776),	// J4_cmpeqn1_fp0_jump_t
 1230     UINT64_C(331350016),	// J4_cmpeqn1_fp1_jump_nt
 1231     UINT64_C(331358208),	// J4_cmpeqn1_fp1_jump_t
 1232     UINT64_C(637534208),	// J4_cmpeqn1_t_jumpnv_nt
 1233     UINT64_C(637542400),	// J4_cmpeqn1_t_jumpnv_t
 1234     UINT64_C(293601280),	// J4_cmpeqn1_tp0_jump_nt
 1235     UINT64_C(293609472),	// J4_cmpeqn1_tp0_jump_t
 1236     UINT64_C(327155712),	// J4_cmpeqn1_tp1_jump_nt
 1237     UINT64_C(327163904),	// J4_cmpeqn1_tp1_jump_t
 1238     UINT64_C(549453824),	// J4_cmpgt_f_jumpnv_nt
 1239     UINT64_C(549462016),	// J4_cmpgt_f_jumpnv_t
 1240     UINT64_C(348127232),	// J4_cmpgt_fp0_jump_nt
 1241     UINT64_C(348135424),	// J4_cmpgt_fp0_jump_t
 1242     UINT64_C(348131328),	// J4_cmpgt_fp1_jump_nt
 1243     UINT64_C(348139520),	// J4_cmpgt_fp1_jump_t
 1244     UINT64_C(545259520),	// J4_cmpgt_t_jumpnv_nt
 1245     UINT64_C(545267712),	// J4_cmpgt_t_jumpnv_t
 1246     UINT64_C(343932928),	// J4_cmpgt_tp0_jump_nt
 1247     UINT64_C(343941120),	// J4_cmpgt_tp0_jump_t
 1248     UINT64_C(343937024),	// J4_cmpgt_tp1_jump_nt
 1249     UINT64_C(343945216),	// J4_cmpgt_tp1_jump_t
 1250     UINT64_C(616562688),	// J4_cmpgti_f_jumpnv_nt
 1251     UINT64_C(616570880),	// J4_cmpgti_f_jumpnv_t
 1252     UINT64_C(281018368),	// J4_cmpgti_fp0_jump_nt
 1253     UINT64_C(281026560),	// J4_cmpgti_fp0_jump_t
 1254     UINT64_C(314572800),	// J4_cmpgti_fp1_jump_nt
 1255     UINT64_C(314580992),	// J4_cmpgti_fp1_jump_t
 1256     UINT64_C(612368384),	// J4_cmpgti_t_jumpnv_nt
 1257     UINT64_C(612376576),	// J4_cmpgti_t_jumpnv_t
 1258     UINT64_C(276824064),	// J4_cmpgti_tp0_jump_nt
 1259     UINT64_C(276832256),	// J4_cmpgti_tp0_jump_t
 1260     UINT64_C(310378496),	// J4_cmpgti_tp1_jump_nt
 1261     UINT64_C(310386688),	// J4_cmpgti_tp1_jump_t
 1262     UINT64_C(650117120),	// J4_cmpgtn1_f_jumpnv_nt
 1263     UINT64_C(650125312),	// J4_cmpgtn1_f_jumpnv_t
 1264     UINT64_C(297795840),	// J4_cmpgtn1_fp0_jump_nt
 1265     UINT64_C(297804032),	// J4_cmpgtn1_fp0_jump_t
 1266     UINT64_C(331350272),	// J4_cmpgtn1_fp1_jump_nt
 1267     UINT64_C(331358464),	// J4_cmpgtn1_fp1_jump_t
 1268     UINT64_C(645922816),	// J4_cmpgtn1_t_jumpnv_nt
 1269     UINT64_C(645931008),	// J4_cmpgtn1_t_jumpnv_t
 1270     UINT64_C(293601536),	// J4_cmpgtn1_tp0_jump_nt
 1271     UINT64_C(293609728),	// J4_cmpgtn1_tp0_jump_t
 1272     UINT64_C(327155968),	// J4_cmpgtn1_tp1_jump_nt
 1273     UINT64_C(327164160),	// J4_cmpgtn1_tp1_jump_t
 1274     UINT64_C(557842432),	// J4_cmpgtu_f_jumpnv_nt
 1275     UINT64_C(557850624),	// J4_cmpgtu_f_jumpnv_t
 1276     UINT64_C(356515840),	// J4_cmpgtu_fp0_jump_nt
 1277     UINT64_C(356524032),	// J4_cmpgtu_fp0_jump_t
 1278     UINT64_C(356519936),	// J4_cmpgtu_fp1_jump_nt
 1279     UINT64_C(356528128),	// J4_cmpgtu_fp1_jump_t
 1280     UINT64_C(553648128),	// J4_cmpgtu_t_jumpnv_nt
 1281     UINT64_C(553656320),	// J4_cmpgtu_t_jumpnv_t
 1282     UINT64_C(352321536),	// J4_cmpgtu_tp0_jump_nt
 1283     UINT64_C(352329728),	// J4_cmpgtu_tp0_jump_t
 1284     UINT64_C(352325632),	// J4_cmpgtu_tp1_jump_nt
 1285     UINT64_C(352333824),	// J4_cmpgtu_tp1_jump_t
 1286     UINT64_C(624951296),	// J4_cmpgtui_f_jumpnv_nt
 1287     UINT64_C(624959488),	// J4_cmpgtui_f_jumpnv_t
 1288     UINT64_C(289406976),	// J4_cmpgtui_fp0_jump_nt
 1289     UINT64_C(289415168),	// J4_cmpgtui_fp0_jump_t
 1290     UINT64_C(322961408),	// J4_cmpgtui_fp1_jump_nt
 1291     UINT64_C(322969600),	// J4_cmpgtui_fp1_jump_t
 1292     UINT64_C(620756992),	// J4_cmpgtui_t_jumpnv_nt
 1293     UINT64_C(620765184),	// J4_cmpgtui_t_jumpnv_t
 1294     UINT64_C(285212672),	// J4_cmpgtui_tp0_jump_nt
 1295     UINT64_C(285220864),	// J4_cmpgtui_tp0_jump_t
 1296     UINT64_C(318767104),	// J4_cmpgtui_tp1_jump_nt
 1297     UINT64_C(318775296),	// J4_cmpgtui_tp1_jump_t
 1298     UINT64_C(566231040),	// J4_cmplt_f_jumpnv_nt
 1299     UINT64_C(566239232),	// J4_cmplt_f_jumpnv_t
 1300     UINT64_C(562036736),	// J4_cmplt_t_jumpnv_nt
 1301     UINT64_C(562044928),	// J4_cmplt_t_jumpnv_t
 1302     UINT64_C(574619648),	// J4_cmpltu_f_jumpnv_nt
 1303     UINT64_C(574627840),	// J4_cmpltu_f_jumpnv_t
 1304     UINT64_C(570425344),	// J4_cmpltu_t_jumpnv_nt
 1305     UINT64_C(570433536),	// J4_cmpltu_t_jumpnv_t
 1306     UINT64_C(1386217472),	// J4_hintjumpr
 1307     UINT64_C(369098752),	// J4_jumpseti
 1308     UINT64_C(385875968),	// J4_jumpsetr
 1309     UINT64_C(633339904),	// J4_tstbit0_f_jumpnv_nt
 1310     UINT64_C(633348096),	// J4_tstbit0_f_jumpnv_t
 1311     UINT64_C(297796352),	// J4_tstbit0_fp0_jump_nt
 1312     UINT64_C(297804544),	// J4_tstbit0_fp0_jump_t
 1313     UINT64_C(331350784),	// J4_tstbit0_fp1_jump_nt
 1314     UINT64_C(331358976),	// J4_tstbit0_fp1_jump_t
 1315     UINT64_C(629145600),	// J4_tstbit0_t_jumpnv_nt
 1316     UINT64_C(629153792),	// J4_tstbit0_t_jumpnv_t
 1317     UINT64_C(293602048),	// J4_tstbit0_tp0_jump_nt
 1318     UINT64_C(293610240),	// J4_tstbit0_tp0_jump_t
 1319     UINT64_C(327156480),	// J4_tstbit0_tp1_jump_nt
 1320     UINT64_C(327164672),	// J4_tstbit0_tp1_jump_t
 1321     UINT64_C(2415919104),	// L2_deallocframe
 1322     UINT64_C(2424307712),	// L2_loadalignb_io
 1323     UINT64_C(2659188736),	// L2_loadalignb_pbr
 1324     UINT64_C(2558525440),	// L2_loadalignb_pci
 1325     UINT64_C(2558525952),	// L2_loadalignb_pcr
 1326     UINT64_C(2592079872),	// L2_loadalignb_pi
 1327     UINT64_C(2625634304),	// L2_loadalignb_pr
 1328     UINT64_C(2420113408),	// L2_loadalignh_io
 1329     UINT64_C(2654994432),	// L2_loadalignh_pbr
 1330     UINT64_C(2554331136),	// L2_loadalignh_pci
 1331     UINT64_C(2554331648),	// L2_loadalignh_pcr
 1332     UINT64_C(2587885568),	// L2_loadalignh_pi
 1333     UINT64_C(2621440000),	// L2_loadalignh_pr
 1334     UINT64_C(2418016256),	// L2_loadbsw2_io
 1335     UINT64_C(2652897280),	// L2_loadbsw2_pbr
 1336     UINT64_C(2552233984),	// L2_loadbsw2_pci
 1337     UINT64_C(2552234496),	// L2_loadbsw2_pcr
 1338     UINT64_C(2585788416),	// L2_loadbsw2_pi
 1339     UINT64_C(2619342848),	// L2_loadbsw2_pr
 1340     UINT64_C(2430599168),	// L2_loadbsw4_io
 1341     UINT64_C(2665480192),	// L2_loadbsw4_pbr
 1342     UINT64_C(2564816896),	// L2_loadbsw4_pci
 1343     UINT64_C(2564817408),	// L2_loadbsw4_pcr
 1344     UINT64_C(2598371328),	// L2_loadbsw4_pi
 1345     UINT64_C(2631925760),	// L2_loadbsw4_pr
 1346     UINT64_C(2422210560),	// L2_loadbzw2_io
 1347     UINT64_C(2657091584),	// L2_loadbzw2_pbr
 1348     UINT64_C(2556428288),	// L2_loadbzw2_pci
 1349     UINT64_C(2556428800),	// L2_loadbzw2_pcr
 1350     UINT64_C(2589982720),	// L2_loadbzw2_pi
 1351     UINT64_C(2623537152),	// L2_loadbzw2_pr
 1352     UINT64_C(2426404864),	// L2_loadbzw4_io
 1353     UINT64_C(2661285888),	// L2_loadbzw4_pbr
 1354     UINT64_C(2560622592),	// L2_loadbzw4_pci
 1355     UINT64_C(2560623104),	// L2_loadbzw4_pcr
 1356     UINT64_C(2594177024),	// L2_loadbzw4_pi
 1357     UINT64_C(2627731456),	// L2_loadbzw4_pr
 1358     UINT64_C(2432696320),	// L2_loadrb_io
 1359     UINT64_C(2667577344),	// L2_loadrb_pbr
 1360     UINT64_C(2566914048),	// L2_loadrb_pci
 1361     UINT64_C(2566914560),	// L2_loadrb_pcr
 1362     UINT64_C(2600468480),	// L2_loadrb_pi
 1363     UINT64_C(2634022912),	// L2_loadrb_pr
 1364     UINT64_C(1224736768),	// L2_loadrbgp
 1365     UINT64_C(2445279232),	// L2_loadrd_io
 1366     UINT64_C(2680160256),	// L2_loadrd_pbr
 1367     UINT64_C(2579496960),	// L2_loadrd_pci
 1368     UINT64_C(2579497472),	// L2_loadrd_pcr
 1369     UINT64_C(2613051392),	// L2_loadrd_pi
 1370     UINT64_C(2646605824),	// L2_loadrd_pr
 1371     UINT64_C(1237319680),	// L2_loadrdgp
 1372     UINT64_C(2436890624),	// L2_loadrh_io
 1373     UINT64_C(2671771648),	// L2_loadrh_pbr
 1374     UINT64_C(2571108352),	// L2_loadrh_pci
 1375     UINT64_C(2571108864),	// L2_loadrh_pcr
 1376     UINT64_C(2604662784),	// L2_loadrh_pi
 1377     UINT64_C(2638217216),	// L2_loadrh_pr
 1378     UINT64_C(1228931072),	// L2_loadrhgp
 1379     UINT64_C(2441084928),	// L2_loadri_io
 1380     UINT64_C(2675965952),	// L2_loadri_pbr
 1381     UINT64_C(2575302656),	// L2_loadri_pci
 1382     UINT64_C(2575303168),	// L2_loadri_pcr
 1383     UINT64_C(2608857088),	// L2_loadri_pi
 1384     UINT64_C(2642411520),	// L2_loadri_pr
 1385     UINT64_C(1233125376),	// L2_loadrigp
 1386     UINT64_C(2434793472),	// L2_loadrub_io
 1387     UINT64_C(2669674496),	// L2_loadrub_pbr
 1388     UINT64_C(2569011200),	// L2_loadrub_pci
 1389     UINT64_C(2569011712),	// L2_loadrub_pcr
 1390     UINT64_C(2602565632),	// L2_loadrub_pi
 1391     UINT64_C(2636120064),	// L2_loadrub_pr
 1392     UINT64_C(1226833920),	// L2_loadrubgp
 1393     UINT64_C(2438987776),	// L2_loadruh_io
 1394     UINT64_C(2673868800),	// L2_loadruh_pbr
 1395     UINT64_C(2573205504),	// L2_loadruh_pci
 1396     UINT64_C(2573206016),	// L2_loadruh_pcr
 1397     UINT64_C(2606759936),	// L2_loadruh_pi
 1398     UINT64_C(2640314368),	// L2_loadruh_pr
 1399     UINT64_C(1231028224),	// L2_loadruhgp
 1400     UINT64_C(2449473536),	// L2_loadw_locked
 1401     UINT64_C(1157627904),	// L2_ploadrbf_io
 1402     UINT64_C(2600478720),	// L2_ploadrbf_pi
 1403     UINT64_C(1191182336),	// L2_ploadrbfnew_io
 1404     UINT64_C(2600482816),	// L2_ploadrbfnew_pi
 1405     UINT64_C(1090519040),	// L2_ploadrbt_io
 1406     UINT64_C(2600476672),	// L2_ploadrbt_pi
 1407     UINT64_C(1124073472),	// L2_ploadrbtnew_io
 1408     UINT64_C(2600480768),	// L2_ploadrbtnew_pi
 1409     UINT64_C(1170210816),	// L2_ploadrdf_io
 1410     UINT64_C(2613061632),	// L2_ploadrdf_pi
 1411     UINT64_C(1203765248),	// L2_ploadrdfnew_io
 1412     UINT64_C(2613065728),	// L2_ploadrdfnew_pi
 1413     UINT64_C(1103101952),	// L2_ploadrdt_io
 1414     UINT64_C(2613059584),	// L2_ploadrdt_pi
 1415     UINT64_C(1136656384),	// L2_ploadrdtnew_io
 1416     UINT64_C(2613063680),	// L2_ploadrdtnew_pi
 1417     UINT64_C(1161822208),	// L2_ploadrhf_io
 1418     UINT64_C(2604673024),	// L2_ploadrhf_pi
 1419     UINT64_C(1195376640),	// L2_ploadrhfnew_io
 1420     UINT64_C(2604677120),	// L2_ploadrhfnew_pi
 1421     UINT64_C(1094713344),	// L2_ploadrht_io
 1422     UINT64_C(2604670976),	// L2_ploadrht_pi
 1423     UINT64_C(1128267776),	// L2_ploadrhtnew_io
 1424     UINT64_C(2604675072),	// L2_ploadrhtnew_pi
 1425     UINT64_C(1166016512),	// L2_ploadrif_io
 1426     UINT64_C(2608867328),	// L2_ploadrif_pi
 1427     UINT64_C(1199570944),	// L2_ploadrifnew_io
 1428     UINT64_C(2608871424),	// L2_ploadrifnew_pi
 1429     UINT64_C(1098907648),	// L2_ploadrit_io
 1430     UINT64_C(2608865280),	// L2_ploadrit_pi
 1431     UINT64_C(1132462080),	// L2_ploadritnew_io
 1432     UINT64_C(2608869376),	// L2_ploadritnew_pi
 1433     UINT64_C(1159725056),	// L2_ploadrubf_io
 1434     UINT64_C(2602575872),	// L2_ploadrubf_pi
 1435     UINT64_C(1193279488),	// L2_ploadrubfnew_io
 1436     UINT64_C(2602579968),	// L2_ploadrubfnew_pi
 1437     UINT64_C(1092616192),	// L2_ploadrubt_io
 1438     UINT64_C(2602573824),	// L2_ploadrubt_pi
 1439     UINT64_C(1126170624),	// L2_ploadrubtnew_io
 1440     UINT64_C(2602577920),	// L2_ploadrubtnew_pi
 1441     UINT64_C(1163919360),	// L2_ploadruhf_io
 1442     UINT64_C(2606770176),	// L2_ploadruhf_pi
 1443     UINT64_C(1197473792),	// L2_ploadruhfnew_io
 1444     UINT64_C(2606774272),	// L2_ploadruhfnew_pi
 1445     UINT64_C(1096810496),	// L2_ploadruht_io
 1446     UINT64_C(2606768128),	// L2_ploadruht_pi
 1447     UINT64_C(1130364928),	// L2_ploadruhtnew_io
 1448     UINT64_C(2606772224),	// L2_ploadruhtnew_pi
 1449     UINT64_C(1040187392),	// L4_add_memopb_io
 1450     UINT64_C(1042284544),	// L4_add_memoph_io
 1451     UINT64_C(1044381696),	// L4_add_memopw_io
 1452     UINT64_C(1040187456),	// L4_and_memopb_io
 1453     UINT64_C(1042284608),	// L4_and_memoph_io
 1454     UINT64_C(1044381760),	// L4_and_memopw_io
 1455     UINT64_C(1056964608),	// L4_iadd_memopb_io
 1456     UINT64_C(1059061760),	// L4_iadd_memoph_io
 1457     UINT64_C(1061158912),	// L4_iadd_memopw_io
 1458     UINT64_C(1056964672),	// L4_iand_memopb_io
 1459     UINT64_C(1059061824),	// L4_iand_memoph_io
 1460     UINT64_C(1061158976),	// L4_iand_memopw_io
 1461     UINT64_C(1056964704),	// L4_ior_memopb_io
 1462     UINT64_C(1059061856),	// L4_ior_memoph_io
 1463     UINT64_C(1061159008),	// L4_ior_memopw_io
 1464     UINT64_C(1056964640),	// L4_isub_memopb_io
 1465     UINT64_C(1059061792),	// L4_isub_memoph_io
 1466     UINT64_C(1061158944),	// L4_isub_memopw_io
 1467     UINT64_C(2592083968),	// L4_loadalignb_ap
 1468     UINT64_C(2625638400),	// L4_loadalignb_ur
 1469     UINT64_C(2587889664),	// L4_loadalignh_ap
 1470     UINT64_C(2621444096),	// L4_loadalignh_ur
 1471     UINT64_C(2585792512),	// L4_loadbsw2_ap
 1472     UINT64_C(2619346944),	// L4_loadbsw2_ur
 1473     UINT64_C(2598375424),	// L4_loadbsw4_ap
 1474     UINT64_C(2631929856),	// L4_loadbsw4_ur
 1475     UINT64_C(2589986816),	// L4_loadbzw2_ap
 1476     UINT64_C(2623541248),	// L4_loadbzw2_ur
 1477     UINT64_C(2594181120),	// L4_loadbzw4_ap
 1478     UINT64_C(2627735552),	// L4_loadbzw4_ur
 1479     UINT64_C(2449477632),	// L4_loadd_locked
 1480     UINT64_C(2600472576),	// L4_loadrb_ap
 1481     UINT64_C(973078528),	// L4_loadrb_rr
 1482     UINT64_C(2634027008),	// L4_loadrb_ur
 1483     UINT64_C(2613055488),	// L4_loadrd_ap
 1484     UINT64_C(985661440),	// L4_loadrd_rr
 1485     UINT64_C(2646609920),	// L4_loadrd_ur
 1486     UINT64_C(2604666880),	// L4_loadrh_ap
 1487     UINT64_C(977272832),	// L4_loadrh_rr
 1488     UINT64_C(2638221312),	// L4_loadrh_ur
 1489     UINT64_C(2608861184),	// L4_loadri_ap
 1490     UINT64_C(981467136),	// L4_loadri_rr
 1491     UINT64_C(2642415616),	// L4_loadri_ur
 1492     UINT64_C(2602569728),	// L4_loadrub_ap
 1493     UINT64_C(975175680),	// L4_loadrub_rr
 1494     UINT64_C(2636124160),	// L4_loadrub_ur
 1495     UINT64_C(2606764032),	// L4_loadruh_ap
 1496     UINT64_C(979369984),	// L4_loadruh_rr
 1497     UINT64_C(2640318464),	// L4_loadruh_ur
 1498     UINT64_C(1040187488),	// L4_or_memopb_io
 1499     UINT64_C(1042284640),	// L4_or_memoph_io
 1500     UINT64_C(1044381792),	// L4_or_memopw_io
 1501     UINT64_C(2667587712),	// L4_ploadrbf_abs
 1502     UINT64_C(822083584),	// L4_ploadrbf_rr
 1503     UINT64_C(2667591808),	// L4_ploadrbfnew_abs
 1504     UINT64_C(855638016),	// L4_ploadrbfnew_rr
 1505     UINT64_C(2667585664),	// L4_ploadrbt_abs
 1506     UINT64_C(805306368),	// L4_ploadrbt_rr
 1507     UINT64_C(2667589760),	// L4_ploadrbtnew_abs
 1508     UINT64_C(838860800),	// L4_ploadrbtnew_rr
 1509     UINT64_C(2680170624),	// L4_ploadrdf_abs
 1510     UINT64_C(834666496),	// L4_ploadrdf_rr
 1511     UINT64_C(2680174720),	// L4_ploadrdfnew_abs
 1512     UINT64_C(868220928),	// L4_ploadrdfnew_rr
 1513     UINT64_C(2680168576),	// L4_ploadrdt_abs
 1514     UINT64_C(817889280),	// L4_ploadrdt_rr
 1515     UINT64_C(2680172672),	// L4_ploadrdtnew_abs
 1516     UINT64_C(851443712),	// L4_ploadrdtnew_rr
 1517     UINT64_C(2671782016),	// L4_ploadrhf_abs
 1518     UINT64_C(826277888),	// L4_ploadrhf_rr
 1519     UINT64_C(2671786112),	// L4_ploadrhfnew_abs
 1520     UINT64_C(859832320),	// L4_ploadrhfnew_rr
 1521     UINT64_C(2671779968),	// L4_ploadrht_abs
 1522     UINT64_C(809500672),	// L4_ploadrht_rr
 1523     UINT64_C(2671784064),	// L4_ploadrhtnew_abs
 1524     UINT64_C(843055104),	// L4_ploadrhtnew_rr
 1525     UINT64_C(2675976320),	// L4_ploadrif_abs
 1526     UINT64_C(830472192),	// L4_ploadrif_rr
 1527     UINT64_C(2675980416),	// L4_ploadrifnew_abs
 1528     UINT64_C(864026624),	// L4_ploadrifnew_rr
 1529     UINT64_C(2675974272),	// L4_ploadrit_abs
 1530     UINT64_C(813694976),	// L4_ploadrit_rr
 1531     UINT64_C(2675978368),	// L4_ploadritnew_abs
 1532     UINT64_C(847249408),	// L4_ploadritnew_rr
 1533     UINT64_C(2669684864),	// L4_ploadrubf_abs
 1534     UINT64_C(824180736),	// L4_ploadrubf_rr
 1535     UINT64_C(2669688960),	// L4_ploadrubfnew_abs
 1536     UINT64_C(857735168),	// L4_ploadrubfnew_rr
 1537     UINT64_C(2669682816),	// L4_ploadrubt_abs
 1538     UINT64_C(807403520),	// L4_ploadrubt_rr
 1539     UINT64_C(2669686912),	// L4_ploadrubtnew_abs
 1540     UINT64_C(840957952),	// L4_ploadrubtnew_rr
 1541     UINT64_C(2673879168),	// L4_ploadruhf_abs
 1542     UINT64_C(828375040),	// L4_ploadruhf_rr
 1543     UINT64_C(2673883264),	// L4_ploadruhfnew_abs
 1544     UINT64_C(861929472),	// L4_ploadruhfnew_rr
 1545     UINT64_C(2673877120),	// L4_ploadruht_abs
 1546     UINT64_C(811597824),	// L4_ploadruht_rr
 1547     UINT64_C(2673881216),	// L4_ploadruhtnew_abs
 1548     UINT64_C(845152256),	// L4_ploadruhtnew_rr
 1549     UINT64_C(2516582400),	// L4_return
 1550     UINT64_C(2516594688),	// L4_return_f
 1551     UINT64_C(2516592640),	// L4_return_fnew_pnt
 1552     UINT64_C(2516596736),	// L4_return_fnew_pt
 1553     UINT64_C(2516586496),	// L4_return_t
 1554     UINT64_C(2516584448),	// L4_return_tnew_pnt
 1555     UINT64_C(2516588544),	// L4_return_tnew_pt
 1556     UINT64_C(1040187424),	// L4_sub_memopb_io
 1557     UINT64_C(1042284576),	// L4_sub_memoph_io
 1558     UINT64_C(1044381728),	// L4_sub_memopw_io
 1559     UINT64_C(2449473600),	// L6_memcpy
 1560     UINT64_C(18874368),	// LO
 1561     UINT64_C(4009754656),	// M2_acci
 1562     UINT64_C(3791650816),	// M2_accii
 1563     UINT64_C(3875536928),	// M2_cmaci_s0
 1564     UINT64_C(3875536960),	// M2_cmacr_s0
 1565     UINT64_C(3875537088),	// M2_cmacs_s0
 1566     UINT64_C(3883925696),	// M2_cmacs_s1
 1567     UINT64_C(3879731392),	// M2_cmacsc_s0
 1568     UINT64_C(3888120000),	// M2_cmacsc_s1
 1569     UINT64_C(3841982496),	// M2_cmpyi_s0
 1570     UINT64_C(3841982528),	// M2_cmpyr_s0
 1571     UINT64_C(3978297536),	// M2_cmpyrs_s0
 1572     UINT64_C(3986686144),	// M2_cmpyrs_s1
 1573     UINT64_C(3982491840),	// M2_cmpyrsc_s0
 1574     UINT64_C(3990880448),	// M2_cmpyrsc_s1
 1575     UINT64_C(3841982656),	// M2_cmpys_s0
 1576     UINT64_C(3850371264),	// M2_cmpys_s1
 1577     UINT64_C(3846176960),	// M2_cmpysc_s0
 1578     UINT64_C(3854565568),	// M2_cmpysc_s1
 1579     UINT64_C(3875537120),	// M2_cnacs_s0
 1580     UINT64_C(3883925728),	// M2_cnacs_s1
 1581     UINT64_C(3879731424),	// M2_cnacsc_s0
 1582     UINT64_C(3888120032),	// M2_cnacsc_s1
 1583     UINT64_C(3875536896),	// M2_dpmpyss_acc_s0
 1584     UINT64_C(3877634048),	// M2_dpmpyss_nac_s0
 1585     UINT64_C(3978297376),	// M2_dpmpyss_rnd_s0
 1586     UINT64_C(3841982464),	// M2_dpmpyss_s0
 1587     UINT64_C(3879731200),	// M2_dpmpyuu_acc_s0
 1588     UINT64_C(3881828352),	// M2_dpmpyuu_nac_s0
 1589     UINT64_C(3846176768),	// M2_dpmpyuu_s0
 1590     UINT64_C(3986686080),	// M2_hmmpyh_rs1
 1591     UINT64_C(3986685952),	// M2_hmmpyh_s1
 1592     UINT64_C(3990880384),	// M2_hmmpyl_rs1
 1593     UINT64_C(3986685984),	// M2_hmmpyl_s1
 1594     UINT64_C(4009754624),	// M2_maci
 1595     UINT64_C(3783262208),	// M2_macsin
 1596     UINT64_C(3774873600),	// M2_macsip
 1597     UINT64_C(3927965920),	// M2_mmachs_rs0
 1598     UINT64_C(3936354528),	// M2_mmachs_rs1
 1599     UINT64_C(3925868768),	// M2_mmachs_s0
 1600     UINT64_C(3934257376),	// M2_mmachs_s1
 1601     UINT64_C(3927965856),	// M2_mmacls_rs0
 1602     UINT64_C(3936354464),	// M2_mmacls_rs1
 1603     UINT64_C(3925868704),	// M2_mmacls_s0
 1604     UINT64_C(3934257312),	// M2_mmacls_s1
 1605     UINT64_C(3932160224),	// M2_mmacuhs_rs0
 1606     UINT64_C(3940548832),	// M2_mmacuhs_rs1
 1607     UINT64_C(3930063072),	// M2_mmacuhs_s0
 1608     UINT64_C(3938451680),	// M2_mmacuhs_s1
 1609     UINT64_C(3932160160),	// M2_mmaculs_rs0
 1610     UINT64_C(3940548768),	// M2_mmaculs_rs1
 1611     UINT64_C(3930063008),	// M2_mmaculs_s0
 1612     UINT64_C(3938451616),	// M2_mmaculs_s1
 1613     UINT64_C(3894411488),	// M2_mmpyh_rs0
 1614     UINT64_C(3902800096),	// M2_mmpyh_rs1
 1615     UINT64_C(3892314336),	// M2_mmpyh_s0
 1616     UINT64_C(3900702944),	// M2_mmpyh_s1
 1617     UINT64_C(3894411424),	// M2_mmpyl_rs0
 1618     UINT64_C(3902800032),	// M2_mmpyl_rs1
 1619     UINT64_C(3892314272),	// M2_mmpyl_s0
 1620     UINT64_C(3900702880),	// M2_mmpyl_s1
 1621     UINT64_C(3898605792),	// M2_mmpyuh_rs0
 1622     UINT64_C(3906994400),	// M2_mmpyuh_rs1
 1623     UINT64_C(3896508640),	// M2_mmpyuh_s0
 1624     UINT64_C(3904897248),	// M2_mmpyuh_s1
 1625     UINT64_C(3898605728),	// M2_mmpyul_rs0
 1626     UINT64_C(3906994336),	// M2_mmpyul_rs1
 1627     UINT64_C(3896508576),	// M2_mmpyul_s0
 1628     UINT64_C(3904897184),	// M2_mmpyul_s1
 1629     UINT64_C(4018143232),	// M2_mnaci
 1630     UINT64_C(3992977504),	// M2_mpy_acc_hh_s0
 1631     UINT64_C(4001366112),	// M2_mpy_acc_hh_s1
 1632     UINT64_C(3992977472),	// M2_mpy_acc_hl_s0
 1633     UINT64_C(4001366080),	// M2_mpy_acc_hl_s1
 1634     UINT64_C(3992977440),	// M2_mpy_acc_lh_s0
 1635     UINT64_C(4001366048),	// M2_mpy_acc_lh_s1
 1636     UINT64_C(3992977408),	// M2_mpy_acc_ll_s0
 1637     UINT64_C(4001366016),	// M2_mpy_acc_ll_s1
 1638     UINT64_C(3992977632),	// M2_mpy_acc_sat_hh_s0
 1639     UINT64_C(4001366240),	// M2_mpy_acc_sat_hh_s1
 1640     UINT64_C(3992977600),	// M2_mpy_acc_sat_hl_s0
 1641     UINT64_C(4001366208),	// M2_mpy_acc_sat_hl_s1
 1642     UINT64_C(3992977568),	// M2_mpy_acc_sat_lh_s0
 1643     UINT64_C(4001366176),	// M2_mpy_acc_sat_lh_s1
 1644     UINT64_C(3992977536),	// M2_mpy_acc_sat_ll_s0
 1645     UINT64_C(4001366144),	// M2_mpy_acc_sat_ll_s1
 1646     UINT64_C(3959423072),	// M2_mpy_hh_s0
 1647     UINT64_C(3967811680),	// M2_mpy_hh_s1
 1648     UINT64_C(3959423040),	// M2_mpy_hl_s0
 1649     UINT64_C(3967811648),	// M2_mpy_hl_s1
 1650     UINT64_C(3959423008),	// M2_mpy_lh_s0
 1651     UINT64_C(3967811616),	// M2_mpy_lh_s1
 1652     UINT64_C(3959422976),	// M2_mpy_ll_s0
 1653     UINT64_C(3967811584),	// M2_mpy_ll_s1
 1654     UINT64_C(3995074656),	// M2_mpy_nac_hh_s0
 1655     UINT64_C(4003463264),	// M2_mpy_nac_hh_s1
 1656     UINT64_C(3995074624),	// M2_mpy_nac_hl_s0
 1657     UINT64_C(4003463232),	// M2_mpy_nac_hl_s1
 1658     UINT64_C(3995074592),	// M2_mpy_nac_lh_s0
 1659     UINT64_C(4003463200),	// M2_mpy_nac_lh_s1
 1660     UINT64_C(3995074560),	// M2_mpy_nac_ll_s0
 1661     UINT64_C(4003463168),	// M2_mpy_nac_ll_s1
 1662     UINT64_C(3995074784),	// M2_mpy_nac_sat_hh_s0
 1663     UINT64_C(4003463392),	// M2_mpy_nac_sat_hh_s1
 1664     UINT64_C(3995074752),	// M2_mpy_nac_sat_hl_s0
 1665     UINT64_C(4003463360),	// M2_mpy_nac_sat_hl_s1
 1666     UINT64_C(3995074720),	// M2_mpy_nac_sat_lh_s0
 1667     UINT64_C(4003463328),	// M2_mpy_nac_sat_lh_s1
 1668     UINT64_C(3995074688),	// M2_mpy_nac_sat_ll_s0
 1669     UINT64_C(4003463296),	// M2_mpy_nac_sat_ll_s1
 1670     UINT64_C(3961520224),	// M2_mpy_rnd_hh_s0
 1671     UINT64_C(3969908832),	// M2_mpy_rnd_hh_s1
 1672     UINT64_C(3961520192),	// M2_mpy_rnd_hl_s0
 1673     UINT64_C(3969908800),	// M2_mpy_rnd_hl_s1
 1674     UINT64_C(3961520160),	// M2_mpy_rnd_lh_s0
 1675     UINT64_C(3969908768),	// M2_mpy_rnd_lh_s1
 1676     UINT64_C(3961520128),	// M2_mpy_rnd_ll_s0
 1677     UINT64_C(3969908736),	// M2_mpy_rnd_ll_s1
 1678     UINT64_C(3959423200),	// M2_mpy_sat_hh_s0
 1679     UINT64_C(3967811808),	// M2_mpy_sat_hh_s1
 1680     UINT64_C(3959423168),	// M2_mpy_sat_hl_s0
 1681     UINT64_C(3967811776),	// M2_mpy_sat_hl_s1
 1682     UINT64_C(3959423136),	// M2_mpy_sat_lh_s0
 1683     UINT64_C(3967811744),	// M2_mpy_sat_lh_s1
 1684     UINT64_C(3959423104),	// M2_mpy_sat_ll_s0
 1685     UINT64_C(3967811712),	// M2_mpy_sat_ll_s1
 1686     UINT64_C(3961520352),	// M2_mpy_sat_rnd_hh_s0
 1687     UINT64_C(3969908960),	// M2_mpy_sat_rnd_hh_s1
 1688     UINT64_C(3961520320),	// M2_mpy_sat_rnd_hl_s0
 1689     UINT64_C(3969908928),	// M2_mpy_sat_rnd_hl_s1
 1690     UINT64_C(3961520288),	// M2_mpy_sat_rnd_lh_s0
 1691     UINT64_C(3969908896),	// M2_mpy_sat_rnd_lh_s1
 1692     UINT64_C(3961520256),	// M2_mpy_sat_rnd_ll_s0
 1693     UINT64_C(3969908864),	// M2_mpy_sat_rnd_ll_s1
 1694     UINT64_C(3976200224),	// M2_mpy_up
 1695     UINT64_C(3986686016),	// M2_mpy_up_s1
 1696     UINT64_C(3990880256),	// M2_mpy_up_s1_sat
 1697     UINT64_C(3858759776),	// M2_mpyd_acc_hh_s0
 1698     UINT64_C(3867148384),	// M2_mpyd_acc_hh_s1
 1699     UINT64_C(3858759744),	// M2_mpyd_acc_hl_s0
 1700     UINT64_C(3867148352),	// M2_mpyd_acc_hl_s1
 1701     UINT64_C(3858759712),	// M2_mpyd_acc_lh_s0
 1702     UINT64_C(3867148320),	// M2_mpyd_acc_lh_s1
 1703     UINT64_C(3858759680),	// M2_mpyd_acc_ll_s0
 1704     UINT64_C(3867148288),	// M2_mpyd_acc_ll_s1
 1705     UINT64_C(3825205344),	// M2_mpyd_hh_s0
 1706     UINT64_C(3833593952),	// M2_mpyd_hh_s1
 1707     UINT64_C(3825205312),	// M2_mpyd_hl_s0
 1708     UINT64_C(3833593920),	// M2_mpyd_hl_s1
 1709     UINT64_C(3825205280),	// M2_mpyd_lh_s0
 1710     UINT64_C(3833593888),	// M2_mpyd_lh_s1
 1711     UINT64_C(3825205248),	// M2_mpyd_ll_s0
 1712     UINT64_C(3833593856),	// M2_mpyd_ll_s1
 1713     UINT64_C(3860856928),	// M2_mpyd_nac_hh_s0
 1714     UINT64_C(3869245536),	// M2_mpyd_nac_hh_s1
 1715     UINT64_C(3860856896),	// M2_mpyd_nac_hl_s0
 1716     UINT64_C(3869245504),	// M2_mpyd_nac_hl_s1
 1717     UINT64_C(3860856864),	// M2_mpyd_nac_lh_s0
 1718     UINT64_C(3869245472),	// M2_mpyd_nac_lh_s1
 1719     UINT64_C(3860856832),	// M2_mpyd_nac_ll_s0
 1720     UINT64_C(3869245440),	// M2_mpyd_nac_ll_s1
 1721     UINT64_C(3827302496),	// M2_mpyd_rnd_hh_s0
 1722     UINT64_C(3835691104),	// M2_mpyd_rnd_hh_s1
 1723     UINT64_C(3827302464),	// M2_mpyd_rnd_hl_s0
 1724     UINT64_C(3835691072),	// M2_mpyd_rnd_hl_s1
 1725     UINT64_C(3827302432),	// M2_mpyd_rnd_lh_s0
 1726     UINT64_C(3835691040),	// M2_mpyd_rnd_lh_s1
 1727     UINT64_C(3827302400),	// M2_mpyd_rnd_ll_s0
 1728     UINT64_C(3835691008),	// M2_mpyd_rnd_ll_s1
 1729     UINT64_C(3976200192),	// M2_mpyi
 1730     UINT64_C(3766484992),	// M2_mpysin
 1731     UINT64_C(3758096384),	// M2_mpysip
 1732     UINT64_C(3982491680),	// M2_mpysu_up
 1733     UINT64_C(3997171808),	// M2_mpyu_acc_hh_s0
 1734     UINT64_C(4005560416),	// M2_mpyu_acc_hh_s1
 1735     UINT64_C(3997171776),	// M2_mpyu_acc_hl_s0
 1736     UINT64_C(4005560384),	// M2_mpyu_acc_hl_s1
 1737     UINT64_C(3997171744),	// M2_mpyu_acc_lh_s0
 1738     UINT64_C(4005560352),	// M2_mpyu_acc_lh_s1
 1739     UINT64_C(3997171712),	// M2_mpyu_acc_ll_s0
 1740     UINT64_C(4005560320),	// M2_mpyu_acc_ll_s1
 1741     UINT64_C(3963617376),	// M2_mpyu_hh_s0
 1742     UINT64_C(3972005984),	// M2_mpyu_hh_s1
 1743     UINT64_C(3963617344),	// M2_mpyu_hl_s0
 1744     UINT64_C(3972005952),	// M2_mpyu_hl_s1
 1745     UINT64_C(3963617312),	// M2_mpyu_lh_s0
 1746     UINT64_C(3972005920),	// M2_mpyu_lh_s1
 1747     UINT64_C(3963617280),	// M2_mpyu_ll_s0
 1748     UINT64_C(3972005888),	// M2_mpyu_ll_s1
 1749     UINT64_C(3999268960),	// M2_mpyu_nac_hh_s0
 1750     UINT64_C(4007657568),	// M2_mpyu_nac_hh_s1
 1751     UINT64_C(3999268928),	// M2_mpyu_nac_hl_s0
 1752     UINT64_C(4007657536),	// M2_mpyu_nac_hl_s1
 1753     UINT64_C(3999268896),	// M2_mpyu_nac_lh_s0
 1754     UINT64_C(4007657504),	// M2_mpyu_nac_lh_s1
 1755     UINT64_C(3999268864),	// M2_mpyu_nac_ll_s0
 1756     UINT64_C(4007657472),	// M2_mpyu_nac_ll_s1
 1757     UINT64_C(3980394528),	// M2_mpyu_up
 1758     UINT64_C(3862954080),	// M2_mpyud_acc_hh_s0
 1759     UINT64_C(3871342688),	// M2_mpyud_acc_hh_s1
 1760     UINT64_C(3862954048),	// M2_mpyud_acc_hl_s0
 1761     UINT64_C(3871342656),	// M2_mpyud_acc_hl_s1
 1762     UINT64_C(3862954016),	// M2_mpyud_acc_lh_s0
 1763     UINT64_C(3871342624),	// M2_mpyud_acc_lh_s1
 1764     UINT64_C(3862953984),	// M2_mpyud_acc_ll_s0
 1765     UINT64_C(3871342592),	// M2_mpyud_acc_ll_s1
 1766     UINT64_C(3829399648),	// M2_mpyud_hh_s0
 1767     UINT64_C(3837788256),	// M2_mpyud_hh_s1
 1768     UINT64_C(3829399616),	// M2_mpyud_hl_s0
 1769     UINT64_C(3837788224),	// M2_mpyud_hl_s1
 1770     UINT64_C(3829399584),	// M2_mpyud_lh_s0
 1771     UINT64_C(3837788192),	// M2_mpyud_lh_s1
 1772     UINT64_C(3829399552),	// M2_mpyud_ll_s0
 1773     UINT64_C(3837788160),	// M2_mpyud_ll_s1
 1774     UINT64_C(3865051232),	// M2_mpyud_nac_hh_s0
 1775     UINT64_C(3873439840),	// M2_mpyud_nac_hh_s1
 1776     UINT64_C(3865051200),	// M2_mpyud_nac_hl_s0
 1777     UINT64_C(3873439808),	// M2_mpyud_nac_hl_s1
 1778     UINT64_C(3865051168),	// M2_mpyud_nac_lh_s0
 1779     UINT64_C(3873439776),	// M2_mpyud_nac_lh_s1
 1780     UINT64_C(3865051136),	// M2_mpyud_nac_ll_s0
 1781     UINT64_C(3873439744),	// M2_mpyud_nac_ll_s1
 1782     UINT64_C(4018143264),	// M2_nacci
 1783     UINT64_C(3800039424),	// M2_naccii
 1784     UINT64_C(4009754720),	// M2_subacc
 1785     UINT64_C(3898605568),	// M2_vabsdiffh
 1786     UINT64_C(3894411264),	// M2_vabsdiffw
 1787     UINT64_C(3930062976),	// M2_vcmac_s0_sat_i
 1788     UINT64_C(3927965824),	// M2_vcmac_s0_sat_r
 1789     UINT64_C(3896508608),	// M2_vcmpy_s0_sat_i
 1790     UINT64_C(3894411456),	// M2_vcmpy_s0_sat_r
 1791     UINT64_C(3904897216),	// M2_vcmpy_s1_sat_i
 1792     UINT64_C(3902800064),	// M2_vcmpy_s1_sat_r
 1793     UINT64_C(3925868672),	// M2_vdmacs_s0
 1794     UINT64_C(3934257280),	// M2_vdmacs_s1
 1795     UINT64_C(3909091328),	// M2_vdmpyrs_s0
 1796     UINT64_C(3917479936),	// M2_vdmpyrs_s1
 1797     UINT64_C(3892314240),	// M2_vdmpys_s0
 1798     UINT64_C(3900702848),	// M2_vdmpys_s1
 1799     UINT64_C(3877634080),	// M2_vmac2
 1800     UINT64_C(3927965760),	// M2_vmac2es
 1801     UINT64_C(3925868736),	// M2_vmac2es_s0
 1802     UINT64_C(3934257344),	// M2_vmac2es_s1
 1803     UINT64_C(3875537056),	// M2_vmac2s_s0
 1804     UINT64_C(3883925664),	// M2_vmac2s_s1
 1805     UINT64_C(3881828512),	// M2_vmac2su_s0
 1806     UINT64_C(3890217120),	// M2_vmac2su_s1
 1807     UINT64_C(3892314304),	// M2_vmpy2es_s0
 1808     UINT64_C(3900702912),	// M2_vmpy2es_s1
 1809     UINT64_C(3841982624),	// M2_vmpy2s_s0
 1810     UINT64_C(3978297568),	// M2_vmpy2s_s0pack
 1811     UINT64_C(3850371232),	// M2_vmpy2s_s1
 1812     UINT64_C(3986686176),	// M2_vmpy2s_s1pack
 1813     UINT64_C(3841982688),	// M2_vmpy2su_s0
 1814     UINT64_C(3850371296),	// M2_vmpy2su_s1
 1815     UINT64_C(3911188704),	// M2_vraddh
 1816     UINT64_C(3909091360),	// M2_vradduh
 1817     UINT64_C(3925868544),	// M2_vrcmaci_s0
 1818     UINT64_C(3930062848),	// M2_vrcmaci_s0c
 1819     UINT64_C(3925868576),	// M2_vrcmacr_s0
 1820     UINT64_C(3932160032),	// M2_vrcmacr_s0c
 1821     UINT64_C(3892314112),	// M2_vrcmpyi_s0
 1822     UINT64_C(3896508416),	// M2_vrcmpyi_s0c
 1823     UINT64_C(3892314144),	// M2_vrcmpyr_s0
 1824     UINT64_C(3898605600),	// M2_vrcmpyr_s0c
 1825     UINT64_C(3936354432),	// M2_vrcmpys_acc_s1_h
 1826     UINT64_C(3940548736),	// M2_vrcmpys_acc_s1_l
 1827     UINT64_C(3902800000),	// M2_vrcmpys_s1_h
 1828     UINT64_C(3906994304),	// M2_vrcmpys_s1_l
 1829     UINT64_C(3919577280),	// M2_vrcmpys_s1rp_h
 1830     UINT64_C(3919577312),	// M2_vrcmpys_s1rp_l
 1831     UINT64_C(3925868608),	// M2_vrmac_s0
 1832     UINT64_C(3892314176),	// M2_vrmpy_s0
 1833     UINT64_C(4018143328),	// M2_xor_xacc
 1834     UINT64_C(4013948928),	// M4_and_and
 1835     UINT64_C(4011851808),	// M4_and_andn
 1836     UINT64_C(4013948960),	// M4_and_or
 1837     UINT64_C(4013948992),	// M4_and_xor
 1838     UINT64_C(3305111680),	// M4_cmpyi_wh
 1839     UINT64_C(3305111712),	// M4_cmpyi_whc
 1840     UINT64_C(3305111744),	// M4_cmpyr_wh
 1841     UINT64_C(3305111776),	// M4_cmpyr_whc
 1842     UINT64_C(4016046080),	// M4_mac_up_s1_sat
 1843     UINT64_C(3623878656),	// M4_mpyri_addi
 1844     UINT64_C(3749707776),	// M4_mpyri_addr
 1845     UINT64_C(3741319168),	// M4_mpyri_addr_u2
 1846     UINT64_C(3607101440),	// M4_mpyrr_addi
 1847     UINT64_C(3808428032),	// M4_mpyrr_addr
 1848     UINT64_C(4016046112),	// M4_nac_up_s1_sat
 1849     UINT64_C(4013949024),	// M4_or_and
 1850     UINT64_C(4011851776),	// M4_or_andn
 1851     UINT64_C(4022337536),	// M4_or_or
 1852     UINT64_C(4022337568),	// M4_or_xor
 1853     UINT64_C(3846176992),	// M4_pmpyw
 1854     UINT64_C(3877634272),	// M4_pmpyw_acc
 1855     UINT64_C(3854565600),	// M4_vpmpyh
 1856     UINT64_C(3886022880),	// M4_vpmpyh_acc
 1857     UINT64_C(3927965888),	// M4_vrmpyeh_acc_s0
 1858     UINT64_C(3936354496),	// M4_vrmpyeh_acc_s1
 1859     UINT64_C(3896508544),	// M4_vrmpyeh_s0
 1860     UINT64_C(3904897152),	// M4_vrmpyeh_s1
 1861     UINT64_C(3932160192),	// M4_vrmpyoh_acc_s0
 1862     UINT64_C(3940548800),	// M4_vrmpyoh_acc_s1
 1863     UINT64_C(3894411328),	// M4_vrmpyoh_s0
 1864     UINT64_C(3902799936),	// M4_vrmpyoh_s1
 1865     UINT64_C(4022337600),	// M4_xor_and
 1866     UINT64_C(4011851840),	// M4_xor_andn
 1867     UINT64_C(4022337632),	// M4_xor_or
 1868     UINT64_C(3397386240),	// M4_xor_xacc
 1869     UINT64_C(3927965728),	// M5_vdmacbsu
 1870     UINT64_C(3902799904),	// M5_vdmpybsu
 1871     UINT64_C(3888119840),	// M5_vmacbsu
 1872     UINT64_C(3883925536),	// M5_vmacbuu
 1873     UINT64_C(3846176800),	// M5_vmpybsu
 1874     UINT64_C(3850371104),	// M5_vmpybuu
 1875     UINT64_C(3938451488),	// M5_vrmacbsu
 1876     UINT64_C(3934257184),	// M5_vrmacbuu
 1877     UINT64_C(3904897056),	// M5_vrmpybsu
 1878     UINT64_C(3900702752),	// M5_vrmpybuu
 1879     UINT64_C(3906994176),	// M6_vabsdiffb
 1880     UINT64_C(3902799872),	// M6_vabsdiffub
 1881     UINT64_C(1509949440),	// PS_call_stk
 1882     UINT64_C(1352663040),	// PS_callr_nr
 1883     UINT64_C(1384120320),	// PS_jmpret
 1884     UINT64_C(1398800384),	// PS_jmpretf
 1885     UINT64_C(1398802432),	// PS_jmpretfnew
 1886     UINT64_C(1398806528),	// PS_jmpretfnewpt
 1887     UINT64_C(1396703232),	// PS_jmprett
 1888     UINT64_C(1396705280),	// PS_jmprettnew
 1889     UINT64_C(1396709376),	// PS_jmprettnewpt
 1890     UINT64_C(1224736768),	// PS_loadrbabs
 1891     UINT64_C(1237319680),	// PS_loadrdabs
 1892     UINT64_C(1228931072),	// PS_loadrhabs
 1893     UINT64_C(1233125376),	// PS_loadriabs
 1894     UINT64_C(1226833920),	// PS_loadrubabs
 1895     UINT64_C(1231028224),	// PS_loadruhabs
 1896     UINT64_C(1207959552),	// PS_storerbabs
 1897     UINT64_C(1218445312),	// PS_storerbnewabs
 1898     UINT64_C(1220542464),	// PS_storerdabs
 1899     UINT64_C(1214251008),	// PS_storerfabs
 1900     UINT64_C(1212153856),	// PS_storerhabs
 1901     UINT64_C(1218447360),	// PS_storerhnewabs
 1902     UINT64_C(1216348160),	// PS_storeriabs
 1903     UINT64_C(1218449408),	// PS_storerinewabs
 1904     UINT64_C(1509949440),	// RESTORE_DEALLOC_BEFORE_TAILCALL_V4
 1905     UINT64_C(1509949440),	// RESTORE_DEALLOC_BEFORE_TAILCALL_V4_EXT
 1906     UINT64_C(1509949440),	// RESTORE_DEALLOC_BEFORE_TAILCALL_V4_EXT_PIC
 1907     UINT64_C(1509949440),	// RESTORE_DEALLOC_BEFORE_TAILCALL_V4_PIC
 1908     UINT64_C(1476395008),	// RESTORE_DEALLOC_RET_JMP_V4
 1909     UINT64_C(1476395008),	// RESTORE_DEALLOC_RET_JMP_V4_EXT
 1910     UINT64_C(1476395008),	// RESTORE_DEALLOC_RET_JMP_V4_EXT_PIC
 1911     UINT64_C(1476395008),	// RESTORE_DEALLOC_RET_JMP_V4_PIC
 1912     UINT64_C(3288334336),	// S2_addasl_rrri
 1913     UINT64_C(2692743168),	// S2_allocframe
 1914     UINT64_C(2147483712),	// S2_asl_i_p
 1915     UINT64_C(2181038272),	// S2_asl_i_p_acc
 1916     UINT64_C(2185232448),	// S2_asl_i_p_and
 1917     UINT64_C(2181038144),	// S2_asl_i_p_nac
 1918     UINT64_C(2185232576),	// S2_asl_i_p_or
 1919     UINT64_C(2189426752),	// S2_asl_i_p_xacc
 1920     UINT64_C(2348810304),	// S2_asl_i_r
 1921     UINT64_C(2382364864),	// S2_asl_i_r_acc
 1922     UINT64_C(2386559040),	// S2_asl_i_r_and
 1923     UINT64_C(2382364736),	// S2_asl_i_r_nac
 1924     UINT64_C(2386559168),	// S2_asl_i_r_or
 1925     UINT64_C(2353004608),	// S2_asl_i_r_sat
 1926     UINT64_C(2390753344),	// S2_asl_i_r_xacc
 1927     UINT64_C(2155872320),	// S2_asl_i_vh
 1928     UINT64_C(2151678016),	// S2_asl_i_vw
 1929     UINT64_C(3279945856),	// S2_asl_r_p
 1930     UINT64_C(3418357888),	// S2_asl_r_p_acc
 1931     UINT64_C(3409969280),	// S2_asl_r_p_and
 1932     UINT64_C(3414163584),	// S2_asl_r_p_nac
 1933     UINT64_C(3405774976),	// S2_asl_r_p_or
 1934     UINT64_C(3412066432),	// S2_asl_r_p_xor
 1935     UINT64_C(3326083200),	// S2_asl_r_r
 1936     UINT64_C(3435135104),	// S2_asl_r_r_acc
 1937     UINT64_C(3426746496),	// S2_asl_r_r_and
 1938     UINT64_C(3430940800),	// S2_asl_r_r_nac
 1939     UINT64_C(3422552192),	// S2_asl_r_r_or
 1940     UINT64_C(3321888896),	// S2_asl_r_r_sat
 1941     UINT64_C(3275751552),	// S2_asl_r_vh
 1942     UINT64_C(3271557248),	// S2_asl_r_vw
 1943     UINT64_C(2147483648),	// S2_asr_i_p
 1944     UINT64_C(2181038208),	// S2_asr_i_p_acc
 1945     UINT64_C(2185232384),	// S2_asr_i_p_and
 1946     UINT64_C(2181038080),	// S2_asr_i_p_nac
 1947     UINT64_C(2185232512),	// S2_asr_i_p_or
 1948     UINT64_C(2160066784),	// S2_asr_i_p_rnd
 1949     UINT64_C(2348810240),	// S2_asr_i_r
 1950     UINT64_C(2382364800),	// S2_asr_i_r_acc
 1951     UINT64_C(2386558976),	// S2_asr_i_r_and
 1952     UINT64_C(2382364672),	// S2_asr_i_r_nac
 1953     UINT64_C(2386559104),	// S2_asr_i_r_or
 1954     UINT64_C(2353004544),	// S2_asr_i_r_rnd
 1955     UINT64_C(2294284352),	// S2_asr_i_svw_trun
 1956     UINT64_C(2155872256),	// S2_asr_i_vh
 1957     UINT64_C(2151677952),	// S2_asr_i_vw
 1958     UINT64_C(3279945728),	// S2_asr_r_p
 1959     UINT64_C(3418357760),	// S2_asr_r_p_acc
 1960     UINT64_C(3409969152),	// S2_asr_r_p_and
 1961     UINT64_C(3414163456),	// S2_asr_r_p_nac
 1962     UINT64_C(3405774848),	// S2_asr_r_p_or
 1963     UINT64_C(3412066304),	// S2_asr_r_p_xor
 1964     UINT64_C(3326083072),	// S2_asr_r_r
 1965     UINT64_C(3435134976),	// S2_asr_r_r_acc
 1966     UINT64_C(3426746368),	// S2_asr_r_r_and
 1967     UINT64_C(3430940672),	// S2_asr_r_r_nac
 1968     UINT64_C(3422552064),	// S2_asr_r_r_or
 1969     UINT64_C(3321888768),	// S2_asr_r_r_sat
 1970     UINT64_C(3305111616),	// S2_asr_r_svw_trun
 1971     UINT64_C(3275751424),	// S2_asr_r_vh
 1972     UINT64_C(3271557120),	// S2_asr_r_vw
 1973     UINT64_C(2353004736),	// S2_brev
 1974     UINT64_C(2160066752),	// S2_brevp
 1975     UINT64_C(3250585792),	// S2_cabacdecbin
 1976     UINT64_C(2348810400),	// S2_cl0
 1977     UINT64_C(2285895744),	// S2_cl0p
 1978     UINT64_C(2348810432),	// S2_cl1
 1979     UINT64_C(2285895808),	// S2_cl1p
 1980     UINT64_C(2348810368),	// S2_clb
 1981     UINT64_C(2348810464),	// S2_clbnorm
 1982     UINT64_C(2285895680),	// S2_clbp
 1983     UINT64_C(2361393184),	// S2_clrbit_i
 1984     UINT64_C(3330277440),	// S2_clrbit_r
 1985     UINT64_C(2353004672),	// S2_ct0
 1986     UINT64_C(2296381504),	// S2_ct0p
 1987     UINT64_C(2353004704),	// S2_ct1
 1988     UINT64_C(2296381568),	// S2_ct1p
 1989     UINT64_C(2160066688),	// S2_deinterleave
 1990     UINT64_C(2365587456),	// S2_extractu
 1991     UINT64_C(3372220416),	// S2_extractu_rp
 1992     UINT64_C(2164260864),	// S2_extractup
 1993     UINT64_C(3238002688),	// S2_extractup_rp
 1994     UINT64_C(2399141888),	// S2_insert
 1995     UINT64_C(3355443200),	// S2_insert_rp
 1996     UINT64_C(2197815296),	// S2_insertp
 1997     UINT64_C(3388997632),	// S2_insertp_rp
 1998     UINT64_C(2160066720),	// S2_interleave
 1999     UINT64_C(3246391488),	// S2_lfsp
 2000     UINT64_C(3279945920),	// S2_lsl_r_p
 2001     UINT64_C(3418357952),	// S2_lsl_r_p_acc
 2002     UINT64_C(3409969344),	// S2_lsl_r_p_and
 2003     UINT64_C(3414163648),	// S2_lsl_r_p_nac
 2004     UINT64_C(3405775040),	// S2_lsl_r_p_or
 2005     UINT64_C(3412066496),	// S2_lsl_r_p_xor
 2006     UINT64_C(3326083264),	// S2_lsl_r_r
 2007     UINT64_C(3435135168),	// S2_lsl_r_r_acc
 2008     UINT64_C(3426746560),	// S2_lsl_r_r_and
 2009     UINT64_C(3430940864),	// S2_lsl_r_r_nac
 2010     UINT64_C(3422552256),	// S2_lsl_r_r_or
 2011     UINT64_C(3275751616),	// S2_lsl_r_vh
 2012     UINT64_C(3271557312),	// S2_lsl_r_vw
 2013     UINT64_C(2147483680),	// S2_lsr_i_p
 2014     UINT64_C(2181038240),	// S2_lsr_i_p_acc
 2015     UINT64_C(2185232416),	// S2_lsr_i_p_and
 2016     UINT64_C(2181038112),	// S2_lsr_i_p_nac
 2017     UINT64_C(2185232544),	// S2_lsr_i_p_or
 2018     UINT64_C(2189426720),	// S2_lsr_i_p_xacc
 2019     UINT64_C(2348810272),	// S2_lsr_i_r
 2020     UINT64_C(2382364832),	// S2_lsr_i_r_acc
 2021     UINT64_C(2386559008),	// S2_lsr_i_r_and
 2022     UINT64_C(2382364704),	// S2_lsr_i_r_nac
 2023     UINT64_C(2386559136),	// S2_lsr_i_r_or
 2024     UINT64_C(2390753312),	// S2_lsr_i_r_xacc
 2025     UINT64_C(2155872288),	// S2_lsr_i_vh
 2026     UINT64_C(2151677984),	// S2_lsr_i_vw
 2027     UINT64_C(3279945792),	// S2_lsr_r_p
 2028     UINT64_C(3418357824),	// S2_lsr_r_p_acc
 2029     UINT64_C(3409969216),	// S2_lsr_r_p_and
 2030     UINT64_C(3414163520),	// S2_lsr_r_p_nac
 2031     UINT64_C(3405774912),	// S2_lsr_r_p_or
 2032     UINT64_C(3412066368),	// S2_lsr_r_p_xor
 2033     UINT64_C(3326083136),	// S2_lsr_r_r
 2034     UINT64_C(3435135040),	// S2_lsr_r_r_acc
 2035     UINT64_C(3426746432),	// S2_lsr_r_r_and
 2036     UINT64_C(3430940736),	// S2_lsr_r_r_nac
 2037     UINT64_C(3422552128),	// S2_lsr_r_r_or
 2038     UINT64_C(3275751488),	// S2_lsr_r_vh
 2039     UINT64_C(3271557184),	// S2_lsr_r_vw
 2040     UINT64_C(2365595648),	// S2_mask
 2041     UINT64_C(4118806528),	// S2_packhl
 2042     UINT64_C(3489660928),	// S2_parityp
 2043     UINT64_C(1140850688),	// S2_pstorerbf_io
 2044     UINT64_C(2868912132),	// S2_pstorerbf_pi
 2045     UINT64_C(2868912260),	// S2_pstorerbfnew_pi
 2046     UINT64_C(1151336448),	// S2_pstorerbnewf_io
 2047     UINT64_C(2879397892),	// S2_pstorerbnewf_pi
 2048     UINT64_C(2879398020),	// S2_pstorerbnewfnew_pi
 2049     UINT64_C(1084227584),	// S2_pstorerbnewt_io
 2050     UINT64_C(2879397888),	// S2_pstorerbnewt_pi
 2051     UINT64_C(2879398016),	// S2_pstorerbnewtnew_pi
 2052     UINT64_C(1073741824),	// S2_pstorerbt_io
 2053     UINT64_C(2868912128),	// S2_pstorerbt_pi
 2054     UINT64_C(2868912256),	// S2_pstorerbtnew_pi
 2055     UINT64_C(1153433600),	// S2_pstorerdf_io
 2056     UINT64_C(2881495044),	// S2_pstorerdf_pi
 2057     UINT64_C(2881495172),	// S2_pstorerdfnew_pi
 2058     UINT64_C(1086324736),	// S2_pstorerdt_io
 2059     UINT64_C(2881495040),	// S2_pstorerdt_pi
 2060     UINT64_C(2881495168),	// S2_pstorerdtnew_pi
 2061     UINT64_C(1147142144),	// S2_pstorerff_io
 2062     UINT64_C(2875203588),	// S2_pstorerff_pi
 2063     UINT64_C(2875203716),	// S2_pstorerffnew_pi
 2064     UINT64_C(1080033280),	// S2_pstorerft_io
 2065     UINT64_C(2875203584),	// S2_pstorerft_pi
 2066     UINT64_C(2875203712),	// S2_pstorerftnew_pi
 2067     UINT64_C(1145044992),	// S2_pstorerhf_io
 2068     UINT64_C(2873106436),	// S2_pstorerhf_pi
 2069     UINT64_C(2873106564),	// S2_pstorerhfnew_pi
 2070     UINT64_C(1151338496),	// S2_pstorerhnewf_io
 2071     UINT64_C(2879399940),	// S2_pstorerhnewf_pi
 2072     UINT64_C(2879400068),	// S2_pstorerhnewfnew_pi
 2073     UINT64_C(1084229632),	// S2_pstorerhnewt_io
 2074     UINT64_C(2879399936),	// S2_pstorerhnewt_pi
 2075     UINT64_C(2879400064),	// S2_pstorerhnewtnew_pi
 2076     UINT64_C(1077936128),	// S2_pstorerht_io
 2077     UINT64_C(2873106432),	// S2_pstorerht_pi
 2078     UINT64_C(2873106560),	// S2_pstorerhtnew_pi
 2079     UINT64_C(1149239296),	// S2_pstorerif_io
 2080     UINT64_C(2877300740),	// S2_pstorerif_pi
 2081     UINT64_C(2877300868),	// S2_pstorerifnew_pi
 2082     UINT64_C(1151340544),	// S2_pstorerinewf_io
 2083     UINT64_C(2879401988),	// S2_pstorerinewf_pi
 2084     UINT64_C(2879402116),	// S2_pstorerinewfnew_pi
 2085     UINT64_C(1084231680),	// S2_pstorerinewt_io
 2086     UINT64_C(2879401984),	// S2_pstorerinewt_pi
 2087     UINT64_C(2879402112),	// S2_pstorerinewtnew_pi
 2088     UINT64_C(1082130432),	// S2_pstorerit_io
 2089     UINT64_C(2877300736),	// S2_pstorerit_pi
 2090     UINT64_C(2877300864),	// S2_pstoreritnew_pi
 2091     UINT64_C(2361393152),	// S2_setbit_i
 2092     UINT64_C(3330277376),	// S2_setbit_r
 2093     UINT64_C(3238002752),	// S2_shuffeb
 2094     UINT64_C(3238002880),	// S2_shuffeh
 2095     UINT64_C(3238002816),	// S2_shuffob
 2096     UINT64_C(3246391296),	// S2_shuffoh
 2097     UINT64_C(2701131776),	// S2_storerb_io
 2098     UINT64_C(2936012800),	// S2_storerb_pbr
 2099     UINT64_C(2835349504),	// S2_storerb_pci
 2100     UINT64_C(2835349506),	// S2_storerb_pcr
 2101     UINT64_C(2868903936),	// S2_storerb_pi
 2102     UINT64_C(2902458368),	// S2_storerb_pr
 2103     UINT64_C(1207959552),	// S2_storerbgp
 2104     UINT64_C(2711617536),	// S2_storerbnew_io
 2105     UINT64_C(2946498560),	// S2_storerbnew_pbr
 2106     UINT64_C(2845835264),	// S2_storerbnew_pci
 2107     UINT64_C(2845835266),	// S2_storerbnew_pcr
 2108     UINT64_C(2879389696),	// S2_storerbnew_pi
 2109     UINT64_C(2912944128),	// S2_storerbnew_pr
 2110     UINT64_C(1218445312),	// S2_storerbnewgp
 2111     UINT64_C(2713714688),	// S2_storerd_io
 2112     UINT64_C(2948595712),	// S2_storerd_pbr
 2113     UINT64_C(2847932416),	// S2_storerd_pci
 2114     UINT64_C(2847932418),	// S2_storerd_pcr
 2115     UINT64_C(2881486848),	// S2_storerd_pi
 2116     UINT64_C(2915041280),	// S2_storerd_pr
 2117     UINT64_C(1220542464),	// S2_storerdgp
 2118     UINT64_C(2707423232),	// S2_storerf_io
 2119     UINT64_C(2942304256),	// S2_storerf_pbr
 2120     UINT64_C(2841640960),	// S2_storerf_pci
 2121     UINT64_C(2841640962),	// S2_storerf_pcr
 2122     UINT64_C(2875195392),	// S2_storerf_pi
 2123     UINT64_C(2908749824),	// S2_storerf_pr
 2124     UINT64_C(1214251008),	// S2_storerfgp
 2125     UINT64_C(2705326080),	// S2_storerh_io
 2126     UINT64_C(2940207104),	// S2_storerh_pbr
 2127     UINT64_C(2839543808),	// S2_storerh_pci
 2128     UINT64_C(2839543810),	// S2_storerh_pcr
 2129     UINT64_C(2873098240),	// S2_storerh_pi
 2130     UINT64_C(2906652672),	// S2_storerh_pr
 2131     UINT64_C(1212153856),	// S2_storerhgp
 2132     UINT64_C(2711619584),	// S2_storerhnew_io
 2133     UINT64_C(2946500608),	// S2_storerhnew_pbr
 2134     UINT64_C(2845837312),	// S2_storerhnew_pci
 2135     UINT64_C(2845837314),	// S2_storerhnew_pcr
 2136     UINT64_C(2879391744),	// S2_storerhnew_pi
 2137     UINT64_C(2912946176),	// S2_storerhnew_pr
 2138     UINT64_C(1218447360),	// S2_storerhnewgp
 2139     UINT64_C(2709520384),	// S2_storeri_io
 2140     UINT64_C(2944401408),	// S2_storeri_pbr
 2141     UINT64_C(2843738112),	// S2_storeri_pci
 2142     UINT64_C(2843738114),	// S2_storeri_pcr
 2143     UINT64_C(2877292544),	// S2_storeri_pi
 2144     UINT64_C(2910846976),	// S2_storeri_pr
 2145     UINT64_C(1216348160),	// S2_storerigp
 2146     UINT64_C(2711621632),	// S2_storerinew_io
 2147     UINT64_C(2946502656),	// S2_storerinew_pbr
 2148     UINT64_C(2845839360),	// S2_storerinew_pci
 2149     UINT64_C(2845839362),	// S2_storerinew_pcr
 2150     UINT64_C(2879393792),	// S2_storerinew_pi
 2151     UINT64_C(2912948224),	// S2_storerinew_pr
 2152     UINT64_C(1218449408),	// S2_storerinewgp
 2153     UINT64_C(2694840320),	// S2_storew_locked
 2154     UINT64_C(2357198848),	// S2_svsathb
 2155     UINT64_C(2357198912),	// S2_svsathub
 2156     UINT64_C(2264924160),	// S2_tableidxb
 2157     UINT64_C(2277507072),	// S2_tableidxd
 2158     UINT64_C(2269118464),	// S2_tableidxh
 2159     UINT64_C(2273312768),	// S2_tableidxw
 2160     UINT64_C(2361393216),	// S2_togglebit_i
 2161     UINT64_C(3330277504),	// S2_togglebit_r
 2162     UINT64_C(2231369728),	// S2_tstbit_i
 2163     UINT64_C(3338665984),	// S2_tstbit_r
 2164     UINT64_C(3221225472),	// S2_valignib
 2165     UINT64_C(3254779904),	// S2_valignrb
 2166     UINT64_C(3284140096),	// S2_vcnegh
 2167     UINT64_C(3284140032),	// S2_vcrotate
 2168     UINT64_C(3407880416),	// S2_vrcnegh
 2169     UINT64_C(2290090112),	// S2_vrndpackwh
 2170     UINT64_C(2290090176),	// S2_vrndpackwhs
 2171     UINT64_C(2281701568),	// S2_vsathb
 2172     UINT64_C(2147483872),	// S2_vsathb_nopack
 2173     UINT64_C(2281701376),	// S2_vsathub
 2174     UINT64_C(2147483776),	// S2_vsathub_nopack
 2175     UINT64_C(2281701440),	// S2_vsatwh
 2176     UINT64_C(2147483840),	// S2_vsatwh_nopack
 2177     UINT64_C(2281701504),	// S2_vsatwuh
 2178     UINT64_C(2147483808),	// S2_vsatwuh_nopack
 2179     UINT64_C(2353004768),	// S2_vsplatrb
 2180     UINT64_C(2218786880),	// S2_vsplatrh
 2181     UINT64_C(3229614080),	// S2_vspliceib
 2182     UINT64_C(3263168512),	// S2_vsplicerb
 2183     UINT64_C(2214592512),	// S2_vsxtbh
 2184     UINT64_C(2214592640),	// S2_vsxthw
 2185     UINT64_C(2290090048),	// S2_vtrunehb
 2186     UINT64_C(3246391360),	// S2_vtrunewh
 2187     UINT64_C(2290089984),	// S2_vtrunohb
 2188     UINT64_C(3246391424),	// S2_vtrunowh
 2189     UINT64_C(2214592576),	// S2_vzxtbh
 2190     UINT64_C(2214592704),	// S2_vzxthw
 2191     UINT64_C(3674210304),	// S4_addaddi
 2192     UINT64_C(3724541956),	// S4_addi_asl_ri
 2193     UINT64_C(3724541972),	// S4_addi_lsr_ri
 2194     UINT64_C(3724541952),	// S4_andi_asl_ri
 2195     UINT64_C(3724541968),	// S4_andi_lsr_ri
 2196     UINT64_C(2350907392),	// S4_clbaddi
 2197     UINT64_C(2287992896),	// S4_clbpaddi
 2198     UINT64_C(2287992832),	// S4_clbpnorm
 2199     UINT64_C(2373976064),	// S4_extract
 2200     UINT64_C(3372220480),	// S4_extract_rp
 2201     UINT64_C(2315255808),	// S4_extractp
 2202     UINT64_C(3250585728),	// S4_extractp_rp
 2203     UINT64_C(3330277568),	// S4_lsli
 2204     UINT64_C(2233466880),	// S4_ntstbit_i
 2205     UINT64_C(3340763136),	// S4_ntstbit_r
 2206     UINT64_C(3657433088),	// S4_or_andi
 2207     UINT64_C(3661627392),	// S4_or_andix
 2208     UINT64_C(3665821696),	// S4_or_ori
 2209     UINT64_C(3724541954),	// S4_ori_asl_ri
 2210     UINT64_C(3724541970),	// S4_ori_lsr_ri
 2211     UINT64_C(3588227072),	// S4_parity
 2212     UINT64_C(2936012932),	// S4_pstorerbf_abs
 2213     UINT64_C(889192448),	// S4_pstorerbf_rr
 2214     UINT64_C(2936021124),	// S4_pstorerbfnew_abs
 2215     UINT64_C(1174405120),	// S4_pstorerbfnew_io
 2216     UINT64_C(922746880),	// S4_pstorerbfnew_rr
 2217     UINT64_C(2946498692),	// S4_pstorerbnewf_abs
 2218     UINT64_C(899678208),	// S4_pstorerbnewf_rr
 2219     UINT64_C(2946506884),	// S4_pstorerbnewfnew_abs
 2220     UINT64_C(1184890880),	// S4_pstorerbnewfnew_io
 2221     UINT64_C(933232640),	// S4_pstorerbnewfnew_rr
 2222     UINT64_C(2946498688),	// S4_pstorerbnewt_abs
 2223     UINT64_C(882900992),	// S4_pstorerbnewt_rr
 2224     UINT64_C(2946506880),	// S4_pstorerbnewtnew_abs
 2225     UINT64_C(1117782016),	// S4_pstorerbnewtnew_io
 2226     UINT64_C(916455424),	// S4_pstorerbnewtnew_rr
 2227     UINT64_C(2936012928),	// S4_pstorerbt_abs
 2228     UINT64_C(872415232),	// S4_pstorerbt_rr
 2229     UINT64_C(2936021120),	// S4_pstorerbtnew_abs
 2230     UINT64_C(1107296256),	// S4_pstorerbtnew_io
 2231     UINT64_C(905969664),	// S4_pstorerbtnew_rr
 2232     UINT64_C(2948595844),	// S4_pstorerdf_abs
 2233     UINT64_C(901775360),	// S4_pstorerdf_rr
 2234     UINT64_C(2948604036),	// S4_pstorerdfnew_abs
 2235     UINT64_C(1186988032),	// S4_pstorerdfnew_io
 2236     UINT64_C(935329792),	// S4_pstorerdfnew_rr
 2237     UINT64_C(2948595840),	// S4_pstorerdt_abs
 2238     UINT64_C(884998144),	// S4_pstorerdt_rr
 2239     UINT64_C(2948604032),	// S4_pstorerdtnew_abs
 2240     UINT64_C(1119879168),	// S4_pstorerdtnew_io
 2241     UINT64_C(918552576),	// S4_pstorerdtnew_rr
 2242     UINT64_C(2942304388),	// S4_pstorerff_abs
 2243     UINT64_C(895483904),	// S4_pstorerff_rr
 2244     UINT64_C(2942312580),	// S4_pstorerffnew_abs
 2245     UINT64_C(1180696576),	// S4_pstorerffnew_io
 2246     UINT64_C(929038336),	// S4_pstorerffnew_rr
 2247     UINT64_C(2942304384),	// S4_pstorerft_abs
 2248     UINT64_C(878706688),	// S4_pstorerft_rr
 2249     UINT64_C(2942312576),	// S4_pstorerftnew_abs
 2250     UINT64_C(1113587712),	// S4_pstorerftnew_io
 2251     UINT64_C(912261120),	// S4_pstorerftnew_rr
 2252     UINT64_C(2940207236),	// S4_pstorerhf_abs
 2253     UINT64_C(893386752),	// S4_pstorerhf_rr
 2254     UINT64_C(2940215428),	// S4_pstorerhfnew_abs
 2255     UINT64_C(1178599424),	// S4_pstorerhfnew_io
 2256     UINT64_C(926941184),	// S4_pstorerhfnew_rr
 2257     UINT64_C(2946500740),	// S4_pstorerhnewf_abs
 2258     UINT64_C(899678216),	// S4_pstorerhnewf_rr
 2259     UINT64_C(2946508932),	// S4_pstorerhnewfnew_abs
 2260     UINT64_C(1184892928),	// S4_pstorerhnewfnew_io
 2261     UINT64_C(933232648),	// S4_pstorerhnewfnew_rr
 2262     UINT64_C(2946500736),	// S4_pstorerhnewt_abs
 2263     UINT64_C(882901000),	// S4_pstorerhnewt_rr
 2264     UINT64_C(2946508928),	// S4_pstorerhnewtnew_abs
 2265     UINT64_C(1117784064),	// S4_pstorerhnewtnew_io
 2266     UINT64_C(916455432),	// S4_pstorerhnewtnew_rr
 2267     UINT64_C(2940207232),	// S4_pstorerht_abs
 2268     UINT64_C(876609536),	// S4_pstorerht_rr
 2269     UINT64_C(2940215424),	// S4_pstorerhtnew_abs
 2270     UINT64_C(1111490560),	// S4_pstorerhtnew_io
 2271     UINT64_C(910163968),	// S4_pstorerhtnew_rr
 2272     UINT64_C(2944401540),	// S4_pstorerif_abs
 2273     UINT64_C(897581056),	// S4_pstorerif_rr
 2274     UINT64_C(2944409732),	// S4_pstorerifnew_abs
 2275     UINT64_C(1182793728),	// S4_pstorerifnew_io
 2276     UINT64_C(931135488),	// S4_pstorerifnew_rr
 2277     UINT64_C(2946502788),	// S4_pstorerinewf_abs
 2278     UINT64_C(899678224),	// S4_pstorerinewf_rr
 2279     UINT64_C(2946510980),	// S4_pstorerinewfnew_abs
 2280     UINT64_C(1184894976),	// S4_pstorerinewfnew_io
 2281     UINT64_C(933232656),	// S4_pstorerinewfnew_rr
 2282     UINT64_C(2946502784),	// S4_pstorerinewt_abs
 2283     UINT64_C(882901008),	// S4_pstorerinewt_rr
 2284     UINT64_C(2946510976),	// S4_pstorerinewtnew_abs
 2285     UINT64_C(1117786112),	// S4_pstorerinewtnew_io
 2286     UINT64_C(916455440),	// S4_pstorerinewtnew_rr
 2287     UINT64_C(2944401536),	// S4_pstorerit_abs
 2288     UINT64_C(880803840),	// S4_pstorerit_rr
 2289     UINT64_C(2944409728),	// S4_pstoreritnew_abs
 2290     UINT64_C(1115684864),	// S4_pstoreritnew_io
 2291     UINT64_C(914358272),	// S4_pstoreritnew_rr
 2292     UINT64_C(2699034624),	// S4_stored_locked
 2293     UINT64_C(1006632960),	// S4_storeirb_io
 2294     UINT64_C(947912704),	// S4_storeirbf_io
 2295     UINT64_C(964689920),	// S4_storeirbfnew_io
 2296     UINT64_C(939524096),	// S4_storeirbt_io
 2297     UINT64_C(956301312),	// S4_storeirbtnew_io
 2298     UINT64_C(1008730112),	// S4_storeirh_io
 2299     UINT64_C(950009856),	// S4_storeirhf_io
 2300     UINT64_C(966787072),	// S4_storeirhfnew_io
 2301     UINT64_C(941621248),	// S4_storeirht_io
 2302     UINT64_C(958398464),	// S4_storeirhtnew_io
 2303     UINT64_C(1010827264),	// S4_storeiri_io
 2304     UINT64_C(952107008),	// S4_storeirif_io
 2305     UINT64_C(968884224),	// S4_storeirifnew_io
 2306     UINT64_C(943718400),	// S4_storeirit_io
 2307     UINT64_C(960495616),	// S4_storeiritnew_io
 2308     UINT64_C(2868904064),	// S4_storerb_ap
 2309     UINT64_C(989855744),	// S4_storerb_rr
 2310     UINT64_C(2902458496),	// S4_storerb_ur
 2311     UINT64_C(2879389824),	// S4_storerbnew_ap
 2312     UINT64_C(1000341504),	// S4_storerbnew_rr
 2313     UINT64_C(2912944256),	// S4_storerbnew_ur
 2314     UINT64_C(2881486976),	// S4_storerd_ap
 2315     UINT64_C(1002438656),	// S4_storerd_rr
 2316     UINT64_C(2915041408),	// S4_storerd_ur
 2317     UINT64_C(2875195520),	// S4_storerf_ap
 2318     UINT64_C(996147200),	// S4_storerf_rr
 2319     UINT64_C(2908749952),	// S4_storerf_ur
 2320     UINT64_C(2873098368),	// S4_storerh_ap
 2321     UINT64_C(994050048),	// S4_storerh_rr
 2322     UINT64_C(2906652800),	// S4_storerh_ur
 2323     UINT64_C(2879391872),	// S4_storerhnew_ap
 2324     UINT64_C(1000341512),	// S4_storerhnew_rr
 2325     UINT64_C(2912946304),	// S4_storerhnew_ur
 2326     UINT64_C(2877292672),	// S4_storeri_ap
 2327     UINT64_C(998244352),	// S4_storeri_rr
 2328     UINT64_C(2910847104),	// S4_storeri_ur
 2329     UINT64_C(2879393920),	// S4_storerinew_ap
 2330     UINT64_C(1000341520),	// S4_storerinew_rr
 2331     UINT64_C(2912948352),	// S4_storerinew_ur
 2332     UINT64_C(3682598912),	// S4_subaddi
 2333     UINT64_C(3724541958),	// S4_subi_asl_ri
 2334     UINT64_C(3724541974),	// S4_subi_lsr_ri
 2335     UINT64_C(3284140224),	// S4_vrcrotate
 2336     UINT64_C(3416260608),	// S4_vrcrotate_acc
 2337     UINT64_C(3242197120),	// S4_vxaddsubh
 2338     UINT64_C(3250585600),	// S4_vxaddsubhr
 2339     UINT64_C(3242196992),	// S4_vxaddsubw
 2340     UINT64_C(3242197184),	// S4_vxsubaddh
 2341     UINT64_C(3250585664),	// S4_vxsubaddhr
 2342     UINT64_C(3242197056),	// S4_vxsubaddw
 2343     UINT64_C(2287992960),	// S5_asrhub_rnd_sat
 2344     UINT64_C(2287992992),	// S5_asrhub_sat
 2345     UINT64_C(2287992928),	// S5_popcountp
 2346     UINT64_C(2149580800),	// S5_vasrhrnd
 2347     UINT64_C(2147483744),	// S6_rol_i_p
 2348     UINT64_C(2181038304),	// S6_rol_i_p_acc
 2349     UINT64_C(2185232480),	// S6_rol_i_p_and
 2350     UINT64_C(2181038176),	// S6_rol_i_p_nac
 2351     UINT64_C(2185232608),	// S6_rol_i_p_or
 2352     UINT64_C(2189426784),	// S6_rol_i_p_xacc
 2353     UINT64_C(2348810336),	// S6_rol_i_r
 2354     UINT64_C(2382364896),	// S6_rol_i_r_acc
 2355     UINT64_C(2386559072),	// S6_rol_i_r_and
 2356     UINT64_C(2382364768),	// S6_rol_i_r_nac
 2357     UINT64_C(2386559200),	// S6_rol_i_r_or
 2358     UINT64_C(2390753376),	// S6_rol_i_r_xacc
 2359     UINT64_C(2218786944),	// S6_vsplatrbp
 2360     UINT64_C(3246391392),	// S6_vtrunehb_ppp
 2361     UINT64_C(3246391456),	// S6_vtrunohb_ppp
 2362     UINT64_C(0),	// SA1_addi
 2363     UINT64_C(6144),	// SA1_addrx
 2364     UINT64_C(3072),	// SA1_addsp
 2365     UINT64_C(4608),	// SA1_and1
 2366     UINT64_C(6768),	// SA1_clrf
 2367     UINT64_C(6736),	// SA1_clrfnew
 2368     UINT64_C(6752),	// SA1_clrt
 2369     UINT64_C(6720),	// SA1_clrtnew
 2370     UINT64_C(6400),	// SA1_cmpeqi
 2371     UINT64_C(7168),	// SA1_combine0i
 2372     UINT64_C(7176),	// SA1_combine1i
 2373     UINT64_C(7184),	// SA1_combine2i
 2374     UINT64_C(7192),	// SA1_combine3i
 2375     UINT64_C(7432),	// SA1_combinerz
 2376     UINT64_C(7424),	// SA1_combinezr
 2377     UINT64_C(4864),	// SA1_dec
 2378     UINT64_C(4352),	// SA1_inc
 2379     UINT64_C(2048),	// SA1_seti
 2380     UINT64_C(6656),	// SA1_setin1
 2381     UINT64_C(5376),	// SA1_sxtb
 2382     UINT64_C(5120),	// SA1_sxth
 2383     UINT64_C(4096),	// SA1_tfr
 2384     UINT64_C(5888),	// SA1_zxtb
 2385     UINT64_C(5632),	// SA1_zxth
 2386     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4
 2387     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4STK
 2388     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4STK_EXT
 2389     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4STK_EXT_PIC
 2390     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4STK_PIC
 2391     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4_EXT
 2392     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4_EXT_PIC
 2393     UINT64_C(1509949440),	// SAVE_REGISTERS_CALL_V4_PIC
 2394     UINT64_C(0),	// SL1_loadri_io
 2395     UINT64_C(4096),	// SL1_loadrub_io
 2396     UINT64_C(7936),	// SL2_deallocframe
 2397     UINT64_C(8128),	// SL2_jumpr31
 2398     UINT64_C(8133),	// SL2_jumpr31_f
 2399     UINT64_C(8135),	// SL2_jumpr31_fnew
 2400     UINT64_C(8132),	// SL2_jumpr31_t
 2401     UINT64_C(8134),	// SL2_jumpr31_tnew
 2402     UINT64_C(4096),	// SL2_loadrb_io
 2403     UINT64_C(7680),	// SL2_loadrd_sp
 2404     UINT64_C(0),	// SL2_loadrh_io
 2405     UINT64_C(7168),	// SL2_loadri_sp
 2406     UINT64_C(2048),	// SL2_loadruh_io
 2407     UINT64_C(8000),	// SL2_return
 2408     UINT64_C(8005),	// SL2_return_f
 2409     UINT64_C(8007),	// SL2_return_fnew
 2410     UINT64_C(8004),	// SL2_return_t
 2411     UINT64_C(8006),	// SL2_return_tnew
 2412     UINT64_C(4096),	// SS1_storeb_io
 2413     UINT64_C(0),	// SS1_storew_io
 2414     UINT64_C(7168),	// SS2_allocframe
 2415     UINT64_C(4608),	// SS2_storebi0
 2416     UINT64_C(4864),	// SS2_storebi1
 2417     UINT64_C(2560),	// SS2_stored_sp
 2418     UINT64_C(0),	// SS2_storeh_io
 2419     UINT64_C(2048),	// SS2_storew_sp
 2420     UINT64_C(4096),	// SS2_storewi0
 2421     UINT64_C(4352),	// SS2_storewi1
 2422     UINT64_C(0),	// TFRI64_V2_ext
 2423     UINT64_C(0),	// TFRI64_V4
 2424     UINT64_C(2449473568),	// V6_extractw
 2425     UINT64_C(432013376),	// V6_lvsplatb
 2426     UINT64_C(432013344),	// V6_lvsplath
 2427     UINT64_C(429916192),	// V6_lvsplatw
 2428     UINT64_C(503513088),	// V6_pred_and
 2429     UINT64_C(503513108),	// V6_pred_and_n
 2430     UINT64_C(503513096),	// V6_pred_not
 2431     UINT64_C(503513092),	// V6_pred_or
 2432     UINT64_C(503513104),	// V6_pred_or_n
 2433     UINT64_C(429916228),	// V6_pred_scalar2
 2434     UINT64_C(429916236),	// V6_pred_scalar2v2
 2435     UINT64_C(503513100),	// V6_pred_xor
 2436     UINT64_C(503513112),	// V6_shuffeqh
 2437     UINT64_C(503513116),	// V6_shuffeqw
 2438     UINT64_C(671088864),	// V6_vL32Ub_ai
 2439     UINT64_C(687866080),	// V6_vL32Ub_pi
 2440     UINT64_C(721420512),	// V6_vL32Ub_ppu
 2441     UINT64_C(671088640),	// V6_vL32b_ai
 2442     UINT64_C(671088672),	// V6_vL32b_cur_ai
 2443     UINT64_C(679477408),	// V6_vL32b_cur_npred_ai
 2444     UINT64_C(696254624),	// V6_vL32b_cur_npred_pi
 2445     UINT64_C(729809056),	// V6_vL32b_cur_npred_ppu
 2446     UINT64_C(687865888),	// V6_vL32b_cur_pi
 2447     UINT64_C(721420320),	// V6_vL32b_cur_ppu
 2448     UINT64_C(679477376),	// V6_vL32b_cur_pred_ai
 2449     UINT64_C(696254592),	// V6_vL32b_cur_pred_pi
 2450     UINT64_C(729809024),	// V6_vL32b_cur_pred_ppu
 2451     UINT64_C(679477344),	// V6_vL32b_npred_ai
 2452     UINT64_C(696254560),	// V6_vL32b_npred_pi
 2453     UINT64_C(729808992),	// V6_vL32b_npred_ppu
 2454     UINT64_C(675282944),	// V6_vL32b_nt_ai
 2455     UINT64_C(675282976),	// V6_vL32b_nt_cur_ai
 2456     UINT64_C(683671712),	// V6_vL32b_nt_cur_npred_ai
 2457     UINT64_C(700448928),	// V6_vL32b_nt_cur_npred_pi
 2458     UINT64_C(734003360),	// V6_vL32b_nt_cur_npred_ppu
 2459     UINT64_C(692060192),	// V6_vL32b_nt_cur_pi
 2460     UINT64_C(725614624),	// V6_vL32b_nt_cur_ppu
 2461     UINT64_C(683671680),	// V6_vL32b_nt_cur_pred_ai
 2462     UINT64_C(700448896),	// V6_vL32b_nt_cur_pred_pi
 2463     UINT64_C(734003328),	// V6_vL32b_nt_cur_pred_ppu
 2464     UINT64_C(683671648),	// V6_vL32b_nt_npred_ai
 2465     UINT64_C(700448864),	// V6_vL32b_nt_npred_pi
 2466     UINT64_C(734003296),	// V6_vL32b_nt_npred_ppu
 2467     UINT64_C(692060160),	// V6_vL32b_nt_pi
 2468     UINT64_C(725614592),	// V6_vL32b_nt_ppu
 2469     UINT64_C(683671616),	// V6_vL32b_nt_pred_ai
 2470     UINT64_C(700448832),	// V6_vL32b_nt_pred_pi
 2471     UINT64_C(734003264),	// V6_vL32b_nt_pred_ppu
 2472     UINT64_C(675283008),	// V6_vL32b_nt_tmp_ai
 2473     UINT64_C(683671776),	// V6_vL32b_nt_tmp_npred_ai
 2474     UINT64_C(700448992),	// V6_vL32b_nt_tmp_npred_pi
 2475     UINT64_C(734003424),	// V6_vL32b_nt_tmp_npred_ppu
 2476     UINT64_C(692060224),	// V6_vL32b_nt_tmp_pi
 2477     UINT64_C(725614656),	// V6_vL32b_nt_tmp_ppu
 2478     UINT64_C(683671744),	// V6_vL32b_nt_tmp_pred_ai
 2479     UINT64_C(700448960),	// V6_vL32b_nt_tmp_pred_pi
 2480     UINT64_C(734003392),	// V6_vL32b_nt_tmp_pred_ppu
 2481     UINT64_C(687865856),	// V6_vL32b_pi
 2482     UINT64_C(721420288),	// V6_vL32b_ppu
 2483     UINT64_C(679477312),	// V6_vL32b_pred_ai
 2484     UINT64_C(696254528),	// V6_vL32b_pred_pi
 2485     UINT64_C(729808960),	// V6_vL32b_pred_ppu
 2486     UINT64_C(671088704),	// V6_vL32b_tmp_ai
 2487     UINT64_C(679477472),	// V6_vL32b_tmp_npred_ai
 2488     UINT64_C(696254688),	// V6_vL32b_tmp_npred_pi
 2489     UINT64_C(729809120),	// V6_vL32b_tmp_npred_ppu
 2490     UINT64_C(687865920),	// V6_vL32b_tmp_pi
 2491     UINT64_C(721420352),	// V6_vL32b_tmp_ppu
 2492     UINT64_C(679477440),	// V6_vL32b_tmp_pred_ai
 2493     UINT64_C(696254656),	// V6_vL32b_tmp_pred_pi
 2494     UINT64_C(729809088),	// V6_vL32b_tmp_pred_ppu
 2495     UINT64_C(673186016),	// V6_vS32Ub_ai
 2496     UINT64_C(681574624),	// V6_vS32Ub_npred_ai
 2497     UINT64_C(698351840),	// V6_vS32Ub_npred_pi
 2498     UINT64_C(731906272),	// V6_vS32Ub_npred_ppu
 2499     UINT64_C(689963232),	// V6_vS32Ub_pi
 2500     UINT64_C(723517664),	// V6_vS32Ub_ppu
 2501     UINT64_C(681574592),	// V6_vS32Ub_pred_ai
 2502     UINT64_C(698351808),	// V6_vS32Ub_pred_pi
 2503     UINT64_C(731906240),	// V6_vS32Ub_pred_ppu
 2504     UINT64_C(673185792),	// V6_vS32b_ai
 2505     UINT64_C(673185824),	// V6_vS32b_new_ai
 2506     UINT64_C(681574504),	// V6_vS32b_new_npred_ai
 2507     UINT64_C(698351720),	// V6_vS32b_new_npred_pi
 2508     UINT64_C(731906152),	// V6_vS32b_new_npred_ppu
 2509     UINT64_C(689963040),	// V6_vS32b_new_pi
 2510     UINT64_C(723517472),	// V6_vS32b_new_ppu
 2511     UINT64_C(681574464),	// V6_vS32b_new_pred_ai
 2512     UINT64_C(698351680),	// V6_vS32b_new_pred_pi
 2513     UINT64_C(731906112),	// V6_vS32b_new_pred_ppu
 2514     UINT64_C(681574432),	// V6_vS32b_npred_ai
 2515     UINT64_C(698351648),	// V6_vS32b_npred_pi
 2516     UINT64_C(731906080),	// V6_vS32b_npred_ppu
 2517     UINT64_C(679477280),	// V6_vS32b_nqpred_ai
 2518     UINT64_C(696254496),	// V6_vS32b_nqpred_pi
 2519     UINT64_C(729808928),	// V6_vS32b_nqpred_ppu
 2520     UINT64_C(677380096),	// V6_vS32b_nt_ai
 2521     UINT64_C(677380128),	// V6_vS32b_nt_new_ai
 2522     UINT64_C(685768824),	// V6_vS32b_nt_new_npred_ai
 2523     UINT64_C(702546040),	// V6_vS32b_nt_new_npred_pi
 2524     UINT64_C(736100472),	// V6_vS32b_nt_new_npred_ppu
 2525     UINT64_C(694157344),	// V6_vS32b_nt_new_pi
 2526     UINT64_C(727711776),	// V6_vS32b_nt_new_ppu
 2527     UINT64_C(685768784),	// V6_vS32b_nt_new_pred_ai
 2528     UINT64_C(702546000),	// V6_vS32b_nt_new_pred_pi
 2529     UINT64_C(736100432),	// V6_vS32b_nt_new_pred_ppu
 2530     UINT64_C(685768736),	// V6_vS32b_nt_npred_ai
 2531     UINT64_C(702545952),	// V6_vS32b_nt_npred_pi
 2532     UINT64_C(736100384),	// V6_vS32b_nt_npred_ppu
 2533     UINT64_C(683671584),	// V6_vS32b_nt_nqpred_ai
 2534     UINT64_C(700448800),	// V6_vS32b_nt_nqpred_pi
 2535     UINT64_C(734003232),	// V6_vS32b_nt_nqpred_ppu
 2536     UINT64_C(694157312),	// V6_vS32b_nt_pi
 2537     UINT64_C(727711744),	// V6_vS32b_nt_ppu
 2538     UINT64_C(685768704),	// V6_vS32b_nt_pred_ai
 2539     UINT64_C(702545920),	// V6_vS32b_nt_pred_pi
 2540     UINT64_C(736100352),	// V6_vS32b_nt_pred_ppu
 2541     UINT64_C(683671552),	// V6_vS32b_nt_qpred_ai
 2542     UINT64_C(700448768),	// V6_vS32b_nt_qpred_pi
 2543     UINT64_C(734003200),	// V6_vS32b_nt_qpred_ppu
 2544     UINT64_C(689963008),	// V6_vS32b_pi
 2545     UINT64_C(723517440),	// V6_vS32b_ppu
 2546     UINT64_C(681574400),	// V6_vS32b_pred_ai
 2547     UINT64_C(698351616),	// V6_vS32b_pred_pi
 2548     UINT64_C(731906048),	// V6_vS32b_pred_ppu
 2549     UINT64_C(679477248),	// V6_vS32b_qpred_ai
 2550     UINT64_C(696254464),	// V6_vS32b_qpred_pi
 2551     UINT64_C(729808896),	// V6_vS32b_qpred_ppu
 2552     UINT64_C(673185832),	// V6_vS32b_srls_ai
 2553     UINT64_C(689963048),	// V6_vS32b_srls_pi
 2554     UINT64_C(723517480),	// V6_vS32b_srls_ppu
 2555     UINT64_C(503382144),	// V6_vabsb
 2556     UINT64_C(503382176),	// V6_vabsb_sat
 2557     UINT64_C(482344992),	// V6_vabsdiffh
 2558     UINT64_C(482344960),	// V6_vabsdiffub
 2559     UINT64_C(482345024),	// V6_vabsdiffuh
 2560     UINT64_C(482345056),	// V6_vabsdiffw
 2561     UINT64_C(503316480),	// V6_vabsh
 2562     UINT64_C(503316512),	// V6_vabsh_sat
 2563     UINT64_C(503316544),	// V6_vabsw
 2564     UINT64_C(503316576),	// V6_vabsw_sat
 2565     UINT64_C(530579648),	// V6_vaddb
 2566     UINT64_C(476053632),	// V6_vaddb_dv
 2567     UINT64_C(503390304),	// V6_vaddbnq
 2568     UINT64_C(503390208),	// V6_vaddbq
 2569     UINT64_C(520093696),	// V6_vaddbsat
 2570     UINT64_C(513802240),	// V6_vaddbsat_dv
 2571     UINT64_C(480256000),	// V6_vaddcarry
 2572     UINT64_C(497033216),	// V6_vaddcarryo
 2573     UINT64_C(494936064),	// V6_vaddcarrysat
 2574     UINT64_C(520101888),	// V6_vaddclbh
 2575     UINT64_C(520101920),	// V6_vaddclbw
 2576     UINT64_C(530579680),	// V6_vaddh
 2577     UINT64_C(476053664),	// V6_vaddh_dv
 2578     UINT64_C(503390336),	// V6_vaddhnq
 2579     UINT64_C(503390240),	// V6_vaddhq
 2580     UINT64_C(473956448),	// V6_vaddhsat
 2581     UINT64_C(478150688),	// V6_vaddhsat_dv
 2582     UINT64_C(480247936),	// V6_vaddhw
 2583     UINT64_C(471867456),	// V6_vaddhw_acc
 2584     UINT64_C(480247872),	// V6_vaddubh
 2585     UINT64_C(473964704),	// V6_vaddubh_acc
 2586     UINT64_C(473956384),	// V6_vaddubsat
 2587     UINT64_C(476053728),	// V6_vaddubsat_dv
 2588     UINT64_C(513802368),	// V6_vaddububb_sat
 2589     UINT64_C(473956416),	// V6_vadduhsat
 2590     UINT64_C(478150656),	// V6_vadduhsat_dv
 2591     UINT64_C(480247904),	// V6_vadduhw
 2592     UINT64_C(473964672),	// V6_vadduhw_acc
 2593     UINT64_C(526385184),	// V6_vadduwsat
 2594     UINT64_C(513802304),	// V6_vadduwsat_dv
 2595     UINT64_C(473956352),	// V6_vaddw
 2596     UINT64_C(476053696),	// V6_vaddw_dv
 2597     UINT64_C(503390368),	// V6_vaddwnq
 2598     UINT64_C(503390272),	// V6_vaddwq
 2599     UINT64_C(473956480),	// V6_vaddwsat
 2600     UINT64_C(478150720),	// V6_vaddwsat_dv
 2601     UINT64_C(452984832),	// V6_valignb
 2602     UINT64_C(505421824),	// V6_valignbi
 2603     UINT64_C(471859360),	// V6_vand
 2604     UINT64_C(429917344),	// V6_vandnqrt
 2605     UINT64_C(425731168),	// V6_vandnqrt_acc
 2606     UINT64_C(429916320),	// V6_vandqrt
 2607     UINT64_C(425730144),	// V6_vandqrt_acc
 2608     UINT64_C(503521312),	// V6_vandvnqv
 2609     UINT64_C(503521280),	// V6_vandvqv
 2610     UINT64_C(429916232),	// V6_vandvrt
 2611     UINT64_C(425730176),	// V6_vandvrt_acc
 2612     UINT64_C(427819008),	// V6_vaslh
 2613     UINT64_C(429924512),	// V6_vaslh_acc
 2614     UINT64_C(530579616),	// V6_vaslhv
 2615     UINT64_C(425722080),	// V6_vaslw
 2616     UINT64_C(425730112),	// V6_vaslw_acc
 2617     UINT64_C(530579584),	// V6_vaslwv
 2618     UINT64_C(446701792),	// V6_vasr_into
 2619     UINT64_C(425722048),	// V6_vasrh
 2620     UINT64_C(427827424),	// V6_vasrh_acc
 2621     UINT64_C(452993024),	// V6_vasrhbrndsat
 2622     UINT64_C(402653184),	// V6_vasrhbsat
 2623     UINT64_C(452985056),	// V6_vasrhubrndsat
 2624     UINT64_C(452985024),	// V6_vasrhubsat
 2625     UINT64_C(530579552),	// V6_vasrhv
 2626     UINT64_C(402653408),	// V6_vasruhubrndsat
 2627     UINT64_C(402661536),	// V6_vasruhubsat
 2628     UINT64_C(402653216),	// V6_vasruwuhrndsat
 2629     UINT64_C(402661504),	// V6_vasruwuhsat
 2630     UINT64_C(425722016),	// V6_vasrw
 2631     UINT64_C(425730208),	// V6_vasrw_acc
 2632     UINT64_C(452984896),	// V6_vasrwh
 2633     UINT64_C(452984960),	// V6_vasrwhrndsat
 2634     UINT64_C(452984928),	// V6_vasrwhsat
 2635     UINT64_C(402653248),	// V6_vasrwuhrndsat
 2636     UINT64_C(452984992),	// V6_vasrwuhsat
 2637     UINT64_C(530579456),	// V6_vasrwv
 2638     UINT64_C(503521504),	// V6_vassign
 2639     UINT64_C(520102016),	// V6_vavgb
 2640     UINT64_C(520102048),	// V6_vavgbrnd
 2641     UINT64_C(482345152),	// V6_vavgh
 2642     UINT64_C(484442272),	// V6_vavghrnd
 2643     UINT64_C(482345088),	// V6_vavgub
 2644     UINT64_C(484442208),	// V6_vavgubrnd
 2645     UINT64_C(482345120),	// V6_vavguh
 2646     UINT64_C(484442240),	// V6_vavguhrnd
 2647     UINT64_C(520101952),	// V6_vavguw
 2648     UINT64_C(520101984),	// V6_vavguwrnd
 2649     UINT64_C(482345184),	// V6_vavgw
 2650     UINT64_C(484442304),	// V6_vavgwrnd
 2651     UINT64_C(442499072),	// V6_vccombine
 2652     UINT64_C(503447776),	// V6_vcl0h
 2653     UINT64_C(503447712),	// V6_vcl0w
 2654     UINT64_C(436207616),	// V6_vcmov
 2655     UINT64_C(524288224),	// V6_vcombine
 2656     UINT64_C(434118720),	// V6_vdeal
 2657     UINT64_C(503316704),	// V6_vdealb
 2658     UINT64_C(522191072),	// V6_vdealb4w
 2659     UINT64_C(503316672),	// V6_vdealh
 2660     UINT64_C(452993152),	// V6_vdealvdd
 2661     UINT64_C(522190880),	// V6_vdelta
 2662     UINT64_C(419430592),	// V6_vdmpybus
 2663     UINT64_C(419438784),	// V6_vdmpybus_acc
 2664     UINT64_C(419430624),	// V6_vdmpybus_dv
 2665     UINT64_C(419438816),	// V6_vdmpybus_dv_acc
 2666     UINT64_C(419430464),	// V6_vdmpyhb
 2667     UINT64_C(419438688),	// V6_vdmpyhb_acc
 2668     UINT64_C(421527680),	// V6_vdmpyhb_dv
 2669     UINT64_C(421535872),	// V6_vdmpyhb_dv_acc
 2670     UINT64_C(421527648),	// V6_vdmpyhisat
 2671     UINT64_C(421535808),	// V6_vdmpyhisat_acc
 2672     UINT64_C(421527616),	// V6_vdmpyhsat
 2673     UINT64_C(421535840),	// V6_vdmpyhsat_acc
 2674     UINT64_C(421527584),	// V6_vdmpyhsuisat
 2675     UINT64_C(421535776),	// V6_vdmpyhsuisat_acc
 2676     UINT64_C(421527552),	// V6_vdmpyhsusat
 2677     UINT64_C(421535744),	// V6_vdmpyhsusat_acc
 2678     UINT64_C(469762144),	// V6_vdmpyhvsat
 2679     UINT64_C(469770336),	// V6_vdmpyhvsat_acc
 2680     UINT64_C(419430560),	// V6_vdsaduh
 2681     UINT64_C(425730048),	// V6_vdsaduh_acc
 2682     UINT64_C(528482304),	// V6_veqb
 2683     UINT64_C(478158848),	// V6_veqb_and
 2684     UINT64_C(478158912),	// V6_veqb_or
 2685     UINT64_C(478158976),	// V6_veqb_xor
 2686     UINT64_C(528482308),	// V6_veqh
 2687     UINT64_C(478158852),	// V6_veqh_and
 2688     UINT64_C(478158916),	// V6_veqh_or
 2689     UINT64_C(478158980),	// V6_veqh_xor
 2690     UINT64_C(528482312),	// V6_veqw
 2691     UINT64_C(478158856),	// V6_veqw_and
 2692     UINT64_C(478158920),	// V6_veqw_or
 2693     UINT64_C(478158984),	// V6_veqw_xor
 2694     UINT64_C(788529408),	// V6_vgathermh
 2695     UINT64_C(788530432),	// V6_vgathermhq
 2696     UINT64_C(788529664),	// V6_vgathermhw
 2697     UINT64_C(788530688),	// V6_vgathermhwq
 2698     UINT64_C(788529152),	// V6_vgathermw
 2699     UINT64_C(788530176),	// V6_vgathermwq
 2700     UINT64_C(528482320),	// V6_vgtb
 2701     UINT64_C(478158864),	// V6_vgtb_and
 2702     UINT64_C(478158928),	// V6_vgtb_or
 2703     UINT64_C(478158992),	// V6_vgtb_xor
 2704     UINT64_C(528482324),	// V6_vgth
 2705     UINT64_C(478158868),	// V6_vgth_and
 2706     UINT64_C(478158932),	// V6_vgth_or
 2707     UINT64_C(478158996),	// V6_vgth_xor
 2708     UINT64_C(528482336),	// V6_vgtub
 2709     UINT64_C(478158880),	// V6_vgtub_and
 2710     UINT64_C(478158944),	// V6_vgtub_or
 2711     UINT64_C(478159008),	// V6_vgtub_xor
 2712     UINT64_C(528482340),	// V6_vgtuh
 2713     UINT64_C(478158884),	// V6_vgtuh_and
 2714     UINT64_C(478158948),	// V6_vgtuh_or
 2715     UINT64_C(478159012),	// V6_vgtuh_xor
 2716     UINT64_C(528482344),	// V6_vgtuw
 2717     UINT64_C(478158888),	// V6_vgtuw_and
 2718     UINT64_C(478158952),	// V6_vgtuw_or
 2719     UINT64_C(478159016),	// V6_vgtuw_xor
 2720     UINT64_C(528482328),	// V6_vgtw
 2721     UINT64_C(478158872),	// V6_vgtw_and
 2722     UINT64_C(478158936),	// V6_vgtw_or
 2723     UINT64_C(478159000),	// V6_vgtw_xor
 2724     UINT64_C(503324800),	// V6_vhist
 2725     UINT64_C(503455872),	// V6_vhistq
 2726     UINT64_C(429924384),	// V6_vinsertwr
 2727     UINT64_C(452984864),	// V6_vlalignb
 2728     UINT64_C(509616128),	// V6_vlalignbi
 2729     UINT64_C(427819104),	// V6_vlsrb
 2730     UINT64_C(427819072),	// V6_vlsrh
 2731     UINT64_C(530579520),	// V6_vlsrhv
 2732     UINT64_C(427819040),	// V6_vlsrw
 2733     UINT64_C(530579488),	// V6_vlsrwv
 2734     UINT64_C(425721984),	// V6_vlut4
 2735     UINT64_C(452993056),	// V6_vlutvvb
 2736     UINT64_C(402653280),	// V6_vlutvvb_nm
 2737     UINT64_C(452993184),	// V6_vlutvvb_oracc
 2738     UINT64_C(482353152),	// V6_vlutvvb_oracci
 2739     UINT64_C(505413632),	// V6_vlutvvbi
 2740     UINT64_C(452993216),	// V6_vlutvwh
 2741     UINT64_C(402653312),	// V6_vlutvwh_nm
 2742     UINT64_C(452993248),	// V6_vlutvwh_oracc
 2743     UINT64_C(484450304),	// V6_vlutvwh_oracci
 2744     UINT64_C(509607936),	// V6_vlutvwhi
 2745     UINT64_C(522191008),	// V6_vmaxb
 2746     UINT64_C(520093920),	// V6_vmaxh
 2747     UINT64_C(520093856),	// V6_vmaxub
 2748     UINT64_C(520093888),	// V6_vmaxuh
 2749     UINT64_C(522190848),	// V6_vmaxw
 2750     UINT64_C(522190976),	// V6_vminb
 2751     UINT64_C(520093792),	// V6_vminh
 2752     UINT64_C(520093728),	// V6_vminub
 2753     UINT64_C(520093760),	// V6_vminuh
 2754     UINT64_C(520093824),	// V6_vminw
 2755     UINT64_C(421527744),	// V6_vmpabus
 2756     UINT64_C(421535936),	// V6_vmpabus_acc
 2757     UINT64_C(471859296),	// V6_vmpabusv
 2758     UINT64_C(425721952),	// V6_vmpabuu
 2759     UINT64_C(429924480),	// V6_vmpabuu_acc
 2760     UINT64_C(484442336),	// V6_vmpabuuv
 2761     UINT64_C(421527776),	// V6_vmpahb
 2762     UINT64_C(421535968),	// V6_vmpahb_acc
 2763     UINT64_C(427827328),	// V6_vmpahhsat
 2764     UINT64_C(427819168),	// V6_vmpauhb
 2765     UINT64_C(427827264),	// V6_vmpauhb_acc
 2766     UINT64_C(427827360),	// V6_vmpauhuhsat
 2767     UINT64_C(427827392),	// V6_vmpsuhuhsat
 2768     UINT64_C(421527712),	// V6_vmpybus
 2769     UINT64_C(421535904),	// V6_vmpybus_acc
 2770     UINT64_C(469762240),	// V6_vmpybusv
 2771     UINT64_C(469770432),	// V6_vmpybusv_acc
 2772     UINT64_C(469762176),	// V6_vmpybv
 2773     UINT64_C(469770368),	// V6_vmpybv_acc
 2774     UINT64_C(534773920),	// V6_vmpyewuh
 2775     UINT64_C(513802432),	// V6_vmpyewuh_64
 2776     UINT64_C(423624704),	// V6_vmpyh
 2777     UINT64_C(429924544),	// V6_vmpyh_acc
 2778     UINT64_C(423632896),	// V6_vmpyhsat_acc
 2779     UINT64_C(423624768),	// V6_vmpyhsrs
 2780     UINT64_C(423624736),	// V6_vmpyhss
 2781     UINT64_C(471859264),	// V6_vmpyhus
 2782     UINT64_C(471867424),	// V6_vmpyhus_acc
 2783     UINT64_C(469762272),	// V6_vmpyhv
 2784     UINT64_C(469770464),	// V6_vmpyhv_acc
 2785     UINT64_C(471859232),	// V6_vmpyhvsrs
 2786     UINT64_C(526385152),	// V6_vmpyieoh
 2787     UINT64_C(473964544),	// V6_vmpyiewh_acc
 2788     UINT64_C(532676608),	// V6_vmpyiewuh
 2789     UINT64_C(471867552),	// V6_vmpyiewuh_acc
 2790     UINT64_C(471859328),	// V6_vmpyih
 2791     UINT64_C(471867520),	// V6_vmpyih_acc
 2792     UINT64_C(425721856),	// V6_vmpyihb
 2793     UINT64_C(425730080),	// V6_vmpyihb_acc
 2794     UINT64_C(532676640),	// V6_vmpyiowh
 2795     UINT64_C(429916160),	// V6_vmpyiwb
 2796     UINT64_C(423632960),	// V6_vmpyiwb_acc
 2797     UINT64_C(427819232),	// V6_vmpyiwh
 2798     UINT64_C(423632992),	// V6_vmpyiwh_acc
 2799     UINT64_C(427819200),	// V6_vmpyiwub
 2800     UINT64_C(427827232),	// V6_vmpyiwub_acc
 2801     UINT64_C(534773984),	// V6_vmpyowh
 2802     UINT64_C(471867488),	// V6_vmpyowh_64_acc
 2803     UINT64_C(524288000),	// V6_vmpyowh_rnd
 2804     UINT64_C(471867616),	// V6_vmpyowh_rnd_sacc
 2805     UINT64_C(471867584),	// V6_vmpyowh_sacc
 2806     UINT64_C(432013312),	// V6_vmpyub
 2807     UINT64_C(427827200),	// V6_vmpyub_acc
 2808     UINT64_C(469762208),	// V6_vmpyubv
 2809     UINT64_C(469770400),	// V6_vmpyubv_acc
 2810     UINT64_C(423624800),	// V6_vmpyuh
 2811     UINT64_C(423632928),	// V6_vmpyuh_acc
 2812     UINT64_C(425721920),	// V6_vmpyuhe
 2813     UINT64_C(427827296),	// V6_vmpyuhe_acc
 2814     UINT64_C(471859200),	// V6_vmpyuhv
 2815     UINT64_C(471867392),	// V6_vmpyuhv_acc
 2816     UINT64_C(518004736),	// V6_vmux
 2817     UINT64_C(520102080),	// V6_vnavgb
 2818     UINT64_C(484442144),	// V6_vnavgh
 2819     UINT64_C(484442112),	// V6_vnavgub
 2820     UINT64_C(484442176),	// V6_vnavgw
 2821     UINT64_C(440401920),	// V6_vnccombine
 2822     UINT64_C(438304768),	// V6_vncmov
 2823     UINT64_C(503513248),	// V6_vnormamth
 2824     UINT64_C(503513216),	// V6_vnormamtw
 2825     UINT64_C(503316608),	// V6_vnot
 2826     UINT64_C(471859392),	// V6_vor
 2827     UINT64_C(532676672),	// V6_vpackeb
 2828     UINT64_C(532676704),	// V6_vpackeh
 2829     UINT64_C(532676800),	// V6_vpackhb_sat
 2830     UINT64_C(532676768),	// V6_vpackhub_sat
 2831     UINT64_C(534773792),	// V6_vpackob
 2832     UINT64_C(534773824),	// V6_vpackoh
 2833     UINT64_C(534773760),	// V6_vpackwh_sat
 2834     UINT64_C(532676832),	// V6_vpackwuh_sat
 2835     UINT64_C(503447744),	// V6_vpopcounth
 2836     UINT64_C(503521344),	// V6_vprefixqb
 2837     UINT64_C(503521600),	// V6_vprefixqh
 2838     UINT64_C(503521856),	// V6_vprefixqw
 2839     UINT64_C(522190944),	// V6_vrdelta
 2840     UINT64_C(432013472),	// V6_vrmpybub_rtt
 2841     UINT64_C(429924352),	// V6_vrmpybub_rtt_acc
 2842     UINT64_C(419430528),	// V6_vrmpybus
 2843     UINT64_C(419438752),	// V6_vrmpybus_acc
 2844     UINT64_C(423624832),	// V6_vrmpybusi
 2845     UINT64_C(423633024),	// V6_vrmpybusi_acc
 2846     UINT64_C(469762112),	// V6_vrmpybusv
 2847     UINT64_C(469770304),	// V6_vrmpybusv_acc
 2848     UINT64_C(469762080),	// V6_vrmpybv
 2849     UINT64_C(469770272),	// V6_vrmpybv_acc
 2850     UINT64_C(419430496),	// V6_vrmpyub
 2851     UINT64_C(419438720),	// V6_vrmpyub_acc
 2852     UINT64_C(432013440),	// V6_vrmpyub_rtt
 2853     UINT64_C(429924576),	// V6_vrmpyub_rtt_acc
 2854     UINT64_C(429916352),	// V6_vrmpyubi
 2855     UINT64_C(425730240),	// V6_vrmpyubi_acc
 2856     UINT64_C(469762048),	// V6_vrmpyubv
 2857     UINT64_C(469770240),	// V6_vrmpyubv_acc
 2858     UINT64_C(434634752),	// V6_vrmpyzbb_rt
 2859     UINT64_C(432021568),	// V6_vrmpyzbb_rt_acc
 2860     UINT64_C(434110464),	// V6_vrmpyzbb_rx
 2861     UINT64_C(432545856),	// V6_vrmpyzbb_rx_acc
 2862     UINT64_C(435683392),	// V6_vrmpyzbub_rt
 2863     UINT64_C(433070112),	// V6_vrmpyzbub_rt_acc
 2864     UINT64_C(435159104),	// V6_vrmpyzbub_rx
 2865     UINT64_C(433594400),	// V6_vrmpyzbub_rx_acc
 2866     UINT64_C(434634784),	// V6_vrmpyzcb_rt
 2867     UINT64_C(432021600),	// V6_vrmpyzcb_rt_acc
 2868     UINT64_C(434110496),	// V6_vrmpyzcb_rx
 2869     UINT64_C(432545888),	// V6_vrmpyzcb_rx_acc
 2870     UINT64_C(434634816),	// V6_vrmpyzcbs_rt
 2871     UINT64_C(432021536),	// V6_vrmpyzcbs_rt_acc
 2872     UINT64_C(434110528),	// V6_vrmpyzcbs_rx
 2873     UINT64_C(432545824),	// V6_vrmpyzcbs_rx_acc
 2874     UINT64_C(435683328),	// V6_vrmpyznb_rt
 2875     UINT64_C(433070144),	// V6_vrmpyznb_rt_acc
 2876     UINT64_C(435159040),	// V6_vrmpyznb_rx
 2877     UINT64_C(433594432),	// V6_vrmpyznb_rx_acc
 2878     UINT64_C(425721888),	// V6_vror
 2879     UINT64_C(444604640),	// V6_vrotr
 2880     UINT64_C(526385344),	// V6_vroundhb
 2881     UINT64_C(526385376),	// V6_vroundhub
 2882     UINT64_C(534773856),	// V6_vrounduhub
 2883     UINT64_C(534773888),	// V6_vrounduwuh
 2884     UINT64_C(526385280),	// V6_vroundwh
 2885     UINT64_C(526385312),	// V6_vroundwuh
 2886     UINT64_C(423624896),	// V6_vrsadubi
 2887     UINT64_C(423633088),	// V6_vrsadubi_acc
 2888     UINT64_C(494936288),	// V6_vsatdw
 2889     UINT64_C(526385216),	// V6_vsathub
 2890     UINT64_C(522191040),	// V6_vsatuwuh
 2891     UINT64_C(526385248),	// V6_vsatwh
 2892     UINT64_C(503447648),	// V6_vsb
 2893     UINT64_C(790626336),	// V6_vscattermh
 2894     UINT64_C(790626464),	// V6_vscattermh_add
 2895     UINT64_C(796917888),	// V6_vscattermhq
 2896     UINT64_C(790626368),	// V6_vscattermhw
 2897     UINT64_C(790626496),	// V6_vscattermhw_add
 2898     UINT64_C(799014912),	// V6_vscattermhwq
 2899     UINT64_C(790626304),	// V6_vscattermw
 2900     UINT64_C(790626432),	// V6_vscattermw_add
 2901     UINT64_C(796917760),	// V6_vscattermwq
 2902     UINT64_C(503447680),	// V6_vsh
 2903     UINT64_C(524288096),	// V6_vshufeh
 2904     UINT64_C(434118688),	// V6_vshuff
 2905     UINT64_C(503447552),	// V6_vshuffb
 2906     UINT64_C(524288032),	// V6_vshuffeb
 2907     UINT64_C(503382240),	// V6_vshuffh
 2908     UINT64_C(524288064),	// V6_vshuffob
 2909     UINT64_C(452993120),	// V6_vshuffvdd
 2910     UINT64_C(524288192),	// V6_vshufoeb
 2911     UINT64_C(524288160),	// V6_vshufoeh
 2912     UINT64_C(524288128),	// V6_vshufoh
 2913     UINT64_C(473956512),	// V6_vsubb
 2914     UINT64_C(478150752),	// V6_vsubb_dv
 2915     UINT64_C(503455776),	// V6_vsubbnq
 2916     UINT64_C(503390400),	// V6_vsubbq
 2917     UINT64_C(522190912),	// V6_vsubbsat
 2918     UINT64_C(513802272),	// V6_vsubbsat_dv
 2919     UINT64_C(480256128),	// V6_vsubcarry
 2920     UINT64_C(497033344),	// V6_vsubcarryo
 2921     UINT64_C(473956544),	// V6_vsubh
 2922     UINT64_C(478150784),	// V6_vsubh_dv
 2923     UINT64_C(503455808),	// V6_vsubhnq
 2924     UINT64_C(503390432),	// V6_vsubhq
 2925     UINT64_C(476053568),	// V6_vsubhsat
 2926     UINT64_C(480247808),	// V6_vsubhsat_dv
 2927     UINT64_C(480248032),	// V6_vsubhw
 2928     UINT64_C(480247968),	// V6_vsububh
 2929     UINT64_C(476053504),	// V6_vsububsat
 2930     UINT64_C(478150848),	// V6_vsububsat_dv
 2931     UINT64_C(513802400),	// V6_vsubububb_sat
 2932     UINT64_C(476053536),	// V6_vsubuhsat
 2933     UINT64_C(478150880),	// V6_vsubuhsat_dv
 2934     UINT64_C(480248000),	// V6_vsubuhw
 2935     UINT64_C(532676736),	// V6_vsubuwsat
 2936     UINT64_C(513802336),	// V6_vsubuwsat_dv
 2937     UINT64_C(473956576),	// V6_vsubw
 2938     UINT64_C(478150816),	// V6_vsubw_dv
 2939     UINT64_C(503455840),	// V6_vsubwnq
 2940     UINT64_C(503455744),	// V6_vsubwq
 2941     UINT64_C(476053600),	// V6_vsubwsat
 2942     UINT64_C(480247840),	// V6_vsubwsat_dv
 2943     UINT64_C(513810432),	// V6_vswap
 2944     UINT64_C(419430400),	// V6_vtmpyb
 2945     UINT64_C(419438592),	// V6_vtmpyb_acc
 2946     UINT64_C(419430432),	// V6_vtmpybus
 2947     UINT64_C(419438624),	// V6_vtmpybus_acc
 2948     UINT64_C(429916288),	// V6_vtmpyhb
 2949     UINT64_C(419438656),	// V6_vtmpyhb_acc
 2950     UINT64_C(503382080),	// V6_vunpackb
 2951     UINT64_C(503382112),	// V6_vunpackh
 2952     UINT64_C(503324672),	// V6_vunpackob
 2953     UINT64_C(503324704),	// V6_vunpackoh
 2954     UINT64_C(503382016),	// V6_vunpackub
 2955     UINT64_C(503382048),	// V6_vunpackuh
 2956     UINT64_C(503325824),	// V6_vwhist128
 2957     UINT64_C(503326336),	// V6_vwhist128m
 2958     UINT64_C(503456896),	// V6_vwhist128q
 2959     UINT64_C(503457408),	// V6_vwhist128qm
 2960     UINT64_C(503325312),	// V6_vwhist256
 2961     UINT64_C(503325568),	// V6_vwhist256_sat
 2962     UINT64_C(503456384),	// V6_vwhist256q
 2963     UINT64_C(503456640),	// V6_vwhist256q_sat
 2964     UINT64_C(471859424),	// V6_vxor
 2965     UINT64_C(503447584),	// V6_vzb
 2966     UINT64_C(503447616),	// V6_vzh
 2967     UINT64_C(738197504),	// V6_zLd_ai
 2968     UINT64_C(754974720),	// V6_zLd_pi
 2969     UINT64_C(754974721),	// V6_zLd_ppu
 2970     UINT64_C(746586112),	// V6_zLd_pred_ai
 2971     UINT64_C(763363328),	// V6_zLd_pred_pi
 2972     UINT64_C(763363329),	// V6_zLd_pred_ppu
 2973     UINT64_C(429916448),	// V6_zextract
 2974     UINT64_C(2818572288),	// Y2_barrier
 2975     UINT64_C(1814036480),	// Y2_break
 2976     UINT64_C(2684354560),	// Y2_dccleana
 2977     UINT64_C(2688548864),	// Y2_dccleaninva
 2978     UINT64_C(2483027968),	// Y2_dcfetchbo
 2979     UINT64_C(2686451712),	// Y2_dcinva
 2980     UINT64_C(2696937472),	// Y2_dczeroa
 2981     UINT64_C(1455423488),	// Y2_icinva
 2982     UINT64_C(1472200706),	// Y2_isync
 2983     UINT64_C(2822766592),	// Y2_syncht
 2984     UINT64_C(1681915904),	// Y2_wait
 2985     UINT64_C(2785017856),	// Y4_l2fetch
 2986     UINT64_C(1648361472),	// Y4_trace
 2987     UINT64_C(2793406464),	// Y5_l2fetch
 2988     UINT64_C(3581935616),	// dep_A2_addsat
 2989     UINT64_C(3581935744),	// dep_A2_subsat
 2990     UINT64_C(3556769792),	// dep_S2_packhl
 2991     UINT64_C(0)
 3043       op &= UINT64_C(31);
 3048       op &= UINT64_C(31);
 3055       op &= UINT64_C(31);
 3060       op &= UINT64_C(31);
 3067       op &= UINT64_C(31);
 3072       op &= UINT64_C(31);
 3079       op &= UINT64_C(31);
 3084       op &= UINT64_C(31);
 3102       op &= UINT64_C(31);
 3107       Value |= (op & UINT64_C(1536)) << 11;
 3108       Value |= (op & UINT64_C(508)) >> 1;
 3111       op &= UINT64_C(7);
 3142       op &= UINT64_C(31);
 3147       Value |= (op & UINT64_C(1536)) << 11;
 3148       Value |= (op & UINT64_C(508)) >> 1;
 3151       op &= UINT64_C(15);
 3161       op &= UINT64_C(63);
 3165       op &= UINT64_C(7);
 3170       op &= UINT64_C(31);
 3181       op &= UINT64_C(63);
 3185       op &= UINT64_C(31);
 3190       op &= UINT64_C(31);
 3198       op &= UINT64_C(63);
 3202       op &= UINT64_C(31);
 3207       op &= UINT64_C(31);
 3215       op &= UINT64_C(63);
 3220       Value |= (op & UINT64_C(1536)) << 11;
 3221       Value |= (op & UINT64_C(508)) >> 1;
 3224       op &= UINT64_C(15);
 3238       Value |= (op & UINT64_C(60)) << 6;
 3239       Value |= (op & UINT64_C(3)) << 5;
 3242       op &= UINT64_C(31);
 3246       op &= UINT64_C(31);
 3256       Value |= (op & UINT64_C(60)) << 6;
 3257       Value |= (op & UINT64_C(3)) << 5;
 3260       op &= UINT64_C(31);
 3264       op &= UINT64_C(31);
 3273       Value |= (op & UINT64_C(60)) << 6;
 3274       Value |= (op & UINT64_C(3)) << 5;
 3277       op &= UINT64_C(31);
 3281       op &= UINT64_C(31);
 3290       Value |= (op & UINT64_C(16744448)) << 1;
 3291       Value |= (op & UINT64_C(32764)) >> 1;
 3298       Value |= (op & UINT64_C(196608)) << 9;
 3299       Value |= (op & UINT64_C(63488)) << 5;
 3300       Value |= (op & UINT64_C(1024)) << 3;
 3301       Value |= (op & UINT64_C(1020)) >> 2;
 3304       op &= UINT64_C(7);
 3313       Value |= (op & UINT64_C(196608)) << 9;
 3314       Value |= (op & UINT64_C(63488)) << 5;
 3315       Value |= (op & UINT64_C(1024)) << 3;
 3316       Value |= (op & UINT64_C(1020)) >> 2;
 3319       op &= UINT64_C(31);
 3328       Value |= (op & UINT64_C(248)) << 5;
 3329       Value |= (op & UINT64_C(7)) << 2;
 3336       Value |= (op & UINT64_C(393216)) << 8;
 3337       Value |= (op & UINT64_C(126976)) << 4;
 3338       Value |= (op & UINT64_C(2048)) << 2;
 3339       Value |= (op & UINT64_C(2040)) >> 3;
 3342       op &= UINT64_C(31);
 3350       Value |= (op & UINT64_C(4293918720)) >> 4;
 3351       Value |= (op & UINT64_C(1048512)) >> 6;
 3358       Value |= (op & UINT64_C(49152)) << 11;
 3359       Value |= (op & UINT64_C(15872)) << 7;
 3360       Value |= (op & UINT64_C(256)) << 5;
 3361       Value |= (op & UINT64_C(255));
 3364       op &= UINT64_C(7);
 3373       Value |= (op & UINT64_C(49152)) << 11;
 3374       Value |= (op & UINT64_C(15872)) << 7;
 3375       Value |= (op & UINT64_C(256)) << 5;
 3376       Value |= (op & UINT64_C(255));
 3379       op &= UINT64_C(31);
 3391       Value |= (op & UINT64_C(496)) << 4;
 3392       Value |= (op & UINT64_C(12)) << 1;
 3395       Value |= (op & UINT64_C(992)) << 11;
 3396       Value |= (op & UINT64_C(28)) << 3;
 3397       Value |= (op & UINT64_C(3));
 3407       Value |= (op & UINT64_C(496)) << 4;
 3408       Value |= (op & UINT64_C(12)) << 1;
 3411       op &= UINT64_C(31);
 3420       Value |= (op & UINT64_C(98304)) << 10;
 3421       Value |= (op & UINT64_C(31744)) << 6;
 3422       Value |= (op & UINT64_C(512)) << 4;
 3423       Value |= (op & UINT64_C(510)) >> 1;
 3426       op &= UINT64_C(7);
 3437       Value |= (op & UINT64_C(98304)) << 10;
 3438       Value |= (op & UINT64_C(31744)) << 6;
 3439       Value |= (op & UINT64_C(512)) << 4;
 3440       Value |= (op & UINT64_C(510)) >> 1;
 3443       op &= UINT64_C(31);
 3451       op &= UINT64_C(1);
 3459       op &= UINT64_C(124);
 3464       op &= UINT64_C(15);
 3471       op &= UINT64_C(248);
 3479       op &= UINT64_C(504);
 3483       op &= UINT64_C(7);
 3490       Value |= (op & UINT64_C(12288)) << 13;
 3491       Value |= (op & UINT64_C(2048)) << 2;
 3492       Value |= (op & UINT64_C(2040)) >> 3;
 3495       op &= UINT64_C(31);
 3500       op &= UINT64_C(31);
 3511       Value |= (op & UINT64_C(1536)) << 11;
 3512       Value |= (op & UINT64_C(508)) >> 1;
 3515       op &= UINT64_C(7);
 3530       Value |= (op & UINT64_C(1536)) << 11;
 3531       Value |= (op & UINT64_C(508)) >> 1;
 3534       op &= UINT64_C(15);
 3542       Value |= (op & UINT64_C(1536)) << 16;
 3543       Value |= (op & UINT64_C(256)) << 5;
 3544       Value |= (op & UINT64_C(255));
 3547       op &= UINT64_C(31);
 3552       op &= UINT64_C(7);
 3560       Value |= (op & UINT64_C(1536)) << 16;
 3561       Value |= (op & UINT64_C(256)) << 5;
 3562       Value |= (op & UINT64_C(255));
 3565       op &= UINT64_C(31);
 3570       op &= UINT64_C(31);
 3585       Value |= (op & UINT64_C(16384)) << 7;
 3586       Value |= (op & UINT64_C(8192));
 3587       Value |= (op & UINT64_C(8188)) >> 1;
 3590       op &= UINT64_C(31);
 3599       Value |= (op & UINT64_C(196608)) << 9;
 3600       Value |= (op & UINT64_C(63488)) << 5;
 3601       Value |= (op & UINT64_C(2044)) << 3;
 3604       op &= UINT64_C(31);
 3613       Value |= (op & UINT64_C(2)) << 12;
 3614       Value |= (op & UINT64_C(1)) << 6;
 3617       op &= UINT64_C(63);
 3621       op &= UINT64_C(31);
 3626       op &= UINT64_C(7);
 3637       Value |= (op & UINT64_C(2)) << 12;
 3638       Value |= (op & UINT64_C(1)) << 6;
 3641       op &= UINT64_C(63);
 3645       op &= UINT64_C(31);
 3650       op &= UINT64_C(31);
 3658       Value |= (op & UINT64_C(2)) << 12;
 3659       Value |= (op & UINT64_C(1)) << 6;
 3662       op &= UINT64_C(63);
 3666       op &= UINT64_C(31);
 3671       op &= UINT64_C(31);
 3686       Value |= (op & UINT64_C(224)) << 16;
 3687       Value |= (op & UINT64_C(16)) << 9;
 3688       Value |= (op & UINT64_C(14)) << 4;
 3689       Value |= (op & UINT64_C(1)) << 3;
 3692       op &= UINT64_C(31);
 3697       op &= UINT64_C(31);
 3705       Value |= (op & UINT64_C(3072)) << 15;
 3706       Value |= (op & UINT64_C(512)) << 4;
 3707       Value |= (op & UINT64_C(510)) >> 1;
 3710       op &= UINT64_C(31);
 3715       op &= UINT64_C(7);
 3724       Value |= (op & UINT64_C(3072)) << 15;
 3725       Value |= (op & UINT64_C(512)) << 4;
 3726       Value |= (op & UINT64_C(510)) >> 1;
 3729       op &= UINT64_C(31);
 3734       op &= UINT64_C(31);
 3743       Value |= (op & UINT64_C(393216)) << 8;
 3744       Value |= (op & UINT64_C(126976)) << 4;
 3745       Value |= (op & UINT64_C(4088)) << 2;
 3748       op &= UINT64_C(31);
 3766       Value |= (op & UINT64_C(48)) << 12;
 3767       Value |= (op & UINT64_C(15)) << 3;
 3770       op &= UINT64_C(3);
 3774       op &= UINT64_C(7);
 3797       Value |= (op & UINT64_C(48)) << 12;
 3798       Value |= (op & UINT64_C(15)) << 3;
 3801       op &= UINT64_C(3);
 3805       op &= UINT64_C(31);
 3816       Value |= (op & UINT64_C(48)) << 12;
 3817       Value |= (op & UINT64_C(15)) << 3;
 3820       op &= UINT64_C(3);
 3824       op &= UINT64_C(31);
 3832       Value |= (op & UINT64_C(48)) << 17;
 3833       Value |= (op & UINT64_C(8)) << 10;
 3834       Value |= (op & UINT64_C(7)) << 5;
 3837       Value |= (op & UINT64_C(32)) << 18;
 3838       Value |= (op & UINT64_C(31));
 3841       op &= UINT64_C(31);
 3846       op &= UINT64_C(31);
 3854       Value |= (op & UINT64_C(48)) << 17;
 3855       Value |= (op & UINT64_C(8)) << 10;
 3856       Value |= (op & UINT64_C(7)) << 5;
 3859       op &= UINT64_C(31);
 3864       op &= UINT64_C(31);
 3869       op &= UINT64_C(31);
 3879       Value |= (op & UINT64_C(49152)) << 11;
 3880       Value |= (op & UINT64_C(15872)) << 7;
 3881       Value |= (op & UINT64_C(511)) << 5;
 3884       op &= UINT64_C(31);
 3891       Value |= (op & UINT64_C(49152)) << 8;
 3892       Value |= (op & UINT64_C(15872)) << 7;
 3893       Value |= (op & UINT64_C(511)) << 5;
 3896       op &= UINT64_C(31);
 3904       Value |= (op & UINT64_C(512)) << 12;
 3905       Value |= (op & UINT64_C(511)) << 5;
 3908       op &= UINT64_C(31);
 3916       Value |= (op & UINT64_C(512)) << 12;
 3917       Value |= (op & UINT64_C(511)) << 5;
 3920       op &= UINT64_C(31);
 3927       Value |= (op & UINT64_C(512)) << 12;
 3928       Value |= (op & UINT64_C(511)) << 5;
 3931       op &= UINT64_C(31);
 3936       op &= UINT64_C(31);
 3943       Value |= (op & UINT64_C(6144)) << 14;
 3944       Value |= (op & UINT64_C(1024)) << 3;
 3945       Value |= (op & UINT64_C(1020)) >> 2;
 3948       op &= UINT64_C(31);
 3953       op &= UINT64_C(7);
 3961       Value |= (op & UINT64_C(6144)) << 14;
 3962       Value |= (op & UINT64_C(1024)) << 3;
 3963       Value |= (op & UINT64_C(1020)) >> 2;
 3966       op &= UINT64_C(31);
 3971       op &= UINT64_C(31);
 3979       Value |= (op & UINT64_C(62)) << 15;
 3980       Value |= (op & UINT64_C(1)) << 5;
 3983       op &= UINT64_C(31);
 3988       op &= UINT64_C(31);
 3996       Value |= (op & UINT64_C(8)) << 10;
 3997       Value |= (op & UINT64_C(7)) << 8;
 4000       op &= UINT64_C(31);
 4009       Value |= (op & UINT64_C(8)) << 10;
 4010       Value |= (op & UINT64_C(7)) << 8;
 4013       op &= UINT64_C(31);
 4018       op &= UINT64_C(7);
 4027       Value |= (op & UINT64_C(8)) << 10;
 4028       Value |= (op & UINT64_C(7)) << 8;
 4031       op &= UINT64_C(31);
 4036       op &= UINT64_C(31);
 4046       Value |= (op & UINT64_C(98304)) << 10;
 4047       Value |= (op & UINT64_C(31744)) << 6;
 4048       Value |= (op & UINT64_C(1022)) << 4;
 4051       op &= UINT64_C(31);
 4067       Value |= (op & UINT64_C(98304)) << 7;
 4068       Value |= (op & UINT64_C(31744)) << 6;
 4069       Value |= (op & UINT64_C(512)) << 4;
 4070       Value |= (op & UINT64_C(508)) >> 1;
 4073       op &= UINT64_C(3);
 4081       op &= UINT64_C(1);
 4086       op &= UINT64_C(3);
 4094       op &= UINT64_C(124);
 4099       op &= UINT64_C(15);
 4106       op &= UINT64_C(126);
 4111       Value |= (op & UINT64_C(128)) << 6;
 4112       Value |= (op & UINT64_C(127));
 4115       op &= UINT64_C(31);
 4126       op &= UINT64_C(126);
 4131       op &= UINT64_C(31);
 4135       op &= UINT64_C(31);
 4146       op &= UINT64_C(126);
 4151       op &= UINT64_C(31);
 4156       op &= UINT64_C(31);
 4163       op &= UINT64_C(14);
 4168       op &= UINT64_C(15);
 4173       op &= UINT64_C(15);
 4181       op &= UINT64_C(15);
 4185       op &= UINT64_C(15);
 4193       op &= UINT64_C(15);
 4198       op &= UINT64_C(15);
 4203       op &= UINT64_C(15);
 4210       op &= UINT64_C(16376);
 4215       op &= UINT64_C(31);
 4223       op &= UINT64_C(248);
 4227       op &= UINT64_C(7);
 4234       op &= UINT64_C(252);
 4239       op &= UINT64_C(15);
 4246       op &= UINT64_C(252);
 4251       Value |= (op & UINT64_C(128)) << 6;
 4252       Value |= (op & UINT64_C(127));
 4255       op &= UINT64_C(31);
 4266       op &= UINT64_C(252);
 4271       op &= UINT64_C(31);
 4275       op &= UINT64_C(31);
 4286       op &= UINT64_C(252);
 4291       op &= UINT64_C(31);
 4296       op &= UINT64_C(31);
 4303       op &= UINT64_C(255);
 4308       Value |= (op & UINT64_C(254)) << 15;
 4309       Value |= (op & UINT64_C(1)) << 13;
 4312       op &= UINT64_C(31);
 4319       op &= UINT64_C(255);
 4324       Value |= (op & UINT64_C(62)) << 15;
 4325       Value |= (op & UINT64_C(1)) << 13;
 4328       op &= UINT64_C(31);
 4335       op &= UINT64_C(255);
 4340       op &= UINT64_C(31);
 4345       op &= UINT64_C(31);
 4352       op &= UINT64_C(3);
 4356       op &= UINT64_C(15);
 4367       op &= UINT64_C(3);
 4372       op &= UINT64_C(7);
 4379       op &= UINT64_C(31);
 4384       Value |= (op & UINT64_C(24)) << 18;
 4385       Value |= (op & UINT64_C(7)) << 5;
 4388       op &= UINT64_C(31);
 4395       op &= UINT64_C(60);
 4400       op &= UINT64_C(15);
 4405       op &= UINT64_C(15);
 4413       op &= UINT64_C(60);
 4418       op &= UINT64_C(15);
 4426       op &= UINT64_C(63);
 4431       op &= UINT64_C(15);
 4438       op &= UINT64_C(63);
 4443       Value |= (op & UINT64_C(128)) << 6;
 4444       Value |= (op & UINT64_C(127));
 4447       op &= UINT64_C(31);
 4458       op &= UINT64_C(63);
 4463       op &= UINT64_C(31);
 4467       op &= UINT64_C(31);
 4475       op &= UINT64_C(63);
 4480       op &= UINT64_C(31);
 4490       op &= UINT64_C(63);
 4495       op &= UINT64_C(31);
 4500       op &= UINT64_C(31);
 4507       Value |= (op & UINT64_C(12288)) << 13;
 4508       Value |= (op & UINT64_C(4088)) << 2;
 4511       op &= UINT64_C(31);
 4516       op &= UINT64_C(31);
 4526       Value |= (op & UINT64_C(128)) << 6;
 4527       Value |= (op & UINT64_C(124)) << 1;
 4530       op &= UINT64_C(3);
 4534       op &= UINT64_C(31);
 4539       op &= UINT64_C(7);
 4550       Value |= (op & UINT64_C(128)) << 6;
 4551       Value |= (op & UINT64_C(124)) << 1;
 4554       op &= UINT64_C(3);
 4558       op &= UINT64_C(31);
 4563       op &= UINT64_C(31);
 4578       Value |= (op & UINT64_C(1536)) << 11;
 4579       Value |= (op & UINT64_C(508)) >> 1;
 4582       op &= UINT64_C(7);
 4601       Value |= (op & UINT64_C(1536)) << 11;
 4602       Value |= (op & UINT64_C(508)) >> 1;
 4605       op &= UINT64_C(7);
 4610       op &= UINT64_C(31);
 4633       Value |= (op & UINT64_C(1536)) << 11;
 4634       Value |= (op & UINT64_C(508)) >> 1;
 4637       op &= UINT64_C(15);
 4668       Value |= (op & UINT64_C(1536)) << 11;
 4669       Value |= (op & UINT64_C(508)) >> 1;
 4672       op &= UINT64_C(15);
 4677       op &= UINT64_C(15);
 4685       Value |= (op & UINT64_C(1536)) << 11;
 4686       Value |= (op & UINT64_C(508)) >> 1;
 4689       op &= UINT64_C(15);
 4694       op &= UINT64_C(15);
 4709       Value |= (op & UINT64_C(1536)) << 11;
 4710       Value |= (op & UINT64_C(508)) >> 1;
 4713       op &= UINT64_C(31);
 4718       op &= UINT64_C(7);
 4727       Value |= (op & UINT64_C(1536)) << 16;
 4728       Value |= (op & UINT64_C(511)) << 5;
 4731       op &= UINT64_C(31);
 4736       op &= UINT64_C(31);
 4743       Value |= (op & UINT64_C(192)) << 15;
 4744       Value |= (op & UINT64_C(32)) << 8;
 4745       Value |= (op & UINT64_C(28)) << 3;
 4748       op &= UINT64_C(31);
 4752       op &= UINT64_C(31);
 4757       op &= UINT64_C(31);
 4771       Value |= (op & UINT64_C(2)) << 12;
 4772       Value |= (op & UINT64_C(1)) << 7;
 4775       Value |= (op & UINT64_C(60)) << 6;
 4776       Value |= (op & UINT64_C(3)) << 5;
 4779       op &= UINT64_C(31);
 4784       op &= UINT64_C(31);
 4793       Value |= (op & UINT64_C(2)) << 12;
 4794       Value |= (op & UINT64_C(1)) << 7;
 4797       Value |= (op & UINT64_C(60)) << 6;
 4798       Value |= (op & UINT64_C(3)) << 5;
 4801       op &= UINT64_C(31);
 4806       op &= UINT64_C(31);
 4815       Value |= (op & UINT64_C(2)) << 12;
 4816       Value |= (op & UINT64_C(1)) << 7;
 4819       op &= UINT64_C(31);
 4824       op &= UINT64_C(31);
 4829       op &= UINT64_C(7);
 4839       Value |= (op & UINT64_C(2)) << 12;
 4840       Value |= (op & UINT64_C(1)) << 7;
 4843       op &= UINT64_C(31);
 4848       op &= UINT64_C(31);
 4853       op &= UINT64_C(31);
 4860       Value |= (op & UINT64_C(2)) << 12;
 4861       Value |= (op & UINT64_C(1)) << 7;
 4864       op &= UINT64_C(31);
 4869       op &= UINT64_C(31);
 4874       op &= UINT64_C(31);
 4881       Value |= (op & UINT64_C(248)) << 5;
 4882       Value |= (op & UINT64_C(7)) << 2;
 4885       op &= UINT64_C(31);
 4896       Value |= (op & UINT64_C(256)) << 5;
 4897       Value |= (op & UINT64_C(248));
 4900       op &= UINT64_C(3);
 4904       op &= UINT64_C(31);
 4909       op &= UINT64_C(31);
 4920       Value |= (op & UINT64_C(3072)) << 15;
 4921       Value |= (op & UINT64_C(1022)) << 4;
 4924       op &= UINT64_C(31);
 4929       op &= UINT64_C(31);
 4939       Value |= (op & UINT64_C(32)) << 8;
 4940       Value |= (op & UINT64_C(31)) << 3;
 4943       op &= UINT64_C(3);
 4947       op &= UINT64_C(31);
 4952       op &= UINT64_C(7);
 4963       Value |= (op & UINT64_C(32)) << 8;
 4964       Value |= (op & UINT64_C(31)) << 3;
 4967       op &= UINT64_C(3);
 4971       op &= UINT64_C(31);
 4976       op &= UINT64_C(31);
 4987       Value |= (op & UINT64_C(3840)) << 8;
 4988       Value |= (op & UINT64_C(255)) << 5;
 4991       op &= UINT64_C(3);
 4996       op &= UINT64_C(31);
 5003       Value |= (op & UINT64_C(48)) << 17;
 5004       Value |= (op & UINT64_C(8)) << 10;
 5005       Value |= (op & UINT64_C(7)) << 5;
 5008       op &= UINT64_C(31);
 5013       op &= UINT64_C(31);
 5017       op &= UINT64_C(31);
 5026       Value |= (op & UINT64_C(49152)) << 8;
 5027       Value |= (op & UINT64_C(16383));
 5030       op &= UINT64_C(31);
 5041       Value |= (op & UINT64_C(512)) << 12;
 5042       Value |= (op & UINT64_C(511)) << 5;
 5045       op &= UINT64_C(31);
 5050       op &= UINT64_C(3);
 5058       Value |= (op & UINT64_C(512)) << 12;
 5059       Value |= (op & UINT64_C(511)) << 5;
 5062       op &= UINT64_C(31);
 5067       op &= UINT64_C(31);
 5074       Value |= (op & UINT64_C(6144)) << 14;
 5075       Value |= (op & UINT64_C(2044)) << 3;
 5078       op &= UINT64_C(31);
 5083       op &= UINT64_C(31);
 5091       Value |= (op & UINT64_C(6144)) << 14;
 5092       Value |= (op & UINT64_C(2044)) << 3;
 5095       op &= UINT64_C(31);
 5100       op &= UINT64_C(31);
 5126       Value |= (op & UINT64_C(62)) << 15;
 5127       Value |= (op & UINT64_C(1)) << 8;
 5130       op &= UINT64_C(3);
 5135       op &= UINT64_C(31);
 5145       Value |= (op & UINT64_C(62)) << 15;
 5146       Value |= (op & UINT64_C(1)) << 8;
 5149       op &= UINT64_C(3);
 5154       op &= UINT64_C(31);
 5164       Value |= (op & UINT64_C(64)) << 7;
 5165       Value |= (op & UINT64_C(62)) << 2;
 5168       op &= UINT64_C(3);
 5172       op &= UINT64_C(31);
 5177       op &= UINT64_C(7);
 5192       Value |= (op & UINT64_C(64)) << 7;
 5193       Value |= (op & UINT64_C(62)) << 2;
 5196       op &= UINT64_C(3);
 5200       op &= UINT64_C(31);
 5205       op &= UINT64_C(31);
 5213       Value |= (op & UINT64_C(65024)) << 12;
 5214       Value |= (op & UINT64_C(511)) << 5;
 5217       op &= UINT64_C(31);
 5222       op &= UINT64_C(31);
 5229       Value |= (op & UINT64_C(8)) << 10;
 5230       Value |= (op & UINT64_C(7)) << 8;
 5233       op &= UINT64_C(3);
 5238       op &= UINT64_C(31);
 5249       Value |= (op & UINT64_C(8)) << 10;
 5250       Value |= (op & UINT64_C(7)) << 8;
 5253       op &= UINT64_C(3);
 5258       op &= UINT64_C(31);
 5263       op &= UINT64_C(7);
 5275       Value |= (op & UINT64_C(8)) << 10;
 5276       Value |= (op & UINT64_C(7)) << 8;
 5279       op &= UINT64_C(3);
 5284       op &= UINT64_C(31);
 5289       op &= UINT64_C(31);
 5299       Value |= (op & UINT64_C(8)) << 10;
 5300       Value |= (op & UINT64_C(7)) << 8;
 5303       op &= UINT64_C(3);
 5308       op &= UINT64_C(31);
 5313       op &= UINT64_C(31);
 5326       Value |= (op & UINT64_C(8)) << 10;
 5327       Value |= (op & UINT64_C(7)) << 8;
 5330       op &= UINT64_C(31);
 5335       op &= UINT64_C(31);
 5342       op &= UINT64_C(120);
 5346       op &= UINT64_C(1);
 5351       op &= UINT64_C(31);
 5356       op &= UINT64_C(31);
 5364       op &= UINT64_C(120);
 5368       op &= UINT64_C(31);
 5373       op &= UINT64_C(31);
 5384       op &= UINT64_C(126);
 5389       Value |= (op & UINT64_C(32)) << 8;
 5390       Value |= (op & UINT64_C(31));
 5393       op &= UINT64_C(3);
 5398       op &= UINT64_C(31);
 5406       op &= UINT64_C(127);
 5411       op &= UINT64_C(15);
 5419       op &= UINT64_C(127);
 5424       op &= UINT64_C(31);
 5429       op &= UINT64_C(3);
 5438       op &= UINT64_C(127);
 5443       op &= UINT64_C(31);
 5448       op &= UINT64_C(3);
 5456       op &= UINT64_C(14);
 5461       op &= UINT64_C(15);
 5466       op &= UINT64_C(15);
 5473       op &= UINT64_C(15);
 5478       op &= UINT64_C(1);
 5483       op &= UINT64_C(7);
 5488       op &= UINT64_C(31);
 5496       op &= UINT64_C(15);
 5501       op &= UINT64_C(1);
 5506       op &= UINT64_C(31);
 5511       op &= UINT64_C(31);
 5519       op &= UINT64_C(15);
 5524       op &= UINT64_C(7);
 5529       op &= UINT64_C(31);
 5537       op &= UINT64_C(15);
 5542       op &= UINT64_C(31);
 5547       op &= UINT64_C(31);
 5555       op &= UINT64_C(15);
 5560       op &= UINT64_C(15);
 5565       op &= UINT64_C(15);
 5573       op &= UINT64_C(15);
 5578       op &= UINT64_C(31);
 5583       op &= UINT64_C(31);
 5593       op &= UINT64_C(15);
 5598       op &= UINT64_C(31);
 5603       op &= UINT64_C(31);
 5610       op &= UINT64_C(16376);
 5615       op &= UINT64_C(31);
 5626       op &= UINT64_C(252);
 5631       Value |= (op & UINT64_C(32)) << 8;
 5632       Value |= (op & UINT64_C(31));
 5635       op &= UINT64_C(3);
 5640       op &= UINT64_C(31);
 5648       op &= UINT64_C(255);
 5653       Value |= (op & UINT64_C(254)) << 15;
 5654       Value |= (op & UINT64_C(1)) << 13;
 5657       op &= UINT64_C(3);
 5662       op &= UINT64_C(31);
 5669       op &= UINT64_C(255);
 5674       op &= UINT64_C(3);
 5679       op &= UINT64_C(31);
 5684       op &= UINT64_C(31);
 5694       op &= UINT64_C(255);
 5699       op &= UINT64_C(31);
 5704       op &= UINT64_C(3);
 5714       op &= UINT64_C(255);
 5719       op &= UINT64_C(31);
 5724       op &= UINT64_C(31);
 5731       op &= UINT64_C(255);
 5736       op &= UINT64_C(31);
 5741       op &= UINT64_C(31);
 5753       op &= UINT64_C(255);
 5758       op &= UINT64_C(31);
 5763       op &= UINT64_C(3);
 5770       op &= UINT64_C(30);
 5775       op &= UINT64_C(1);
 5780       op &= UINT64_C(7);
 5785       op &= UINT64_C(31);
 5794       op &= UINT64_C(30);
 5799       op &= UINT64_C(1);
 5804       op &= UINT64_C(31);
 5809       op &= UINT64_C(31);
 5817       op &= UINT64_C(30);
 5822       op &= UINT64_C(7);
 5827       op &= UINT64_C(31);
 5836       op &= UINT64_C(30);
 5841       op &= UINT64_C(31);
 5846       op &= UINT64_C(31);
 5854       op &= UINT64_C(31);
 5859       op &= UINT64_C(31);
 5864       op &= UINT64_C(3);
 5872       op &= UINT64_C(31);
 5877       Value |= (op & UINT64_C(24)) << 18;
 5878       Value |= (op & UINT64_C(7)) << 5;
 5881       op &= UINT64_C(31);
 5886       op &= UINT64_C(31);
 5895       op &= UINT64_C(31);
 5900       op &= UINT64_C(31);
 5905       op &= UINT64_C(3);
 5923       op &= UINT64_C(31);
 5928       op &= UINT64_C(31);
 5933       op &= UINT64_C(31);
 5940       op &= UINT64_C(31);
 5945       op &= UINT64_C(31);
 5950       op &= UINT64_C(31);
 5957       op &= UINT64_C(31);
 5962       op &= UINT64_C(31);
 5967       op &= UINT64_C(31);
 5976       op &= UINT64_C(31);
 5981       op &= UINT64_C(31);
 5986       op &= UINT64_C(31);
 5994       op &= UINT64_C(511);
 5999       op &= UINT64_C(31);
 6004       op &= UINT64_C(3);
 6011       op &= UINT64_C(60);
 6016       op &= UINT64_C(1);
 6021       op &= UINT64_C(7);
 6026       op &= UINT64_C(31);
 6034       op &= UINT64_C(60);
 6039       op &= UINT64_C(1);
 6044       op &= UINT64_C(31);
 6049       op &= UINT64_C(31);
 6057       op &= UINT64_C(60);
 6062       op &= UINT64_C(7);
 6067       op &= UINT64_C(31);
 6075       op &= UINT64_C(60);
 6080       op &= UINT64_C(31);
 6085       op &= UINT64_C(31);
 6093       op &= UINT64_C(60);
 6098       op &= UINT64_C(15);
 6103       op &= UINT64_C(15);
 6113       op &= UINT64_C(63);
 6118       Value |= (op & UINT64_C(32)) << 8;
 6119       Value |= (op & UINT64_C(31));
 6122       op &= UINT64_C(3);
 6127       op &= UINT64_C(31);
 6136       op &= UINT64_C(63);
 6141       Value |= (op & UINT64_C(56)) << 18;
 6142       Value |= (op & UINT64_C(7)) << 5;
 6145       op &= UINT64_C(31);
 6150       op &= UINT64_C(31);
 6158       op &= UINT64_C(63);
 6163       op &= UINT64_C(31);
 6168       op &= UINT64_C(3);
 6175       op &= UINT64_C(63);
 6180       op &= UINT64_C(31);
 6185       op &= UINT64_C(31);
 6192       op &= UINT64_C(63);
 6197       op &= UINT64_C(31);
 6202       op &= UINT64_C(31);
 6213       op &= UINT64_C(63);
 6218       op &= UINT64_C(31);
 6223       op &= UINT64_C(31);
 6231       op &= UINT64_C(7);
 6236       op &= UINT64_C(7);
 6240       op &= UINT64_C(31);
 6248       op &= UINT64_C(7);
 6253       op &= UINT64_C(15);
 6258       op &= UINT64_C(15);
 6266       op &= UINT64_C(7);
 6271       op &= UINT64_C(31);
 6281       op &= UINT64_C(7);
 6286       op &= UINT64_C(31);
 6290       op &= UINT64_C(31);
 6298       Value |= (op & UINT64_C(1536)) << 16;
 6299       Value |= (op & UINT64_C(511)) << 5;
 6302       op &= UINT64_C(31);
 6307       op &= UINT64_C(31);
 6314       Value |= (op & UINT64_C(2)) << 12;
 6315       Value |= (op & UINT64_C(1)) << 5;
 6318       op &= UINT64_C(31);
 6323       op &= UINT64_C(31);
 6328       op &= UINT64_C(31);
 6336       Value |= (op & UINT64_C(2)) << 12;
 6337       Value |= (op & UINT64_C(1)) << 7;
 6340       Value |= (op & UINT64_C(60)) << 6;
 6341       Value |= (op & UINT64_C(3)) << 5;
 6344       op &= UINT64_C(31);
 6349       op &= UINT64_C(31);
 6367       Value |= (op & UINT64_C(2)) << 12;
 6368       Value |= (op & UINT64_C(1)) << 7;
 6371       op &= UINT64_C(3);
 6376       op &= UINT64_C(31);
 6381       op &= UINT64_C(31);
 6386       op &= UINT64_C(7);
 6408       Value |= (op & UINT64_C(2)) << 12;
 6409       Value |= (op & UINT64_C(1)) << 7;
 6412       op &= UINT64_C(3);
 6417       op &= UINT64_C(31);
 6422       op &= UINT64_C(31);
 6427       op &= UINT64_C(31);
 6437       Value |= (op & UINT64_C(2)) << 12;
 6438       Value |= (op & UINT64_C(1)) << 7;
 6441       op &= UINT64_C(3);
 6446       op &= UINT64_C(31);
 6451       op &= UINT64_C(31);
 6456       op &= UINT64_C(31);
 6467       Value |= (op & UINT64_C(2)) << 12;
 6468       Value |= (op & UINT64_C(1)) << 7;
 6471       op &= UINT64_C(31);
 6476       op &= UINT64_C(31);
 6481       op &= UINT64_C(31);
 6488       Value |= (op & UINT64_C(2)) << 12;
 6489       Value |= (op & UINT64_C(1)) << 7;
 6492       op &= UINT64_C(31);
 6497       op &= UINT64_C(31);
 6502       op &= UINT64_C(31);
 6509       Value |= (op & UINT64_C(3072)) << 15;
 6510       Value |= (op & UINT64_C(1022)) << 4;
 6513       op &= UINT64_C(31);
 6518       op &= UINT64_C(31);
 6525       Value |= (op & UINT64_C(48)) << 17;
 6526       Value |= (op & UINT64_C(8)) << 10;
 6527       Value |= (op & UINT64_C(7)) << 5;
 6530       op &= UINT64_C(31);
 6535       op &= UINT64_C(31);
 6539       op &= UINT64_C(31);
 6547       Value |= (op & UINT64_C(48)) << 17;
 6548       Value |= (op & UINT64_C(8)) << 10;
 6549       Value |= (op & UINT64_C(7)) << 5;
 6552       op &= UINT64_C(31);
 6556       op &= UINT64_C(31);
 6561       op &= UINT64_C(31);
 6570       Value |= (op & UINT64_C(512)) << 12;
 6571       Value |= (op & UINT64_C(511)) << 5;
 6574       op &= UINT64_C(31);
 6579       op &= UINT64_C(31);
 6586       Value |= (op & UINT64_C(512)) << 12;
 6587       Value |= (op & UINT64_C(511)) << 5;
 6590       op &= UINT64_C(31);
 6594       op &= UINT64_C(31);
 6613       Value |= (op & UINT64_C(8)) << 10;
 6614       Value |= (op & UINT64_C(7)) << 8;
 6617       op &= UINT64_C(3);
 6622       op &= UINT64_C(31);
 6627       op &= UINT64_C(31);
 6637       Value |= (op & UINT64_C(8)) << 18;
 6638       Value |= (op & UINT64_C(7)) << 5;
 6641       op &= UINT64_C(63);
 6646       op &= UINT64_C(31);
 6651       op &= UINT64_C(31);
 6660       op &= UINT64_C(1);
 6665       op &= UINT64_C(31);
 6670       op &= UINT64_C(31);
 6675       op &= UINT64_C(31);
 6685       op &= UINT64_C(120);
 6689       op &= UINT64_C(3);
 6693       op &= UINT64_C(31);
 6698       op &= UINT64_C(31);
 6706       op &= UINT64_C(120);
 6711       op &= UINT64_C(1);
 6716       op &= UINT64_C(31);
 6720       op &= UINT64_C(31);
 6728       op &= UINT64_C(120);
 6733       op &= UINT64_C(31);
 6737       op &= UINT64_C(31);
 6752       op &= UINT64_C(126);
 6757       op &= UINT64_C(3);
 6762       op &= UINT64_C(31);
 6767       op &= UINT64_C(31);
 6777       op &= UINT64_C(15);
 6782       op &= UINT64_C(3);
 6786       op &= UINT64_C(7);
 6791       op &= UINT64_C(31);
 6802       op &= UINT64_C(15);
 6807       op &= UINT64_C(3);
 6811       op &= UINT64_C(31);
 6816       op &= UINT64_C(31);
 6825       op &= UINT64_C(15);
 6830       op &= UINT64_C(1);
 6835       op &= UINT64_C(31);
 6839       op &= UINT64_C(31);
 6848       op &= UINT64_C(15);
 6853       op &= UINT64_C(31);
 6857       op &= UINT64_C(31);
 6868       op &= UINT64_C(252);
 6873       op &= UINT64_C(3);
 6878       op &= UINT64_C(31);
 6883       op &= UINT64_C(31);
 6894       op &= UINT64_C(255);
 6899       op &= UINT64_C(3);
 6904       op &= UINT64_C(31);
 6909       op &= UINT64_C(31);
 6919       op &= UINT64_C(255);
 6924       op &= UINT64_C(31);
 6929       op &= UINT64_C(31);
 6939       op &= UINT64_C(30);
 6944       op &= UINT64_C(3);
 6948       op &= UINT64_C(7);
 6953       op &= UINT64_C(31);
 6968       op &= UINT64_C(30);
 6973       op &= UINT64_C(3);
 6977       op &= UINT64_C(31);
 6982       op &= UINT64_C(31);
 6993       op &= UINT64_C(30);
 6998       op &= UINT64_C(1);
 7003       op &= UINT64_C(31);
 7007       op &= UINT64_C(31);
 7018       op &= UINT64_C(30);
 7023       op &= UINT64_C(31);
 7027       op &= UINT64_C(31);
 7035       op &= UINT64_C(31);
 7040       Value |= (op & UINT64_C(24)) << 18;
 7041       Value |= (op & UINT64_C(7)) << 5;
 7044       op &= UINT64_C(31);
 7049       op &= UINT64_C(31);
 7074       op &= UINT64_C(31);
 7079       op &= UINT64_C(31);
 7084       op &= UINT64_C(31);
 7094       op &= UINT64_C(504);
 7099       op &= UINT64_C(3);
 7104       op &= UINT64_C(31);
 7109       op &= UINT64_C(31);
 7119       op &= UINT64_C(60);
 7124       op &= UINT64_C(3);
 7128       op &= UINT64_C(7);
 7133       op &= UINT64_C(31);
 7144       op &= UINT64_C(60);
 7149       op &= UINT64_C(3);
 7153       op &= UINT64_C(31);
 7158       op &= UINT64_C(31);
 7166       op &= UINT64_C(60);
 7171       op &= UINT64_C(1);
 7176       op &= UINT64_C(31);
 7180       op &= UINT64_C(31);
 7189       op &= UINT64_C(60);
 7194       op &= UINT64_C(1);
 7199       op &= UINT64_C(31);
 7203       op &= UINT64_C(31);
 7211       op &= UINT64_C(60);
 7216       op &= UINT64_C(31);
 7220       op &= UINT64_C(31);
 7229       op &= UINT64_C(60);
 7234       op &= UINT64_C(31);
 7238       op &= UINT64_C(31);
 7253       op &= UINT64_C(63);
 7258       op &= UINT64_C(3);
 7263       op &= UINT64_C(31);
 7268       op &= UINT64_C(31);
 7275       op &= UINT64_C(63);
 7280       Value |= (op & UINT64_C(56)) << 18;
 7281       Value |= (op & UINT64_C(7)) << 5;
 7284       op &= UINT64_C(31);
 7289       op &= UINT64_C(31);
 7314       op &= UINT64_C(63);
 7319       op &= UINT64_C(31);
 7324       op &= UINT64_C(31);
 7331       op &= UINT64_C(7);
 7336       op &= UINT64_C(31);
 7341       op &= UINT64_C(31);
 7346       op &= UINT64_C(31);
 7353       op &= UINT64_C(7);
 7358       op &= UINT64_C(31);
 7363       op &= UINT64_C(31);
 7368       op &= UINT64_C(31);
 7375       op &= UINT64_C(7);
 7380       op &= UINT64_C(31);
 7385       op &= UINT64_C(31);
 7390       op &= UINT64_C(31);
 7399       op &= UINT64_C(7);
 7404       op &= UINT64_C(31);
 7409       op &= UINT64_C(31);
 7414       op &= UINT64_C(31);
 7421       op &= UINT64_C(7);
 7426       op &= UINT64_C(31);
 7431       op &= UINT64_C(31);
 7436       op &= UINT64_C(31);
 7446       op &= UINT64_C(7);
 7451       op &= UINT64_C(3);
 7456       op &= UINT64_C(7);
 7460       op &= UINT64_C(31);
 7468       op &= UINT64_C(7);
 7473       op &= UINT64_C(3);
 7478       op &= UINT64_C(31);
 7491       op &= UINT64_C(7);
 7496       op &= UINT64_C(3);
 7501       op &= UINT64_C(31);
 7505       op &= UINT64_C(31);
 7516       op &= UINT64_C(7);
 7521       op &= UINT64_C(3);
 7526       op &= UINT64_C(31);
 7530       op &= UINT64_C(31);
 7544       op &= UINT64_C(7);
 7549       op &= UINT64_C(31);
 7553       op &= UINT64_C(31);
 7561       Value |= (op & UINT64_C(2)) << 12;
 7562       Value |= (op & UINT64_C(1)) << 5;
 7565       op &= UINT64_C(31);
 7570       op &= UINT64_C(31);
 7575       op &= UINT64_C(31);
 7601       Value |= (op & UINT64_C(2)) << 12;
 7602       Value |= (op & UINT64_C(1)) << 7;
 7605       op &= UINT64_C(3);
 7610       op &= UINT64_C(31);
 7615       op &= UINT64_C(31);
 7620       op &= UINT64_C(31);
 7630       Value |= (op & UINT64_C(2)) << 12;
 7631       Value |= (op & UINT64_C(1)) << 7;
 7634       op &= UINT64_C(3);
 7639       op &= UINT64_C(31);
 7644       op &= UINT64_C(31);
 7649       op &= UINT64_C(31);
 7658       op &= UINT64_C(1);
 7663       op &= UINT64_C(31);
 7668       op &= UINT64_C(31);
 7673       op &= UINT64_C(31);
 7683       op &= UINT64_C(120);
 7688       op &= UINT64_C(3);
 7693       op &= UINT64_C(31);
 7697       op &= UINT64_C(31);
 7705       op &= UINT64_C(15);
 7710       op &= UINT64_C(1);
 7715       op &= UINT64_C(31);
 7719       op &= UINT64_C(31);
 7734       op &= UINT64_C(15);
 7739       op &= UINT64_C(3);
 7744       op &= UINT64_C(31);
 7748       op &= UINT64_C(31);
 7756       op &= UINT64_C(15);
 7761       op &= UINT64_C(31);
 7765       op &= UINT64_C(31);
 7773       op &= UINT64_C(30);
 7778       op &= UINT64_C(1);
 7783       op &= UINT64_C(31);
 7787       op &= UINT64_C(31);
 7802       op &= UINT64_C(30);
 7807       op &= UINT64_C(3);
 7812       op &= UINT64_C(31);
 7816       op &= UINT64_C(31);
 7824       op &= UINT64_C(30);
 7829       op &= UINT64_C(31);
 7833       op &= UINT64_C(31);
 7844       op &= UINT64_C(60);
 7849       op &= UINT64_C(3);
 7854       op &= UINT64_C(31);
 7858       op &= UINT64_C(31);
 7866       op &= UINT64_C(7);
 7871       op &= UINT64_C(31);
 7876       op &= UINT64_C(31);
 7881       op &= UINT64_C(31);
 7888       op &= UINT64_C(7);
 7893       op &= UINT64_C(31);
 7898       op &= UINT64_C(31);
 7903       op &= UINT64_C(31);
 7921       op &= UINT64_C(7);
 7926       op &= UINT64_C(3);
 7931       op &= UINT64_C(31);
 7935       op &= UINT64_C(31);
 7951       op &= UINT64_C(1);
 7956       op &= UINT64_C(7);
 7961       op &= UINT64_C(31);
 7970       op &= UINT64_C(1);
 7975       op &= UINT64_C(7);
 7979       op &= UINT64_C(31);
 7998       op &= UINT64_C(1);
 8003       op &= UINT64_C(31);
 8008       op &= UINT64_C(31);
 8018       op &= UINT64_C(1);
 8023       op &= UINT64_C(31);
 8028       op &= UINT64_C(31);
 8037       op &= UINT64_C(1);
 8042       op &= UINT64_C(31);
 8052       op &= UINT64_C(1);
 8057       op &= UINT64_C(31);
 8061       op &= UINT64_C(31);
 8089       op &= UINT64_C(1);
 8094       op &= UINT64_C(31);
 8098       op &= UINT64_C(31);
 8114       op &= UINT64_C(1);
 8119       op &= UINT64_C(31);
 8123       op &= UINT64_C(31);
 8137       op &= UINT64_C(1);
 8142       op &= UINT64_C(31);
 8146       op &= UINT64_C(31);
 8159       op &= UINT64_C(1);
 8164       op &= UINT64_C(31);
 8168       op &= UINT64_C(31);
 8178       op &= UINT64_C(3);
 8183       op &= UINT64_C(3);
 8192       op &= UINT64_C(3);
 8197       op &= UINT64_C(3);
 8202       op &= UINT64_C(3);
 8216       op &= UINT64_C(3);
 8221       op &= UINT64_C(3);
 8226       op &= UINT64_C(3);
 8231       op &= UINT64_C(3);
 8238       op &= UINT64_C(3);
 8243       op &= UINT64_C(3);
 8248       op &= UINT64_C(31);
 8255       op &= UINT64_C(3);
 8260       op &= UINT64_C(31);
 8268       op &= UINT64_C(3);
 8273       op &= UINT64_C(31);
 8278       op &= UINT64_C(31);
 8286       op &= UINT64_C(3);
 8291       op &= UINT64_C(31);
 8296       op &= UINT64_C(31);
 8301       op &= UINT64_C(31);
 8311       op &= UINT64_C(3);
 8316       op &= UINT64_C(3);
 8321       op &= UINT64_C(3);
 8328       op &= UINT64_C(3);
 8333       op &= UINT64_C(31);
 8349       op &= UINT64_C(3);
 8354       op &= UINT64_C(31);
 8378       op &= UINT64_C(3);
 8383       op &= UINT64_C(31);
 8388       op &= UINT64_C(31);
 8393       op &= UINT64_C(31);
 8403       op &= UINT64_C(3);
 8408       op &= UINT64_C(31);
 8413       op &= UINT64_C(31);
 8418       op &= UINT64_C(31);
 8425       op &= UINT64_C(3);
 8430       op &= UINT64_C(31);
 8435       op &= UINT64_C(31);
 8440       op &= UINT64_C(31);
 8450       op &= UINT64_C(3);
 8455       op &= UINT64_C(31);
 8460       op &= UINT64_C(31);
 8465       op &= UINT64_C(31);
 8495       op &= UINT64_C(3);
 8500       op &= UINT64_C(31);
 8505       op &= UINT64_C(31);
 8515       op &= UINT64_C(3);
 8520       op &= UINT64_C(1);
 8525       op &= UINT64_C(7);
 8529       op &= UINT64_C(31);
 8537       op &= UINT64_C(3);
 8542       op &= UINT64_C(1);
 8547       op &= UINT64_C(31);
 8560       op &= UINT64_C(3);
 8565       op &= UINT64_C(1);
 8570       op &= UINT64_C(31);
 8574       op &= UINT64_C(31);
 8587       op &= UINT64_C(3);
 8592       op &= UINT64_C(31);
 8597       op &= UINT64_C(31);
 8615       op &= UINT64_C(3);
 8620       op &= UINT64_C(1);
 8625       op &= UINT64_C(31);
 8629       op &= UINT64_C(31);
 8638       op &= UINT64_C(3);
 8643       op &= UINT64_C(31);
 8648       op &= UINT64_C(1);
 8653       op &= UINT64_C(31);
 8661       op &= UINT64_C(3);
 8666       op &= UINT64_C(31);
 8671       op &= UINT64_C(1);
 8676       op &= UINT64_C(31);
 8681       op &= UINT64_C(31);
 8688       op &= UINT64_C(3);
 8693       op &= UINT64_C(31);
 8698       op &= UINT64_C(1);
 8703       op &= UINT64_C(31);
 8710       op &= UINT64_C(3);
 8715       op &= UINT64_C(31);
 8720       op &= UINT64_C(1);
 8725       op &= UINT64_C(31);
 8730       op &= UINT64_C(31);
 8737       op &= UINT64_C(3);
 8742       op &= UINT64_C(3);
 8755       op &= UINT64_C(3);
 8760       op &= UINT64_C(3);
 8765       op &= UINT64_C(3);
 8772       op &= UINT64_C(3);
 8777       op &= UINT64_C(31);
 8782       op &= UINT64_C(31);
 8787       op &= UINT64_C(31);
 8794       op &= UINT64_C(3);
 8799       op &= UINT64_C(31);
 8804       op &= UINT64_C(31);
 8809       op &= UINT64_C(31);
 8817       op &= UINT64_C(3);
 8822       op &= UINT64_C(31);
 8827       op &= UINT64_C(31);
 8835       op &= UINT64_C(3);
 8840       op &= UINT64_C(31);
 8845       op &= UINT64_C(31);
 8855       op &= UINT64_C(3);
 8866       op &= UINT64_C(3);
 8871       op &= UINT64_C(1);
 8876       op &= UINT64_C(31);
 8880       op &= UINT64_C(31);
 8890       op &= UINT64_C(3);
 8895       op &= UINT64_C(31);
 8903       op &= UINT64_C(3);
 8908       op &= UINT64_C(31);
 8913       op &= UINT64_C(31);
 8931       op &= UINT64_C(3);
 8936       op &= UINT64_C(31);
 8941       op &= UINT64_C(31);
 8952       op &= UINT64_C(15);
 8959       op &= UINT64_C(31);
 8974       op &= UINT64_C(15);
 8979       op &= UINT64_C(15);
 8987       op &= UINT64_C(15);
 8992       op &= UINT64_C(7);
 8999       op &= UINT64_C(15);
 9004       op &= UINT64_C(15);
 9020       op &= UINT64_C(31);
 9028       op &= UINT64_C(31);
 9033       op &= UINT64_C(31);
 9041       op &= UINT64_C(31);
 9046       op &= UINT64_C(31);
 9051       op &= UINT64_C(1);
 9059       op &= UINT64_C(31);
 9064       op &= UINT64_C(31);
 9072       op &= UINT64_C(31);
 9077       op &= UINT64_C(31);
 9084       op &= UINT64_C(31);
 9089       op &= UINT64_C(31);
 9096       op &= UINT64_C(31);
 9101       op &= UINT64_C(3);
 9139       op &= UINT64_C(31);
 9144       op &= UINT64_C(31);
 9167       op &= UINT64_C(31);
 9172       op &= UINT64_C(31);
 9201       op &= UINT64_C(31);
 9206       op &= UINT64_C(31);
 9211       op &= UINT64_C(3);
 9310       op &= UINT64_C(31);
 9315       op &= UINT64_C(31);
 9320       op &= UINT64_C(31);
 9370       op &= UINT64_C(31);
 9375       op &= UINT64_C(31);
 9380       op &= UINT64_C(31);
 9387       op &= UINT64_C(31);
 9392       op &= UINT64_C(31);
 9397       op &= UINT64_C(3);
 9405       op &= UINT64_C(31);
 9410       op &= UINT64_C(31);
 9415       op &= UINT64_C(31);
 9422       op &= UINT64_C(31);
 9427       op &= UINT64_C(31);
 9431       op &= UINT64_C(3);
 9439       op &= UINT64_C(31);
 9444       op &= UINT64_C(31);
 9449       op &= UINT64_C(3);
 9454       op &= UINT64_C(31);
 9461       op &= UINT64_C(31);
 9466       op &= UINT64_C(31);
 9471       op &= UINT64_C(31);
 9475       op &= UINT64_C(3);
 9568       op &= UINT64_C(31);
 9573       op &= UINT64_C(31);
 9578       op &= UINT64_C(31);
 9639       op &= UINT64_C(31);
 9644       op &= UINT64_C(31);
 9649       op &= UINT64_C(31);
 9656       op &= UINT64_C(31);
 9661       op &= UINT64_C(31);
 9666       op &= UINT64_C(31);
 9673       op &= UINT64_C(31);
 9678       op &= UINT64_C(31);
 9685       op &= UINT64_C(31);
 9690       op &= UINT64_C(31);
 9720       op &= UINT64_C(31);
 9725       op &= UINT64_C(31);
 9752       op &= UINT64_C(31);
 9757       op &= UINT64_C(31);
 9764       op &= UINT64_C(31);
 9769       op &= UINT64_C(31);
 9774       op &= UINT64_C(3);
 9785       op &= UINT64_C(31);
 9790       op &= UINT64_C(31);
 9795       op &= UINT64_C(31);
 9815       op &= UINT64_C(31);
 9820       op &= UINT64_C(31);
 9825       op &= UINT64_C(31);
 9851       op &= UINT64_C(31);
 9856       op &= UINT64_C(31);
 9861       op &= UINT64_C(3);
 9868       op &= UINT64_C(31);
 9873       op &= UINT64_C(31);
 9878       op &= UINT64_C(3);
 9883       op &= UINT64_C(31);
 9897       op &= UINT64_C(31);
 9902       op &= UINT64_C(31);
 9907       op &= UINT64_C(31);
 9999       op &= UINT64_C(31);
10004       op &= UINT64_C(31);
10009       op &= UINT64_C(31);
10036       op &= UINT64_C(31);
10041       op &= UINT64_C(31);
10046       op &= UINT64_C(31);
10054       op &= UINT64_C(31);
10059       op &= UINT64_C(31);
10064       op &= UINT64_C(31);
10068       op &= UINT64_C(3);
10116       op &= UINT64_C(31);
10121       op &= UINT64_C(31);
10126       op &= UINT64_C(31);
10140       op &= UINT64_C(31);
10145       op &= UINT64_C(31);
10149       op &= UINT64_C(31);
10157       op &= UINT64_C(31);
10162       op &= UINT64_C(31);
10167       op &= UINT64_C(31);
10171       op &= UINT64_C(3);
10180       op &= UINT64_C(31);
10185       op &= UINT64_C(1);
10190       op &= UINT64_C(31);
10200       op &= UINT64_C(31);
10205       op &= UINT64_C(1);
10210       op &= UINT64_C(31);
10215       op &= UINT64_C(31);
10222       op &= UINT64_C(31);
10227       op &= UINT64_C(1);
10232       op &= UINT64_C(31);
10240       op &= UINT64_C(31);
10245       op &= UINT64_C(1);
10250       op &= UINT64_C(31);
10255       op &= UINT64_C(31);
10263       op &= UINT64_C(31);
10268       op &= UINT64_C(3);
10278       op &= UINT64_C(31);
10283       op &= UINT64_C(31);
10328       op &= UINT64_C(31);
10333       op &= UINT64_C(31);
10338       op &= UINT64_C(31);
10345       op &= UINT64_C(31);
10350       op &= UINT64_C(31);
10357       op &= UINT64_C(31);
10362       op &= UINT64_C(31);
10367       op &= UINT64_C(31);
10375       op &= UINT64_C(31);
10380       op &= UINT64_C(31);
10385       op &= UINT64_C(31);
10392       op &= UINT64_C(31);
10397       op &= UINT64_C(31);
10402       op &= UINT64_C(3);
10407       op &= UINT64_C(31);
10449       op &= UINT64_C(31);
10454       op &= UINT64_C(31);
10459       op &= UINT64_C(31);
10466       op &= UINT64_C(31);
10471       op &= UINT64_C(31);
10476       op &= UINT64_C(31);
10480       op &= UINT64_C(3);
10488       op &= UINT64_C(31);
10492       op &= UINT64_C(31);
10497       op &= UINT64_C(31);
10505       op &= UINT64_C(31);
10510       op &= UINT64_C(31);
10515       op &= UINT64_C(31);
10522       op &= UINT64_C(31);
10527       op &= UINT64_C(31);
10532       op &= UINT64_C(3);
10559       op &= UINT64_C(31);
10564       op &= UINT64_C(31);
10569       op &= UINT64_C(31);
10579       op &= UINT64_C(31);
10584       op &= UINT64_C(31);
10589       op &= UINT64_C(31);
10600       op &= UINT64_C(31);
10605       op &= UINT64_C(7);
10610       op &= UINT64_C(31);
10617       op &= UINT64_C(31);
10622       op &= UINT64_C(31);
10627       op &= UINT64_C(31);
10635       op &= UINT64_C(31);
10640       op &= UINT64_C(31);
10645       op &= UINT64_C(31);
10668       op &= UINT64_C(31);
10673       op &= UINT64_C(31);
10687       op &= UINT64_C(31);
10692       op &= UINT64_C(31);
10707       op &= UINT64_C(31);
10712       op &= UINT64_C(31);
10717       op &= UINT64_C(3);
10724       op &= UINT64_C(31);
10729       op &= UINT64_C(31);
10734       op &= UINT64_C(3);
10739       op &= UINT64_C(31);
10844       op &= UINT64_C(31);
10849       op &= UINT64_C(31);
10854       op &= UINT64_C(31);
10876       op &= UINT64_C(31);
10881       op &= UINT64_C(31);
10886       op &= UINT64_C(31);
10909       op &= UINT64_C(31);
10914       op &= UINT64_C(31);
10919       op &= UINT64_C(7);
10924       op &= UINT64_C(31);
10934       op &= UINT64_C(31);
10939       op &= UINT64_C(31);
10944       op &= UINT64_C(7);
10949       op &= UINT64_C(31);
10956       op &= UINT64_C(31);
10961       op &= UINT64_C(31);
10966       op &= UINT64_C(3);
10987       op &= UINT64_C(31);
10992       op &= UINT64_C(31);
10997       op &= UINT64_C(31);
11008       op &= UINT64_C(31);
11013       op &= UINT64_C(31);
11018       op &= UINT64_C(31);
11029       op &= UINT64_C(31);
11034       op &= UINT64_C(7);
11039       op &= UINT64_C(31);
11048       op &= UINT64_C(31);
11053       op &= UINT64_C(31);
11058       op &= UINT64_C(31);
11066       op &= UINT64_C(31);
11071       op &= UINT64_C(31);
11076       op &= UINT64_C(31);
11087       op &= UINT64_C(31);
11092       op &= UINT64_C(31);
11096       op &= UINT64_C(7);
11130       op &= UINT64_C(31);
11135       op &= UINT64_C(31);
11140       op &= UINT64_C(3);
11148       op &= UINT64_C(31);
11153       op &= UINT64_C(31);
11158       op &= UINT64_C(31);
11162       op &= UINT64_C(3);
11171       op &= UINT64_C(31);
11176       op &= UINT64_C(31);
11181       op &= UINT64_C(31);
11185       op &= UINT64_C(3);
11201       op &= UINT64_C(31);
11206       op &= UINT64_C(31);
11211       op &= UINT64_C(31);
11228       op &= UINT64_C(31);
11233       op &= UINT64_C(31);
11238       op &= UINT64_C(31);
11245       op &= UINT64_C(31);
11250       op &= UINT64_C(31);
11255       op &= UINT64_C(7);
11260       op &= UINT64_C(31);
11267       op &= UINT64_C(31);
11272       op &= UINT64_C(31);
11277       op &= UINT64_C(7);
11282       op &= UINT64_C(31);
11290       op &= UINT64_C(31);
11295       op &= UINT64_C(31);
11306       op &= UINT64_C(31);
11311       op &= UINT64_C(31);
11315       op &= UINT64_C(7);
11324       op &= UINT64_C(31);
11329       op &= UINT64_C(31);
11334       op &= UINT64_C(31);
11350       op &= UINT64_C(31);
11355       op &= UINT64_C(31);
11360       op &= UINT64_C(31);
11386       op &= UINT64_C(31);
11391       op &= UINT64_C(31);
11396       op &= UINT64_C(31);
11404       op &= UINT64_C(31);
11409       op &= UINT64_C(31);
11414       op &= UINT64_C(31);
11430       op &= UINT64_C(31);
11435       op &= UINT64_C(31);
11440       op &= UINT64_C(31);
11464       Value |= (op & UINT64_C(16744448)) << 1;
11465       Value |= (op & UINT64_C(32764)) >> 1;
11472       op &= UINT64_C(31);
11481       op &= UINT64_C(31);
11486       Value |= (op & UINT64_C(49152)) << 8;
11487       Value |= (op & UINT64_C(16383));
11494       Value |= (op & UINT64_C(496)) << 4;
11495       Value |= (op & UINT64_C(12)) << 1;
11498       Value |= (op & UINT64_C(992)) << 11;
11499       Value |= (op & UINT64_C(28)) << 3;
11500       Value |= (op & UINT64_C(3));
11507       Value |= (op & UINT64_C(496)) << 4;
11508       Value |= (op & UINT64_C(12)) << 1;
11511       op &= UINT64_C(31);
11524       op &= UINT64_C(3);
11529       op &= UINT64_C(31);
gen/lib/Target/Lanai/LanaiGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(268632064),	// ADDC_F_I_HI
  193     UINT64_C(268566528),	// ADDC_F_I_LO
  194     UINT64_C(3221356800),	// ADDC_F_R
  195     UINT64_C(268500992),	// ADDC_I_HI
  196     UINT64_C(268435456),	// ADDC_I_LO
  197     UINT64_C(3221225728),	// ADDC_R
  198     UINT64_C(196608),	// ADD_F_I_HI
  199     UINT64_C(131072),	// ADD_F_I_LO
  200     UINT64_C(3221356544),	// ADD_F_R
  201     UINT64_C(65536),	// ADD_I_HI
  202     UINT64_C(0),	// ADD_I_LO
  203     UINT64_C(3221225472),	// ADD_R
  204     UINT64_C(1073938432),	// AND_F_I_HI
  205     UINT64_C(1073872896),	// AND_F_I_LO
  206     UINT64_C(3221357568),	// AND_F_R
  207     UINT64_C(1073807360),	// AND_I_HI
  208     UINT64_C(1073741824),	// AND_I_LO
  209     UINT64_C(3221226496),	// AND_R
  210     UINT64_C(3758096384),	// BRCC
  211     UINT64_C(3238003968),	// BRIND_CC
  212     UINT64_C(3238003968),	// BRIND_CCA
  213     UINT64_C(3774873602),	// BRR
  214     UINT64_C(3758096384),	// BT
  215     UINT64_C(3238003968),	// JR
  216     UINT64_C(4026531840),	// LDADDR
  217     UINT64_C(4026744832),	// LDBs_RI
  218     UINT64_C(2684354564),	// LDBs_RR
  219     UINT64_C(4026748928),	// LDBz_RI
  220     UINT64_C(2684354565),	// LDBz_RR
  221     UINT64_C(4026728448),	// LDHs_RI
  222     UINT64_C(2684354560),	// LDHs_RR
  223     UINT64_C(4026732544),	// LDHz_RI
  224     UINT64_C(2684354561),	// LDHz_RR
  225     UINT64_C(2147483648),	// LDW_RI
  226     UINT64_C(2684354562),	// LDW_RR
  227     UINT64_C(2684354563),	// LDWz_RR
  228     UINT64_C(3489660930),	// LEADZ
  229     UINT64_C(2),	// LOG0
  230     UINT64_C(3),	// LOG1
  231     UINT64_C(4),	// LOG2
  232     UINT64_C(5),	// LOG3
  233     UINT64_C(6),	// LOG4
  234     UINT64_C(65536),	// MOVHI
  235     UINT64_C(1),	// NOP
  236     UINT64_C(1342373888),	// OR_F_I_HI
  237     UINT64_C(1342308352),	// OR_F_I_LO
  238     UINT64_C(3221357824),	// OR_F_R
  239     UINT64_C(1342242816),	// OR_I_HI
  240     UINT64_C(1342177280),	// OR_I_LO
  241     UINT64_C(3221226752),	// OR_R
  242     UINT64_C(3489660929),	// POPC
  243     UINT64_C(2165768188),	// RET
  244     UINT64_C(1879244800),	// SA_F_I
  245     UINT64_C(1879113728),	// SA_I
  246     UINT64_C(3758096386),	// SCC
  247     UINT64_C(3221227264),	// SELECT
  248     UINT64_C(537067520),	// SFSUB_F_RI_HI
  249     UINT64_C(537001984),	// SFSUB_F_RI_LO
  250     UINT64_C(3221357056),	// SFSUB_F_RR
  251     UINT64_C(3221358464),	// SHL_F_R
  252     UINT64_C(3221227392),	// SHL_R
  253     UINT64_C(4026662912),	// SLI
  254     UINT64_C(1879179264),	// SL_F_I
  255     UINT64_C(1879048192),	// SL_I
  256     UINT64_C(3221358528),	// SRA_F_R
  257     UINT64_C(3221227456),	// SRA_R
  258     UINT64_C(3221358464),	// SRL_F_R
  259     UINT64_C(3221227392),	// SRL_R
  260     UINT64_C(4026597376),	// STADDR
  261     UINT64_C(4026753024),	// STB_RI
  262     UINT64_C(2952790020),	// STB_RR
  263     UINT64_C(4026736640),	// STH_RI
  264     UINT64_C(2952790016),	// STH_RR
  265     UINT64_C(805502976),	// SUBB_F_I_HI
  266     UINT64_C(805437440),	// SUBB_F_I_LO
  267     UINT64_C(3221357312),	// SUBB_F_R
  268     UINT64_C(805371904),	// SUBB_I_HI
  269     UINT64_C(805306368),	// SUBB_I_LO
  270     UINT64_C(3221226240),	// SUBB_R
  271     UINT64_C(537067520),	// SUB_F_I_HI
  272     UINT64_C(537001984),	// SUB_F_I_LO
  273     UINT64_C(3221357056),	// SUB_F_R
  274     UINT64_C(536936448),	// SUB_I_HI
  275     UINT64_C(536870912),	// SUB_I_LO
  276     UINT64_C(3221225984),	// SUB_R
  277     UINT64_C(2415919104),	// SW_RI
  278     UINT64_C(2952790018),	// SW_RR
  279     UINT64_C(3489660931),	// TRAILZ
  280     UINT64_C(1610809344),	// XOR_F_I_HI
  281     UINT64_C(1610743808),	// XOR_F_I_LO
  282     UINT64_C(3221358080),	// XOR_F_R
  283     UINT64_C(1610678272),	// XOR_I_HI
  284     UINT64_C(1610612736),	// XOR_I_LO
  285     UINT64_C(3221227008),	// XOR_R
  286     UINT64_C(0)
  305       Value |= (op & UINT64_C(14)) << 24;
  306       Value |= (op & UINT64_C(1));
  309       op &= UINT64_C(65532);
  317       op &= UINT64_C(31);
  322       op &= UINT64_C(1);
  327       op &= UINT64_C(1);
  332       Value |= (op & UINT64_C(126976)) << 6;
  333       Value |= (op & UINT64_C(1023));
  343       op &= UINT64_C(31);
  348       op &= UINT64_C(1);
  353       op &= UINT64_C(1);
  358       Value |= (op & UINT64_C(126976)) << 6;
  359       Value |= (op & UINT64_C(1023));
  366       op &= UINT64_C(31);
  371       op &= UINT64_C(1);
  376       op &= UINT64_C(1);
  381       Value |= (op & UINT64_C(8126464));
  382       Value |= (op & UINT64_C(65535));
  389       op &= UINT64_C(31);
  394       op &= UINT64_C(1);
  399       op &= UINT64_C(1);
  404       Value |= (op & UINT64_C(8126464));
  405       Value |= (op & UINT64_C(65535));
  414       op &= UINT64_C(31);
  419       op &= UINT64_C(1);
  424       op &= UINT64_C(1);
  429       Value |= (op & UINT64_C(1015808)) << 3;
  430       Value |= (op & UINT64_C(31744)) << 1;
  431       Value |= (op & UINT64_C(255)) << 3;
  443       op &= UINT64_C(31);
  448       op &= UINT64_C(1);
  453       op &= UINT64_C(1);
  458       Value |= (op & UINT64_C(1015808)) << 3;
  459       Value |= (op & UINT64_C(31744)) << 1;
  460       Value |= (op & UINT64_C(255)) << 3;
  469       op &= UINT64_C(31);
  474       op &= UINT64_C(31);
  502       op &= UINT64_C(31);
  507       op &= UINT64_C(31);
  512       op &= UINT64_C(31);
  517       Value |= (op & UINT64_C(1)) << 16;
  518       Value |= (op & UINT64_C(14)) >> 1;
  555       op &= UINT64_C(31);
  560       op &= UINT64_C(31);
  565       op &= UINT64_C(65535);
  572       op &= UINT64_C(31);
  577       Value |= (op & UINT64_C(2031616)) << 2;
  578       Value |= (op & UINT64_C(65535));
  584       op &= UINT64_C(31);
  589       Value |= (op & UINT64_C(2031616)) << 2;
  590       Value |= (op & UINT64_C(65535));
  596       op &= UINT64_C(31);
  601       op &= UINT64_C(65535);
  608       op &= UINT64_C(31);
  613       Value |= (op & UINT64_C(2031616)) << 2;
  614       Value |= (op & UINT64_C(65535));
  620       op &= UINT64_C(31);
  625       Value |= (op & UINT64_C(1)) << 16;
  626       Value |= (op & UINT64_C(14)) >> 1;
  632       op &= UINT64_C(31);
  637       Value |= (op & UINT64_C(14)) << 24;
  638       Value |= (op & UINT64_C(1));
  644       op &= UINT64_C(31);
  649       op &= UINT64_C(31);
  657       op &= UINT64_C(31);
  662       op &= UINT64_C(31);
  667       Value |= (op & UINT64_C(1)) << 16;
  668       Value |= (op & UINT64_C(14)) >> 1;
  675       op &= UINT64_C(31);
  680       op &= UINT64_C(65535);
  687       op &= UINT64_C(31);
  695       op &= UINT64_C(33554428);
  702       op &= UINT64_C(33554428);
  706       Value |= (op & UINT64_C(14)) << 24;
  707       Value |= (op & UINT64_C(1));
gen/lib/Target/MSP430/MSP430GenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(20608),	// ADD16mc
  188     UINT64_C(20656),	// ADD16mi
  189     UINT64_C(20624),	// ADD16mm
  190     UINT64_C(20640),	// ADD16mn
  191     UINT64_C(20656),	// ADD16mp
  192     UINT64_C(20608),	// ADD16mr
  193     UINT64_C(20480),	// ADD16rc
  194     UINT64_C(20528),	// ADD16ri
  195     UINT64_C(20496),	// ADD16rm
  196     UINT64_C(20512),	// ADD16rn
  197     UINT64_C(20528),	// ADD16rp
  198     UINT64_C(20480),	// ADD16rr
  199     UINT64_C(20672),	// ADD8mc
  200     UINT64_C(20720),	// ADD8mi
  201     UINT64_C(20688),	// ADD8mm
  202     UINT64_C(20704),	// ADD8mn
  203     UINT64_C(20720),	// ADD8mp
  204     UINT64_C(20672),	// ADD8mr
  205     UINT64_C(20544),	// ADD8rc
  206     UINT64_C(20592),	// ADD8ri
  207     UINT64_C(20560),	// ADD8rm
  208     UINT64_C(20576),	// ADD8rn
  209     UINT64_C(20592),	// ADD8rp
  210     UINT64_C(20544),	// ADD8rr
  211     UINT64_C(24704),	// ADDC16mc
  212     UINT64_C(24752),	// ADDC16mi
  213     UINT64_C(24720),	// ADDC16mm
  214     UINT64_C(24736),	// ADDC16mn
  215     UINT64_C(24752),	// ADDC16mp
  216     UINT64_C(24704),	// ADDC16mr
  217     UINT64_C(24576),	// ADDC16rc
  218     UINT64_C(24624),	// ADDC16ri
  219     UINT64_C(24592),	// ADDC16rm
  220     UINT64_C(24608),	// ADDC16rn
  221     UINT64_C(24624),	// ADDC16rp
  222     UINT64_C(24576),	// ADDC16rr
  223     UINT64_C(24768),	// ADDC8mc
  224     UINT64_C(24816),	// ADDC8mi
  225     UINT64_C(24784),	// ADDC8mm
  226     UINT64_C(24800),	// ADDC8mn
  227     UINT64_C(24816),	// ADDC8mp
  228     UINT64_C(24768),	// ADDC8mr
  229     UINT64_C(24640),	// ADDC8rc
  230     UINT64_C(24688),	// ADDC8ri
  231     UINT64_C(24656),	// ADDC8rm
  232     UINT64_C(24672),	// ADDC8rn
  233     UINT64_C(24688),	// ADDC8rp
  234     UINT64_C(24640),	// ADDC8rr
  235     UINT64_C(0),	// ADDframe
  236     UINT64_C(0),	// ADJCALLSTACKDOWN
  237     UINT64_C(0),	// ADJCALLSTACKUP
  238     UINT64_C(61568),	// AND16mc
  239     UINT64_C(61616),	// AND16mi
  240     UINT64_C(61584),	// AND16mm
  241     UINT64_C(61600),	// AND16mn
  242     UINT64_C(61616),	// AND16mp
  243     UINT64_C(61568),	// AND16mr
  244     UINT64_C(61440),	// AND16rc
  245     UINT64_C(61488),	// AND16ri
  246     UINT64_C(61456),	// AND16rm
  247     UINT64_C(61472),	// AND16rn
  248     UINT64_C(61488),	// AND16rp
  249     UINT64_C(61440),	// AND16rr
  250     UINT64_C(61632),	// AND8mc
  251     UINT64_C(61680),	// AND8mi
  252     UINT64_C(61648),	// AND8mm
  253     UINT64_C(61664),	// AND8mn
  254     UINT64_C(61680),	// AND8mp
  255     UINT64_C(61632),	// AND8mr
  256     UINT64_C(61504),	// AND8rc
  257     UINT64_C(61552),	// AND8ri
  258     UINT64_C(61520),	// AND8rm
  259     UINT64_C(61536),	// AND8rn
  260     UINT64_C(61552),	// AND8rp
  261     UINT64_C(61504),	// AND8rr
  262     UINT64_C(49280),	// BIC16mc
  263     UINT64_C(49328),	// BIC16mi
  264     UINT64_C(49296),	// BIC16mm
  265     UINT64_C(49312),	// BIC16mn
  266     UINT64_C(49328),	// BIC16mp
  267     UINT64_C(49280),	// BIC16mr
  268     UINT64_C(49152),	// BIC16rc
  269     UINT64_C(49200),	// BIC16ri
  270     UINT64_C(49168),	// BIC16rm
  271     UINT64_C(49184),	// BIC16rn
  272     UINT64_C(49200),	// BIC16rp
  273     UINT64_C(49152),	// BIC16rr
  274     UINT64_C(49344),	// BIC8mc
  275     UINT64_C(49392),	// BIC8mi
  276     UINT64_C(49360),	// BIC8mm
  277     UINT64_C(49376),	// BIC8mn
  278     UINT64_C(49392),	// BIC8mp
  279     UINT64_C(49344),	// BIC8mr
  280     UINT64_C(49216),	// BIC8rc
  281     UINT64_C(49264),	// BIC8ri
  282     UINT64_C(49232),	// BIC8rm
  283     UINT64_C(49248),	// BIC8rn
  284     UINT64_C(49264),	// BIC8rp
  285     UINT64_C(49216),	// BIC8rr
  286     UINT64_C(53376),	// BIS16mc
  287     UINT64_C(53424),	// BIS16mi
  288     UINT64_C(53392),	// BIS16mm
  289     UINT64_C(53408),	// BIS16mn
  290     UINT64_C(53424),	// BIS16mp
  291     UINT64_C(53376),	// BIS16mr
  292     UINT64_C(53248),	// BIS16rc
  293     UINT64_C(53296),	// BIS16ri
  294     UINT64_C(53264),	// BIS16rm
  295     UINT64_C(53280),	// BIS16rn
  296     UINT64_C(53296),	// BIS16rp
  297     UINT64_C(53248),	// BIS16rr
  298     UINT64_C(53440),	// BIS8mc
  299     UINT64_C(53488),	// BIS8mi
  300     UINT64_C(53456),	// BIS8mm
  301     UINT64_C(53472),	// BIS8mn
  302     UINT64_C(53488),	// BIS8mp
  303     UINT64_C(53440),	// BIS8mr
  304     UINT64_C(53312),	// BIS8rc
  305     UINT64_C(53360),	// BIS8ri
  306     UINT64_C(53328),	// BIS8rm
  307     UINT64_C(53344),	// BIS8rn
  308     UINT64_C(53360),	// BIS8rp
  309     UINT64_C(53312),	// BIS8rr
  310     UINT64_C(45184),	// BIT16mc
  311     UINT64_C(45232),	// BIT16mi
  312     UINT64_C(45200),	// BIT16mm
  313     UINT64_C(45216),	// BIT16mn
  314     UINT64_C(45232),	// BIT16mp
  315     UINT64_C(45184),	// BIT16mr
  316     UINT64_C(45056),	// BIT16rc
  317     UINT64_C(45104),	// BIT16ri
  318     UINT64_C(45072),	// BIT16rm
  319     UINT64_C(45088),	// BIT16rn
  320     UINT64_C(45104),	// BIT16rp
  321     UINT64_C(45056),	// BIT16rr
  322     UINT64_C(45248),	// BIT8mc
  323     UINT64_C(45296),	// BIT8mi
  324     UINT64_C(45264),	// BIT8mm
  325     UINT64_C(45280),	// BIT8mn
  326     UINT64_C(45296),	// BIT8mp
  327     UINT64_C(45248),	// BIT8mr
  328     UINT64_C(45120),	// BIT8rc
  329     UINT64_C(45168),	// BIT8ri
  330     UINT64_C(45136),	// BIT8rm
  331     UINT64_C(45152),	// BIT8rn
  332     UINT64_C(45168),	// BIT8rp
  333     UINT64_C(45120),	// BIT8rr
  334     UINT64_C(16432),	// Bi
  335     UINT64_C(16400),	// Bm
  336     UINT64_C(16384),	// Br
  337     UINT64_C(4784),	// CALLi
  338     UINT64_C(4752),	// CALLm
  339     UINT64_C(4768),	// CALLn
  340     UINT64_C(4784),	// CALLp
  341     UINT64_C(4736),	// CALLr
  342     UINT64_C(36992),	// CMP16mc
  343     UINT64_C(37040),	// CMP16mi
  344     UINT64_C(37008),	// CMP16mm
  345     UINT64_C(37024),	// CMP16mn
  346     UINT64_C(37040),	// CMP16mp
  347     UINT64_C(36992),	// CMP16mr
  348     UINT64_C(36864),	// CMP16rc
  349     UINT64_C(36912),	// CMP16ri
  350     UINT64_C(36880),	// CMP16rm
  351     UINT64_C(36896),	// CMP16rn
  352     UINT64_C(36912),	// CMP16rp
  353     UINT64_C(36864),	// CMP16rr
  354     UINT64_C(37056),	// CMP8mc
  355     UINT64_C(37104),	// CMP8mi
  356     UINT64_C(37072),	// CMP8mm
  357     UINT64_C(37088),	// CMP8mn
  358     UINT64_C(37104),	// CMP8mp
  359     UINT64_C(37056),	// CMP8mr
  360     UINT64_C(36928),	// CMP8rc
  361     UINT64_C(36976),	// CMP8ri
  362     UINT64_C(36944),	// CMP8rm
  363     UINT64_C(36960),	// CMP8rn
  364     UINT64_C(36976),	// CMP8rp
  365     UINT64_C(36928),	// CMP8rr
  366     UINT64_C(41088),	// DADD16mc
  367     UINT64_C(41136),	// DADD16mi
  368     UINT64_C(41104),	// DADD16mm
  369     UINT64_C(41120),	// DADD16mn
  370     UINT64_C(41136),	// DADD16mp
  371     UINT64_C(41088),	// DADD16mr
  372     UINT64_C(40960),	// DADD16rc
  373     UINT64_C(41008),	// DADD16ri
  374     UINT64_C(40976),	// DADD16rm
  375     UINT64_C(40992),	// DADD16rn
  376     UINT64_C(41008),	// DADD16rp
  377     UINT64_C(40960),	// DADD16rr
  378     UINT64_C(41152),	// DADD8mc
  379     UINT64_C(41200),	// DADD8mi
  380     UINT64_C(41168),	// DADD8mm
  381     UINT64_C(41184),	// DADD8mn
  382     UINT64_C(41200),	// DADD8mp
  383     UINT64_C(41152),	// DADD8mr
  384     UINT64_C(41024),	// DADD8rc
  385     UINT64_C(41072),	// DADD8ri
  386     UINT64_C(41040),	// DADD8rm
  387     UINT64_C(41056),	// DADD8rn
  388     UINT64_C(41072),	// DADD8rp
  389     UINT64_C(41024),	// DADD8rr
  390     UINT64_C(8192),	// JCC
  391     UINT64_C(15360),	// JMP
  392     UINT64_C(16512),	// MOV16mc
  393     UINT64_C(16560),	// MOV16mi
  394     UINT64_C(16528),	// MOV16mm
  395     UINT64_C(16544),	// MOV16mn
  396     UINT64_C(16512),	// MOV16mr
  397     UINT64_C(16384),	// MOV16rc
  398     UINT64_C(16432),	// MOV16ri
  399     UINT64_C(16400),	// MOV16rm
  400     UINT64_C(16416),	// MOV16rn
  401     UINT64_C(16432),	// MOV16rp
  402     UINT64_C(16384),	// MOV16rr
  403     UINT64_C(16576),	// MOV8mc
  404     UINT64_C(16624),	// MOV8mi
  405     UINT64_C(16592),	// MOV8mm
  406     UINT64_C(16608),	// MOV8mn
  407     UINT64_C(16576),	// MOV8mr
  408     UINT64_C(16448),	// MOV8rc
  409     UINT64_C(16496),	// MOV8ri
  410     UINT64_C(16464),	// MOV8rm
  411     UINT64_C(16480),	// MOV8rn
  412     UINT64_C(16496),	// MOV8rp
  413     UINT64_C(16448),	// MOV8rr
  414     UINT64_C(16464),	// MOVZX16rm8
  415     UINT64_C(16448),	// MOVZX16rr8
  416     UINT64_C(16688),	// POP16r
  417     UINT64_C(4608),	// PUSH16c
  418     UINT64_C(4656),	// PUSH16i
  419     UINT64_C(4608),	// PUSH16r
  420     UINT64_C(4672),	// PUSH8r
  421     UINT64_C(16688),	// RET
  422     UINT64_C(4864),	// RETI
  423     UINT64_C(4368),	// RRA16m
  424     UINT64_C(4384),	// RRA16n
  425     UINT64_C(4400),	// RRA16p
  426     UINT64_C(4352),	// RRA16r
  427     UINT64_C(4432),	// RRA8m
  428     UINT64_C(4448),	// RRA8n
  429     UINT64_C(4464),	// RRA8p
  430     UINT64_C(4416),	// RRA8r
  431     UINT64_C(4112),	// RRC16m
  432     UINT64_C(4128),	// RRC16n
  433     UINT64_C(4144),	// RRC16p
  434     UINT64_C(4096),	// RRC16r
  435     UINT64_C(4176),	// RRC8m
  436     UINT64_C(4192),	// RRC8n
  437     UINT64_C(4208),	// RRC8p
  438     UINT64_C(4160),	// RRC8r
  439     UINT64_C(0),	// Rrcl16
  440     UINT64_C(0),	// Rrcl8
  441     UINT64_C(4496),	// SEXT16m
  442     UINT64_C(4512),	// SEXT16n
  443     UINT64_C(4528),	// SEXT16p
  444     UINT64_C(4480),	// SEXT16r
  445     UINT64_C(32896),	// SUB16mc
  446     UINT64_C(32944),	// SUB16mi
  447     UINT64_C(32912),	// SUB16mm
  448     UINT64_C(32928),	// SUB16mn
  449     UINT64_C(32944),	// SUB16mp
  450     UINT64_C(32896),	// SUB16mr
  451     UINT64_C(32768),	// SUB16rc
  452     UINT64_C(32816),	// SUB16ri
  453     UINT64_C(32784),	// SUB16rm
  454     UINT64_C(32800),	// SUB16rn
  455     UINT64_C(32816),	// SUB16rp
  456     UINT64_C(32768),	// SUB16rr
  457     UINT64_C(32960),	// SUB8mc
  458     UINT64_C(33008),	// SUB8mi
  459     UINT64_C(32976),	// SUB8mm
  460     UINT64_C(32992),	// SUB8mn
  461     UINT64_C(33008),	// SUB8mp
  462     UINT64_C(32960),	// SUB8mr
  463     UINT64_C(32832),	// SUB8rc
  464     UINT64_C(32880),	// SUB8ri
  465     UINT64_C(32848),	// SUB8rm
  466     UINT64_C(32864),	// SUB8rn
  467     UINT64_C(32880),	// SUB8rp
  468     UINT64_C(32832),	// SUB8rr
  469     UINT64_C(28800),	// SUBC16mc
  470     UINT64_C(28848),	// SUBC16mi
  471     UINT64_C(28816),	// SUBC16mm
  472     UINT64_C(28832),	// SUBC16mn
  473     UINT64_C(28848),	// SUBC16mp
  474     UINT64_C(28800),	// SUBC16mr
  475     UINT64_C(28672),	// SUBC16rc
  476     UINT64_C(28720),	// SUBC16ri
  477     UINT64_C(28688),	// SUBC16rm
  478     UINT64_C(28704),	// SUBC16rn
  479     UINT64_C(28720),	// SUBC16rp
  480     UINT64_C(28672),	// SUBC16rr
  481     UINT64_C(28864),	// SUBC8mc
  482     UINT64_C(28912),	// SUBC8mi
  483     UINT64_C(28880),	// SUBC8mm
  484     UINT64_C(28896),	// SUBC8mn
  485     UINT64_C(28912),	// SUBC8mp
  486     UINT64_C(28864),	// SUBC8mr
  487     UINT64_C(28736),	// SUBC8rc
  488     UINT64_C(28784),	// SUBC8ri
  489     UINT64_C(28752),	// SUBC8rm
  490     UINT64_C(28768),	// SUBC8rn
  491     UINT64_C(28784),	// SUBC8rp
  492     UINT64_C(28736),	// SUBC8rr
  493     UINT64_C(4240),	// SWPB16m
  494     UINT64_C(4256),	// SWPB16n
  495     UINT64_C(4272),	// SWPB16p
  496     UINT64_C(4224),	// SWPB16r
  497     UINT64_C(0),	// Select16
  498     UINT64_C(0),	// Select8
  499     UINT64_C(0),	// Shl16
  500     UINT64_C(0),	// Shl8
  501     UINT64_C(0),	// Sra16
  502     UINT64_C(0),	// Sra8
  503     UINT64_C(0),	// Srl16
  504     UINT64_C(0),	// Srl8
  505     UINT64_C(57472),	// XOR16mc
  506     UINT64_C(57520),	// XOR16mi
  507     UINT64_C(57488),	// XOR16mm
  508     UINT64_C(57504),	// XOR16mn
  509     UINT64_C(57520),	// XOR16mp
  510     UINT64_C(57472),	// XOR16mr
  511     UINT64_C(57344),	// XOR16rc
  512     UINT64_C(57392),	// XOR16ri
  513     UINT64_C(57360),	// XOR16rm
  514     UINT64_C(57376),	// XOR16rn
  515     UINT64_C(57392),	// XOR16rp
  516     UINT64_C(57344),	// XOR16rr
  517     UINT64_C(57536),	// XOR8mc
  518     UINT64_C(57584),	// XOR8mi
  519     UINT64_C(57552),	// XOR8mm
  520     UINT64_C(57568),	// XOR8mn
  521     UINT64_C(57584),	// XOR8mp
  522     UINT64_C(57536),	// XOR8mr
  523     UINT64_C(57408),	// XOR8rc
  524     UINT64_C(57456),	// XOR8ri
  525     UINT64_C(57424),	// XOR8rm
  526     UINT64_C(57440),	// XOR8rn
  527     UINT64_C(57456),	// XOR8rp
  528     UINT64_C(57408),	// XOR8rr
  529     UINT64_C(16448),	// ZEXT16r
  530     UINT64_C(0)
  557       op &= UINT64_C(7);
  562       op &= UINT64_C(1023);
  569       op &= UINT64_C(1023);
  576       op &= UINT64_C(63);
  588       Value |= (op & UINT64_C(15)) << 8;
  589       Value |= (op & UINT64_C(48));
  592       op &= UINT64_C(15);
  622       Value |= (op & UINT64_C(15)) << 8;
  623       Value |= (op & UINT64_C(48));
  626       Value |= (op & UINT64_C(1048560)) << 12;
  627       Value |= (op & UINT64_C(15));
  650       Value |= (op & UINT64_C(15)) << 8;
  651       Value |= (op & UINT64_C(48));
  654       op &= UINT64_C(15);
  663       op &= UINT64_C(65535);
  694       op &= UINT64_C(65535);
  699       Value |= (op & UINT64_C(1048560)) << 28;
  700       Value |= (op & UINT64_C(15));
  706       op &= UINT64_C(15);
  718       op &= UINT64_C(15);
  722       op &= UINT64_C(65535);
  747       op &= UINT64_C(15);
  751       op &= UINT64_C(65535);
  765       op &= UINT64_C(15);
  769       Value |= (op & UINT64_C(1048560)) << 12;
  770       Value |= (op & UINT64_C(15)) << 8;
  793       op &= UINT64_C(15);
  797       Value |= (op & UINT64_C(1048560)) << 12;
  798       Value |= (op & UINT64_C(15)) << 8;
  820       op &= UINT64_C(15);
  827       op &= UINT64_C(15);
  840       op &= UINT64_C(15);
  864       op &= UINT64_C(15);
  869       op &= UINT64_C(15);
  945       op &= UINT64_C(15);
  950       Value |= (op & UINT64_C(1048560)) << 12;
  951       Value |= (op & UINT64_C(15));
  994       op &= UINT64_C(15);
  999       op &= UINT64_C(15);
 1023       op &= UINT64_C(15);
 1028       op &= UINT64_C(15);
 1035       Value |= (op & UINT64_C(1048560)) << 12;
 1036       Value |= (op & UINT64_C(15)) << 8;
 1048       Value |= (op & UINT64_C(1048560)) << 12;
 1049       Value |= (op & UINT64_C(15));
 1078       Value |= (op & UINT64_C(1048560)) << 12;
 1079       Value |= (op & UINT64_C(15)) << 8;
 1082       Value |= (op & UINT64_C(1048560)) << 28;
 1083       Value |= (op & UINT64_C(15));
gen/lib/Target/Mips/MipsGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(0),
  261     UINT64_C(0),
  262     UINT64_C(0),
  263     UINT64_C(0),
  264     UINT64_C(0),
  265     UINT64_C(0),
  266     UINT64_C(0),
  267     UINT64_C(0),
  268     UINT64_C(0),
  269     UINT64_C(0),
  270     UINT64_C(0),
  271     UINT64_C(0),
  272     UINT64_C(0),
  273     UINT64_C(0),
  274     UINT64_C(0),
  275     UINT64_C(0),
  276     UINT64_C(0),
  277     UINT64_C(0),
  278     UINT64_C(0),
  279     UINT64_C(0),
  280     UINT64_C(0),
  281     UINT64_C(0),
  282     UINT64_C(0),
  283     UINT64_C(0),
  284     UINT64_C(0),
  285     UINT64_C(0),
  286     UINT64_C(0),
  287     UINT64_C(0),
  288     UINT64_C(0),
  289     UINT64_C(0),
  290     UINT64_C(0),
  291     UINT64_C(0),
  292     UINT64_C(0),
  293     UINT64_C(0),
  294     UINT64_C(0),
  295     UINT64_C(0),
  296     UINT64_C(0),
  297     UINT64_C(0),
  298     UINT64_C(0),
  299     UINT64_C(0),
  300     UINT64_C(0),
  301     UINT64_C(0),
  302     UINT64_C(0),
  303     UINT64_C(0),
  304     UINT64_C(0),
  305     UINT64_C(0),
  306     UINT64_C(0),
  307     UINT64_C(0),
  308     UINT64_C(0),
  309     UINT64_C(0),
  310     UINT64_C(0),
  311     UINT64_C(0),
  312     UINT64_C(0),
  313     UINT64_C(0),
  314     UINT64_C(0),
  315     UINT64_C(0),
  316     UINT64_C(0),
  317     UINT64_C(0),
  318     UINT64_C(0),
  319     UINT64_C(0),
  320     UINT64_C(0),
  321     UINT64_C(0),
  322     UINT64_C(0),
  323     UINT64_C(0),
  324     UINT64_C(0),
  325     UINT64_C(0),
  326     UINT64_C(0),
  327     UINT64_C(0),
  328     UINT64_C(0),
  329     UINT64_C(0),
  330     UINT64_C(0),
  331     UINT64_C(0),
  332     UINT64_C(0),
  333     UINT64_C(0),
  334     UINT64_C(0),
  335     UINT64_C(0),
  336     UINT64_C(0),
  337     UINT64_C(0),
  338     UINT64_C(0),
  339     UINT64_C(0),
  340     UINT64_C(0),
  341     UINT64_C(0),
  342     UINT64_C(0),
  343     UINT64_C(0),
  344     UINT64_C(0),
  345     UINT64_C(0),
  346     UINT64_C(0),
  347     UINT64_C(0),
  348     UINT64_C(0),
  349     UINT64_C(0),
  350     UINT64_C(0),
  351     UINT64_C(0),
  352     UINT64_C(0),
  353     UINT64_C(0),
  354     UINT64_C(0),
  355     UINT64_C(0),
  356     UINT64_C(0),
  357     UINT64_C(0),
  358     UINT64_C(0),
  359     UINT64_C(0),
  360     UINT64_C(0),
  361     UINT64_C(0),
  362     UINT64_C(0),
  363     UINT64_C(0),
  364     UINT64_C(0),
  365     UINT64_C(0),
  366     UINT64_C(0),
  367     UINT64_C(0),
  368     UINT64_C(0),
  369     UINT64_C(0),
  370     UINT64_C(0),
  371     UINT64_C(0),
  372     UINT64_C(0),
  373     UINT64_C(0),
  374     UINT64_C(0),
  375     UINT64_C(0),
  376     UINT64_C(0),
  377     UINT64_C(0),
  378     UINT64_C(0),
  379     UINT64_C(0),
  380     UINT64_C(0),
  381     UINT64_C(0),
  382     UINT64_C(0),
  383     UINT64_C(0),
  384     UINT64_C(0),
  385     UINT64_C(0),
  386     UINT64_C(0),
  387     UINT64_C(0),
  388     UINT64_C(0),
  389     UINT64_C(0),
  390     UINT64_C(0),
  391     UINT64_C(0),
  392     UINT64_C(0),
  393     UINT64_C(0),
  394     UINT64_C(0),
  395     UINT64_C(0),
  396     UINT64_C(0),
  397     UINT64_C(0),
  398     UINT64_C(0),
  399     UINT64_C(0),
  400     UINT64_C(0),
  401     UINT64_C(0),
  402     UINT64_C(0),
  403     UINT64_C(0),
  404     UINT64_C(0),
  405     UINT64_C(0),
  406     UINT64_C(0),
  407     UINT64_C(0),
  408     UINT64_C(0),
  409     UINT64_C(0),
  410     UINT64_C(0),
  411     UINT64_C(0),
  412     UINT64_C(0),
  413     UINT64_C(0),
  414     UINT64_C(0),
  415     UINT64_C(0),
  416     UINT64_C(0),
  417     UINT64_C(0),
  418     UINT64_C(0),
  419     UINT64_C(0),
  420     UINT64_C(0),
  421     UINT64_C(0),
  422     UINT64_C(0),
  423     UINT64_C(0),
  424     UINT64_C(0),
  425     UINT64_C(0),
  426     UINT64_C(0),
  427     UINT64_C(0),
  428     UINT64_C(0),
  429     UINT64_C(0),
  430     UINT64_C(0),
  431     UINT64_C(0),
  432     UINT64_C(0),
  433     UINT64_C(0),
  434     UINT64_C(0),
  435     UINT64_C(0),
  436     UINT64_C(0),
  437     UINT64_C(0),
  438     UINT64_C(0),
  439     UINT64_C(0),
  440     UINT64_C(0),
  441     UINT64_C(0),
  442     UINT64_C(0),
  443     UINT64_C(0),
  444     UINT64_C(0),
  445     UINT64_C(0),
  446     UINT64_C(0),
  447     UINT64_C(0),
  448     UINT64_C(0),
  449     UINT64_C(0),
  450     UINT64_C(0),
  451     UINT64_C(0),
  452     UINT64_C(0),
  453     UINT64_C(0),
  454     UINT64_C(0),
  455     UINT64_C(0),
  456     UINT64_C(0),
  457     UINT64_C(0),
  458     UINT64_C(0),
  459     UINT64_C(0),
  460     UINT64_C(0),
  461     UINT64_C(0),
  462     UINT64_C(0),
  463     UINT64_C(0),
  464     UINT64_C(0),
  465     UINT64_C(0),
  466     UINT64_C(0),
  467     UINT64_C(0),
  468     UINT64_C(0),
  469     UINT64_C(0),
  470     UINT64_C(0),
  471     UINT64_C(0),
  472     UINT64_C(0),
  473     UINT64_C(0),
  474     UINT64_C(0),
  475     UINT64_C(0),
  476     UINT64_C(0),
  477     UINT64_C(0),
  478     UINT64_C(0),
  479     UINT64_C(0),
  480     UINT64_C(0),
  481     UINT64_C(0),
  482     UINT64_C(0),
  483     UINT64_C(0),
  484     UINT64_C(0),
  485     UINT64_C(0),
  486     UINT64_C(0),
  487     UINT64_C(0),
  488     UINT64_C(0),
  489     UINT64_C(0),
  490     UINT64_C(0),
  491     UINT64_C(0),
  492     UINT64_C(0),
  493     UINT64_C(0),
  494     UINT64_C(0),
  495     UINT64_C(0),
  496     UINT64_C(0),
  497     UINT64_C(0),
  498     UINT64_C(0),
  499     UINT64_C(0),
  500     UINT64_C(0),
  501     UINT64_C(0),
  502     UINT64_C(0),
  503     UINT64_C(0),
  504     UINT64_C(0),
  505     UINT64_C(0),
  506     UINT64_C(0),
  507     UINT64_C(0),
  508     UINT64_C(0),
  509     UINT64_C(0),
  510     UINT64_C(0),
  511     UINT64_C(0),
  512     UINT64_C(0),
  513     UINT64_C(0),
  514     UINT64_C(0),
  515     UINT64_C(0),
  516     UINT64_C(0),
  517     UINT64_C(0),
  518     UINT64_C(0),
  519     UINT64_C(0),
  520     UINT64_C(0),
  521     UINT64_C(0),
  522     UINT64_C(0),
  523     UINT64_C(0),
  524     UINT64_C(0),
  525     UINT64_C(0),
  526     UINT64_C(0),
  527     UINT64_C(0),
  528     UINT64_C(0),
  529     UINT64_C(0),
  530     UINT64_C(0),
  531     UINT64_C(0),
  532     UINT64_C(0),
  533     UINT64_C(0),
  534     UINT64_C(0),
  535     UINT64_C(0),
  536     UINT64_C(0),
  537     UINT64_C(0),
  538     UINT64_C(0),
  539     UINT64_C(0),
  540     UINT64_C(0),
  541     UINT64_C(0),
  542     UINT64_C(0),
  543     UINT64_C(0),
  544     UINT64_C(0),
  545     UINT64_C(0),
  546     UINT64_C(0),
  547     UINT64_C(0),
  548     UINT64_C(0),
  549     UINT64_C(0),
  550     UINT64_C(0),
  551     UINT64_C(0),
  552     UINT64_C(0),
  553     UINT64_C(0),
  554     UINT64_C(0),
  555     UINT64_C(0),
  556     UINT64_C(0),
  557     UINT64_C(0),
  558     UINT64_C(0),
  559     UINT64_C(0),
  560     UINT64_C(0),
  561     UINT64_C(0),
  562     UINT64_C(0),
  563     UINT64_C(0),
  564     UINT64_C(0),
  565     UINT64_C(0),
  566     UINT64_C(0),
  567     UINT64_C(0),
  568     UINT64_C(0),
  569     UINT64_C(0),
  570     UINT64_C(0),
  571     UINT64_C(0),
  572     UINT64_C(0),
  573     UINT64_C(0),
  574     UINT64_C(0),
  575     UINT64_C(0),
  576     UINT64_C(0),
  577     UINT64_C(0),
  578     UINT64_C(0),
  579     UINT64_C(0),
  580     UINT64_C(0),
  581     UINT64_C(0),
  582     UINT64_C(0),
  583     UINT64_C(0),
  584     UINT64_C(0),
  585     UINT64_C(0),
  586     UINT64_C(0),
  587     UINT64_C(0),
  588     UINT64_C(0),
  589     UINT64_C(0),
  590     UINT64_C(0),
  591     UINT64_C(0),
  592     UINT64_C(0),
  593     UINT64_C(0),
  594     UINT64_C(0),
  595     UINT64_C(0),
  596     UINT64_C(0),
  597     UINT64_C(0),
  598     UINT64_C(0),
  599     UINT64_C(2080375378),	// ABSQ_S_PH
  600     UINT64_C(4412),	// ABSQ_S_PH_MM
  601     UINT64_C(2080374866),	// ABSQ_S_QB
  602     UINT64_C(316),	// ABSQ_S_QB_MMR2
  603     UINT64_C(2080375890),	// ABSQ_S_W
  604     UINT64_C(8508),	// ABSQ_S_W_MM
  605     UINT64_C(32),	// ADD
  606     UINT64_C(3959422976),	// ADDIUPC
  607     UINT64_C(2013265920),	// ADDIUPC_MM
  608     UINT64_C(2013265920),	// ADDIUPC_MMR6
  609     UINT64_C(27649),	// ADDIUR1SP_MM
  610     UINT64_C(27648),	// ADDIUR2_MM
  611     UINT64_C(19456),	// ADDIUS5_MM
  612     UINT64_C(19457),	// ADDIUSP_MM
  613     UINT64_C(805306368),	// ADDIU_MMR6
  614     UINT64_C(2080375320),	// ADDQH_PH
  615     UINT64_C(77),	// ADDQH_PH_MMR2
  616     UINT64_C(2080375448),	// ADDQH_R_PH
  617     UINT64_C(1101),	// ADDQH_R_PH_MMR2
  618     UINT64_C(2080375960),	// ADDQH_R_W
  619     UINT64_C(1165),	// ADDQH_R_W_MMR2
  620     UINT64_C(2080375832),	// ADDQH_W
  621     UINT64_C(141),	// ADDQH_W_MMR2
  622     UINT64_C(2080375440),	// ADDQ_PH
  623     UINT64_C(13),	// ADDQ_PH_MM
  624     UINT64_C(2080375696),	// ADDQ_S_PH
  625     UINT64_C(1037),	// ADDQ_S_PH_MM
  626     UINT64_C(2080376208),	// ADDQ_S_W
  627     UINT64_C(773),	// ADDQ_S_W_MM
  628     UINT64_C(2080375824),	// ADDSC
  629     UINT64_C(901),	// ADDSC_MM
  630     UINT64_C(2021654544),	// ADDS_A_B
  631     UINT64_C(2027946000),	// ADDS_A_D
  632     UINT64_C(2023751696),	// ADDS_A_H
  633     UINT64_C(2025848848),	// ADDS_A_W
  634     UINT64_C(2030043152),	// ADDS_S_B
  635     UINT64_C(2036334608),	// ADDS_S_D
  636     UINT64_C(2032140304),	// ADDS_S_H
  637     UINT64_C(2034237456),	// ADDS_S_W
  638     UINT64_C(2038431760),	// ADDS_U_B
  639     UINT64_C(2044723216),	// ADDS_U_D
  640     UINT64_C(2040528912),	// ADDS_U_H
  641     UINT64_C(2042626064),	// ADDS_U_W
  642     UINT64_C(1024),	// ADDU16_MM
  643     UINT64_C(1024),	// ADDU16_MMR6
  644     UINT64_C(2080374808),	// ADDUH_QB
  645     UINT64_C(333),	// ADDUH_QB_MMR2
  646     UINT64_C(2080374936),	// ADDUH_R_QB
  647     UINT64_C(1357),	// ADDUH_R_QB_MMR2
  648     UINT64_C(336),	// ADDU_MMR6
  649     UINT64_C(2080375312),	// ADDU_PH
  650     UINT64_C(269),	// ADDU_PH_MMR2
  651     UINT64_C(2080374800),	// ADDU_QB
  652     UINT64_C(205),	// ADDU_QB_MM
  653     UINT64_C(2080375568),	// ADDU_S_PH
  654     UINT64_C(1293),	// ADDU_S_PH_MMR2
  655     UINT64_C(2080375056),	// ADDU_S_QB
  656     UINT64_C(1229),	// ADDU_S_QB_MM
  657     UINT64_C(2013265926),	// ADDVI_B
  658     UINT64_C(2019557382),	// ADDVI_D
  659     UINT64_C(2015363078),	// ADDVI_H
  660     UINT64_C(2017460230),	// ADDVI_W
  661     UINT64_C(2013265934),	// ADDV_B
  662     UINT64_C(2019557390),	// ADDV_D
  663     UINT64_C(2015363086),	// ADDV_H
  664     UINT64_C(2017460238),	// ADDV_W
  665     UINT64_C(2080375888),	// ADDWC
  666     UINT64_C(965),	// ADDWC_MM
  667     UINT64_C(2013265936),	// ADD_A_B
  668     UINT64_C(2019557392),	// ADD_A_D
  669     UINT64_C(2015363088),	// ADD_A_H
  670     UINT64_C(2017460240),	// ADD_A_W
  671     UINT64_C(272),	// ADD_MM
  672     UINT64_C(272),	// ADD_MMR6
  673     UINT64_C(536870912),	// ADDi
  674     UINT64_C(268435456),	// ADDi_MM
  675     UINT64_C(603979776),	// ADDiu
  676     UINT64_C(805306368),	// ADDiu_MM
  677     UINT64_C(33),	// ADDu
  678     UINT64_C(336),	// ADDu_MM
  679     UINT64_C(2080375328),	// ALIGN
  680     UINT64_C(31),	// ALIGN_MMR6
  681     UINT64_C(3961454592),	// ALUIPC
  682     UINT64_C(2015297536),	// ALUIPC_MMR6
  683     UINT64_C(36),	// AND
  684     UINT64_C(17536),	// AND16_MM
  685     UINT64_C(17409),	// AND16_MMR6
  686     UINT64_C(36),	// AND64
  687     UINT64_C(11264),	// ANDI16_MM
  688     UINT64_C(11264),	// ANDI16_MMR6
  689     UINT64_C(2013265920),	// ANDI_B
  690     UINT64_C(3489660928),	// ANDI_MMR6
  691     UINT64_C(592),	// AND_MM
  692     UINT64_C(592),	// AND_MMR6
  693     UINT64_C(2013265950),	// AND_V
  694     UINT64_C(805306368),	// ANDi
  695     UINT64_C(805306368),	// ANDi64
  696     UINT64_C(3489660928),	// ANDi_MM
  697     UINT64_C(2080374833),	// APPEND
  698     UINT64_C(533),	// APPEND_MMR2
  699     UINT64_C(2046820369),	// ASUB_S_B
  700     UINT64_C(2053111825),	// ASUB_S_D
  701     UINT64_C(2048917521),	// ASUB_S_H
  702     UINT64_C(2051014673),	// ASUB_S_W
  703     UINT64_C(2055208977),	// ASUB_U_B
  704     UINT64_C(2061500433),	// ASUB_U_D
  705     UINT64_C(2057306129),	// ASUB_U_H
  706     UINT64_C(2059403281),	// ASUB_U_W
  707     UINT64_C(1006632960),	// AUI
  708     UINT64_C(3961389056),	// AUIPC
  709     UINT64_C(2015232000),	// AUIPC_MMR6
  710     UINT64_C(268435456),	// AUI_MMR6
  711     UINT64_C(2063597584),	// AVER_S_B
  712     UINT64_C(2069889040),	// AVER_S_D
  713     UINT64_C(2065694736),	// AVER_S_H
  714     UINT64_C(2067791888),	// AVER_S_W
  715     UINT64_C(2071986192),	// AVER_U_B
  716     UINT64_C(2078277648),	// AVER_U_D
  717     UINT64_C(2074083344),	// AVER_U_H
  718     UINT64_C(2076180496),	// AVER_U_W
  719     UINT64_C(2046820368),	// AVE_S_B
  720     UINT64_C(2053111824),	// AVE_S_D
  721     UINT64_C(2048917520),	// AVE_S_H
  722     UINT64_C(2051014672),	// AVE_S_W
  723     UINT64_C(2055208976),	// AVE_U_B
  724     UINT64_C(2061500432),	// AVE_U_D
  725     UINT64_C(2057306128),	// AVE_U_H
  726     UINT64_C(2059403280),	// AVE_U_W
  727     UINT64_C(4026550272),	// AddiuRxImmX16
  728     UINT64_C(4026533888),	// AddiuRxPcImmX16
  729     UINT64_C(18432),	// AddiuRxRxImm16
  730     UINT64_C(4026550272),	// AddiuRxRxImmX16
  731     UINT64_C(4026548224),	// AddiuRxRyOffMemX16
  732     UINT64_C(25344),	// AddiuSpImm16
  733     UINT64_C(4026544896),	// AddiuSpImmX16
  734     UINT64_C(57345),	// AdduRxRyRz16
  735     UINT64_C(59404),	// AndRxRxRy16
  736     UINT64_C(52224),	// B16_MM
  737     UINT64_C(1879048232),	// BADDu
  738     UINT64_C(68222976),	// BAL
  739     UINT64_C(3892314112),	// BALC
  740     UINT64_C(3019898880),	// BALC_MMR6
  741     UINT64_C(2080375857),	// BALIGN
  742     UINT64_C(2236),	// BALIGN_MMR2
  743     UINT64_C(3355443200),	// BBIT0
  744     UINT64_C(3623878656),	// BBIT032
  745     UINT64_C(3892314112),	// BBIT1
  746     UINT64_C(4160749568),	// BBIT132
  747     UINT64_C(3355443200),	// BC
  748     UINT64_C(52224),	// BC16_MMR6
  749     UINT64_C(1159725056),	// BC1EQZ
  750     UINT64_C(1090519040),	// BC1EQZC_MMR6
  751     UINT64_C(1157627904),	// BC1F
  752     UINT64_C(1157758976),	// BC1FL
  753     UINT64_C(1132462080),	// BC1F_MM
  754     UINT64_C(1168113664),	// BC1NEZ
  755     UINT64_C(1092616192),	// BC1NEZC_MMR6
  756     UINT64_C(1157693440),	// BC1T
  757     UINT64_C(1157824512),	// BC1TL
  758     UINT64_C(1134559232),	// BC1T_MM
  759     UINT64_C(1226833920),	// BC2EQZ
  760     UINT64_C(1094713344),	// BC2EQZC_MMR6
  761     UINT64_C(1235222528),	// BC2NEZ
  762     UINT64_C(1096810496),	// BC2NEZC_MMR6
  763     UINT64_C(2045771785),	// BCLRI_B
  764     UINT64_C(2038431753),	// BCLRI_D
  765     UINT64_C(2044723209),	// BCLRI_H
  766     UINT64_C(2042626057),	// BCLRI_W
  767     UINT64_C(2038431757),	// BCLR_B
  768     UINT64_C(2044723213),	// BCLR_D
  769     UINT64_C(2040528909),	// BCLR_H
  770     UINT64_C(2042626061),	// BCLR_W
  771     UINT64_C(2483027968),	// BC_MMR6
  772     UINT64_C(268435456),	// BEQ
  773     UINT64_C(268435456),	// BEQ64
  774     UINT64_C(536870912),	// BEQC
  775     UINT64_C(536870912),	// BEQC64
  776     UINT64_C(1946157056),	// BEQC_MMR6
  777     UINT64_C(1342177280),	// BEQL
  778     UINT64_C(35840),	// BEQZ16_MM
  779     UINT64_C(536870912),	// BEQZALC
  780     UINT64_C(1946157056),	// BEQZALC_MMR6
  781     UINT64_C(3623878656),	// BEQZC
  782     UINT64_C(35840),	// BEQZC16_MMR6
  783     UINT64_C(3623878656),	// BEQZC64
  784     UINT64_C(1088421888),	// BEQZC_MM
  785     UINT64_C(2147483648),	// BEQZC_MMR6
  786     UINT64_C(2483027968),	// BEQ_MM
  787     UINT64_C(1476395008),	// BGEC
  788     UINT64_C(1476395008),	// BGEC64
  789     UINT64_C(4093640704),	// BGEC_MMR6
  790     UINT64_C(402653184),	// BGEUC
  791     UINT64_C(402653184),	// BGEUC64
  792     UINT64_C(3221225472),	// BGEUC_MMR6
  793     UINT64_C(67174400),	// BGEZ
  794     UINT64_C(67174400),	// BGEZ64
  795     UINT64_C(68222976),	// BGEZAL
  796     UINT64_C(402653184),	// BGEZALC
  797     UINT64_C(3221225472),	// BGEZALC_MMR6
  798     UINT64_C(68354048),	// BGEZALL
  799     UINT64_C(1113587712),	// BGEZALS_MM
  800     UINT64_C(1080033280),	// BGEZAL_MM
  801     UINT64_C(1476395008),	// BGEZC
  802     UINT64_C(1476395008),	// BGEZC64
  803     UINT64_C(4093640704),	// BGEZC_MMR6
  804     UINT64_C(67305472),	// BGEZL
  805     UINT64_C(1077936128),	// BGEZ_MM
  806     UINT64_C(469762048),	// BGTZ
  807     UINT64_C(469762048),	// BGTZ64
  808     UINT64_C(469762048),	// BGTZALC
  809     UINT64_C(3758096384),	// BGTZALC_MMR6
  810     UINT64_C(1543503872),	// BGTZC
  811     UINT64_C(1543503872),	// BGTZC64
  812     UINT64_C(3556769792),	// BGTZC_MMR6
  813     UINT64_C(1543503872),	// BGTZL
  814     UINT64_C(1086324736),	// BGTZ_MM
  815     UINT64_C(2070937609),	// BINSLI_B
  816     UINT64_C(2063597577),	// BINSLI_D
  817     UINT64_C(2069889033),	// BINSLI_H
  818     UINT64_C(2067791881),	// BINSLI_W
  819     UINT64_C(2063597581),	// BINSL_B
  820     UINT64_C(2069889037),	// BINSL_D
  821     UINT64_C(2065694733),	// BINSL_H
  822     UINT64_C(2067791885),	// BINSL_W
  823     UINT64_C(2079326217),	// BINSRI_B
  824     UINT64_C(2071986185),	// BINSRI_D
  825     UINT64_C(2078277641),	// BINSRI_H
  826     UINT64_C(2076180489),	// BINSRI_W
  827     UINT64_C(2071986189),	// BINSR_B
  828     UINT64_C(2078277645),	// BINSR_D
  829     UINT64_C(2074083341),	// BINSR_H
  830     UINT64_C(2076180493),	// BINSR_W
  831     UINT64_C(2080376530),	// BITREV
  832     UINT64_C(12604),	// BITREV_MM
  833     UINT64_C(2080374816),	// BITSWAP
  834     UINT64_C(2876),	// BITSWAP_MMR6
  835     UINT64_C(402653184),	// BLEZ
  836     UINT64_C(402653184),	// BLEZ64
  837     UINT64_C(402653184),	// BLEZALC
  838     UINT64_C(3221225472),	// BLEZALC_MMR6
  839     UINT64_C(1476395008),	// BLEZC
  840     UINT64_C(1476395008),	// BLEZC64
  841     UINT64_C(4093640704),	// BLEZC_MMR6
  842     UINT64_C(1476395008),	// BLEZL
  843     UINT64_C(1082130432),	// BLEZ_MM
  844     UINT64_C(1543503872),	// BLTC
  845     UINT64_C(1543503872),	// BLTC64
  846     UINT64_C(3556769792),	// BLTC_MMR6
  847     UINT64_C(469762048),	// BLTUC
  848     UINT64_C(469762048),	// BLTUC64
  849     UINT64_C(3758096384),	// BLTUC_MMR6
  850     UINT64_C(67108864),	// BLTZ
  851     UINT64_C(67108864),	// BLTZ64
  852     UINT64_C(68157440),	// BLTZAL
  853     UINT64_C(469762048),	// BLTZALC
  854     UINT64_C(3758096384),	// BLTZALC_MMR6
  855     UINT64_C(68288512),	// BLTZALL
  856     UINT64_C(1109393408),	// BLTZALS_MM
  857     UINT64_C(1075838976),	// BLTZAL_MM
  858     UINT64_C(1543503872),	// BLTZC
  859     UINT64_C(1543503872),	// BLTZC64
  860     UINT64_C(3556769792),	// BLTZC_MMR6
  861     UINT64_C(67239936),	// BLTZL
  862     UINT64_C(1073741824),	// BLTZ_MM
  863     UINT64_C(2013265921),	// BMNZI_B
  864     UINT64_C(2021654558),	// BMNZ_V
  865     UINT64_C(2030043137),	// BMZI_B
  866     UINT64_C(2023751710),	// BMZ_V
  867     UINT64_C(335544320),	// BNE
  868     UINT64_C(335544320),	// BNE64
  869     UINT64_C(1610612736),	// BNEC
  870     UINT64_C(1610612736),	// BNEC64
  871     UINT64_C(2080374784),	// BNEC_MMR6
  872     UINT64_C(2062549001),	// BNEGI_B
  873     UINT64_C(2055208969),	// BNEGI_D
  874     UINT64_C(2061500425),	// BNEGI_H
  875     UINT64_C(2059403273),	// BNEGI_W
  876     UINT64_C(2055208973),	// BNEG_B
  877     UINT64_C(2061500429),	// BNEG_D
  878     UINT64_C(2057306125),	// BNEG_H
  879     UINT64_C(2059403277),	// BNEG_W
  880     UINT64_C(1409286144),	// BNEL
  881     UINT64_C(44032),	// BNEZ16_MM
  882     UINT64_C(1610612736),	// BNEZALC
  883     UINT64_C(2080374784),	// BNEZALC_MMR6
  884     UINT64_C(4160749568),	// BNEZC
  885     UINT64_C(44032),	// BNEZC16_MMR6
  886     UINT64_C(4160749568),	// BNEZC64
  887     UINT64_C(1084227584),	// BNEZC_MM
  888     UINT64_C(2684354560),	// BNEZC_MMR6
  889     UINT64_C(3019898880),	// BNE_MM
  890     UINT64_C(1610612736),	// BNVC
  891     UINT64_C(2080374784),	// BNVC_MMR6
  892     UINT64_C(1199570944),	// BNZ_B
  893     UINT64_C(1205862400),	// BNZ_D
  894     UINT64_C(1201668096),	// BNZ_H
  895     UINT64_C(1172307968),	// BNZ_V
  896     UINT64_C(1203765248),	// BNZ_W
  897     UINT64_C(536870912),	// BOVC
  898     UINT64_C(1946157056),	// BOVC_MMR6
  899     UINT64_C(68943872),	// BPOSGE32
  900     UINT64_C(1126170624),	// BPOSGE32C_MMR3
  901     UINT64_C(1130364928),	// BPOSGE32_MM
  902     UINT64_C(13),	// BREAK
  903     UINT64_C(18048),	// BREAK16_MM
  904     UINT64_C(17435),	// BREAK16_MMR6
  905     UINT64_C(7),	// BREAK_MM
  906     UINT64_C(7),	// BREAK_MMR6
  907     UINT64_C(2046820353),	// BSELI_B
  908     UINT64_C(2025848862),	// BSEL_V
  909     UINT64_C(2054160393),	// BSETI_B
  910     UINT64_C(2046820361),	// BSETI_D
  911     UINT64_C(2053111817),	// BSETI_H
  912     UINT64_C(2051014665),	// BSETI_W
  913     UINT64_C(2046820365),	// BSET_B
  914     UINT64_C(2053111821),	// BSET_D
  915     UINT64_C(2048917517),	// BSET_H
  916     UINT64_C(2051014669),	// BSET_W
  917     UINT64_C(1191182336),	// BZ_B
  918     UINT64_C(1197473792),	// BZ_D
  919     UINT64_C(1193279488),	// BZ_H
  920     UINT64_C(1163919360),	// BZ_V
  921     UINT64_C(1195376640),	// BZ_W
  922     UINT64_C(8192),	// BeqzRxImm16
  923     UINT64_C(4026540032),	// BeqzRxImmX16
  924     UINT64_C(4096),	// Bimm16
  925     UINT64_C(4026535936),	// BimmX16
  926     UINT64_C(10240),	// BnezRxImm16
  927     UINT64_C(4026542080),	// BnezRxImmX16
  928     UINT64_C(59397),	// Break16
  929     UINT64_C(24576),	// Bteqz16
  930     UINT64_C(4026544128),	// BteqzX16
  931     UINT64_C(24832),	// Btnez16
  932     UINT64_C(4026544384),	// BtnezX16
  933     UINT64_C(3154116608),	// CACHE
  934     UINT64_C(2080374811),	// CACHEE
  935     UINT64_C(1610655232),	// CACHEE_MM
  936     UINT64_C(536895488),	// CACHE_MM
  937     UINT64_C(536895488),	// CACHE_MMR6
  938     UINT64_C(2080374821),	// CACHE_R6
  939     UINT64_C(1176502282),	// CEIL_L_D64
  940     UINT64_C(1409307451),	// CEIL_L_D_MMR6
  941     UINT64_C(1174405130),	// CEIL_L_S
  942     UINT64_C(1409291067),	// CEIL_L_S_MMR6
  943     UINT64_C(1176502286),	// CEIL_W_D32
  944     UINT64_C(1176502286),	// CEIL_W_D64
  945     UINT64_C(1409309499),	// CEIL_W_D_MMR6
  946     UINT64_C(1409309499),	// CEIL_W_MM
  947     UINT64_C(1174405134),	// CEIL_W_S
  948     UINT64_C(1409293115),	// CEIL_W_S_MM
  949     UINT64_C(1409293115),	// CEIL_W_S_MMR6
  950     UINT64_C(2013265927),	// CEQI_B
  951     UINT64_C(2019557383),	// CEQI_D
  952     UINT64_C(2015363079),	// CEQI_H
  953     UINT64_C(2017460231),	// CEQI_W
  954     UINT64_C(2013265935),	// CEQ_B
  955     UINT64_C(2019557391),	// CEQ_D
  956     UINT64_C(2015363087),	// CEQ_H
  957     UINT64_C(2017460239),	// CEQ_W
  958     UINT64_C(1145044992),	// CFC1
  959     UINT64_C(1409290299),	// CFC1_MM
  960     UINT64_C(52540),	// CFC2_MM
  961     UINT64_C(2021523481),	// CFCMSA
  962     UINT64_C(1879048242),	// CINS
  963     UINT64_C(1879048243),	// CINS32
  964     UINT64_C(1879048242),	// CINS64_32
  965     UINT64_C(1879048242),	// CINS_i32
  966     UINT64_C(1176502299),	// CLASS_D
  967     UINT64_C(1409286752),	// CLASS_D_MMR6
  968     UINT64_C(1174405147),	// CLASS_S
  969     UINT64_C(1409286240),	// CLASS_S_MMR6
  970     UINT64_C(2046820359),	// CLEI_S_B
  971     UINT64_C(2053111815),	// CLEI_S_D
  972     UINT64_C(2048917511),	// CLEI_S_H
  973     UINT64_C(2051014663),	// CLEI_S_W
  974     UINT64_C(2055208967),	// CLEI_U_B
  975     UINT64_C(2061500423),	// CLEI_U_D
  976     UINT64_C(2057306119),	// CLEI_U_H
  977     UINT64_C(2059403271),	// CLEI_U_W
  978     UINT64_C(2046820367),	// CLE_S_B
  979     UINT64_C(2053111823),	// CLE_S_D
  980     UINT64_C(2048917519),	// CLE_S_H
  981     UINT64_C(2051014671),	// CLE_S_W
  982     UINT64_C(2055208975),	// CLE_U_B
  983     UINT64_C(2061500431),	// CLE_U_D
  984     UINT64_C(2057306127),	// CLE_U_H
  985     UINT64_C(2059403279),	// CLE_U_W
  986     UINT64_C(1879048225),	// CLO
  987     UINT64_C(19260),	// CLO_MM
  988     UINT64_C(19260),	// CLO_MMR6
  989     UINT64_C(81),	// CLO_R6
  990     UINT64_C(2030043143),	// CLTI_S_B
  991     UINT64_C(2036334599),	// CLTI_S_D
  992     UINT64_C(2032140295),	// CLTI_S_H
  993     UINT64_C(2034237447),	// CLTI_S_W
  994     UINT64_C(2038431751),	// CLTI_U_B
  995     UINT64_C(2044723207),	// CLTI_U_D
  996     UINT64_C(2040528903),	// CLTI_U_H
  997     UINT64_C(2042626055),	// CLTI_U_W
  998     UINT64_C(2030043151),	// CLT_S_B
  999     UINT64_C(2036334607),	// CLT_S_D
 1000     UINT64_C(2032140303),	// CLT_S_H
 1001     UINT64_C(2034237455),	// CLT_S_W
 1002     UINT64_C(2038431759),	// CLT_U_B
 1003     UINT64_C(2044723215),	// CLT_U_D
 1004     UINT64_C(2040528911),	// CLT_U_H
 1005     UINT64_C(2042626063),	// CLT_U_W
 1006     UINT64_C(1879048224),	// CLZ
 1007     UINT64_C(23356),	// CLZ_MM
 1008     UINT64_C(80),	// CLZ_MMR6
 1009     UINT64_C(80),	// CLZ_R6
 1010     UINT64_C(2080376337),	// CMPGDU_EQ_QB
 1011     UINT64_C(389),	// CMPGDU_EQ_QB_MMR2
 1012     UINT64_C(2080376465),	// CMPGDU_LE_QB
 1013     UINT64_C(517),	// CMPGDU_LE_QB_MMR2
 1014     UINT64_C(2080376401),	// CMPGDU_LT_QB
 1015     UINT64_C(453),	// CMPGDU_LT_QB_MMR2
 1016     UINT64_C(2080375057),	// CMPGU_EQ_QB
 1017     UINT64_C(1476395205),	// CMPGU_EQ_QB_MM
 1018     UINT64_C(2080375185),	// CMPGU_LE_QB
 1019     UINT64_C(1476395333),	// CMPGU_LE_QB_MM
 1020     UINT64_C(2080375121),	// CMPGU_LT_QB
 1021     UINT64_C(1476395269),	// CMPGU_LT_QB_MM
 1022     UINT64_C(2080374801),	// CMPU_EQ_QB
 1023     UINT64_C(581),	// CMPU_EQ_QB_MM
 1024     UINT64_C(2080374929),	// CMPU_LE_QB
 1025     UINT64_C(709),	// CMPU_LE_QB_MM
 1026     UINT64_C(2080374865),	// CMPU_LT_QB
 1027     UINT64_C(645),	// CMPU_LT_QB_MM
 1028     UINT64_C(1409286165),	// CMP_AF_D_MMR6
 1029     UINT64_C(1409286149),	// CMP_AF_S_MMR6
 1030     UINT64_C(1184890882),	// CMP_EQ_D
 1031     UINT64_C(1409286293),	// CMP_EQ_D_MMR6
 1032     UINT64_C(2080375313),	// CMP_EQ_PH
 1033     UINT64_C(5),	// CMP_EQ_PH_MM
 1034     UINT64_C(1182793730),	// CMP_EQ_S
 1035     UINT64_C(1409286277),	// CMP_EQ_S_MMR6
 1036     UINT64_C(1184890880),	// CMP_F_D
 1037     UINT64_C(1182793728),	// CMP_F_S
 1038     UINT64_C(1184890886),	// CMP_LE_D
 1039     UINT64_C(1409286549),	// CMP_LE_D_MMR6
 1040     UINT64_C(2080375441),	// CMP_LE_PH
 1041     UINT64_C(133),	// CMP_LE_PH_MM
 1042     UINT64_C(1182793734),	// CMP_LE_S
 1043     UINT64_C(1409286533),	// CMP_LE_S_MMR6
 1044     UINT64_C(1184890884),	// CMP_LT_D
 1045     UINT64_C(1409286421),	// CMP_LT_D_MMR6
 1046     UINT64_C(2080375377),	// CMP_LT_PH
 1047     UINT64_C(69),	// CMP_LT_PH_MM
 1048     UINT64_C(1182793732),	// CMP_LT_S
 1049     UINT64_C(1409286405),	// CMP_LT_S_MMR6
 1050     UINT64_C(1184890888),	// CMP_SAF_D
 1051     UINT64_C(1409286677),	// CMP_SAF_D_MMR6
 1052     UINT64_C(1182793736),	// CMP_SAF_S
 1053     UINT64_C(1409286661),	// CMP_SAF_S_MMR6
 1054     UINT64_C(1184890890),	// CMP_SEQ_D
 1055     UINT64_C(1409286805),	// CMP_SEQ_D_MMR6
 1056     UINT64_C(1182793738),	// CMP_SEQ_S
 1057     UINT64_C(1409286789),	// CMP_SEQ_S_MMR6
 1058     UINT64_C(1184890894),	// CMP_SLE_D
 1059     UINT64_C(1409287061),	// CMP_SLE_D_MMR6
 1060     UINT64_C(1182793742),	// CMP_SLE_S
 1061     UINT64_C(1409287045),	// CMP_SLE_S_MMR6
 1062     UINT64_C(1184890892),	// CMP_SLT_D
 1063     UINT64_C(1409286933),	// CMP_SLT_D_MMR6
 1064     UINT64_C(1182793740),	// CMP_SLT_S
 1065     UINT64_C(1409286917),	// CMP_SLT_S_MMR6
 1066     UINT64_C(1184890891),	// CMP_SUEQ_D
 1067     UINT64_C(1409286869),	// CMP_SUEQ_D_MMR6
 1068     UINT64_C(1182793739),	// CMP_SUEQ_S
 1069     UINT64_C(1409286853),	// CMP_SUEQ_S_MMR6
 1070     UINT64_C(1184890895),	// CMP_SULE_D
 1071     UINT64_C(1409287125),	// CMP_SULE_D_MMR6
 1072     UINT64_C(1182793743),	// CMP_SULE_S
 1073     UINT64_C(1409287109),	// CMP_SULE_S_MMR6
 1074     UINT64_C(1184890893),	// CMP_SULT_D
 1075     UINT64_C(1409286997),	// CMP_SULT_D_MMR6
 1076     UINT64_C(1182793741),	// CMP_SULT_S
 1077     UINT64_C(1409286981),	// CMP_SULT_S_MMR6
 1078     UINT64_C(1184890889),	// CMP_SUN_D
 1079     UINT64_C(1409286741),	// CMP_SUN_D_MMR6
 1080     UINT64_C(1182793737),	// CMP_SUN_S
 1081     UINT64_C(1409286725),	// CMP_SUN_S_MMR6
 1082     UINT64_C(1184890883),	// CMP_UEQ_D
 1083     UINT64_C(1409286357),	// CMP_UEQ_D_MMR6
 1084     UINT64_C(1182793731),	// CMP_UEQ_S
 1085     UINT64_C(1409286341),	// CMP_UEQ_S_MMR6
 1086     UINT64_C(1184890887),	// CMP_ULE_D
 1087     UINT64_C(1409286613),	// CMP_ULE_D_MMR6
 1088     UINT64_C(1182793735),	// CMP_ULE_S
 1089     UINT64_C(1409286597),	// CMP_ULE_S_MMR6
 1090     UINT64_C(1184890885),	// CMP_ULT_D
 1091     UINT64_C(1409286485),	// CMP_ULT_D_MMR6
 1092     UINT64_C(1182793733),	// CMP_ULT_S
 1093     UINT64_C(1409286469),	// CMP_ULT_S_MMR6
 1094     UINT64_C(1184890881),	// CMP_UN_D
 1095     UINT64_C(1409286229),	// CMP_UN_D_MMR6
 1096     UINT64_C(1182793729),	// CMP_UN_S
 1097     UINT64_C(1409286213),	// CMP_UN_S_MMR6
 1098     UINT64_C(2021654553),	// COPY_S_B
 1099     UINT64_C(2025324569),	// COPY_S_D
 1100     UINT64_C(2023751705),	// COPY_S_H
 1101     UINT64_C(2024800281),	// COPY_S_W
 1102     UINT64_C(2025848857),	// COPY_U_B
 1103     UINT64_C(2027946009),	// COPY_U_H
 1104     UINT64_C(2028994585),	// COPY_U_W
 1105     UINT64_C(2080374799),	// CRC32B
 1106     UINT64_C(2080375055),	// CRC32CB
 1107     UINT64_C(2080375247),	// CRC32CD
 1108     UINT64_C(2080375119),	// CRC32CH
 1109     UINT64_C(2080375183),	// CRC32CW
 1110     UINT64_C(2080374991),	// CRC32D
 1111     UINT64_C(2080374863),	// CRC32H
 1112     UINT64_C(2080374927),	// CRC32W
 1113     UINT64_C(1153433600),	// CTC1
 1114     UINT64_C(1409292347),	// CTC1_MM
 1115     UINT64_C(56636),	// CTC2_MM
 1116     UINT64_C(2017329177),	// CTCMSA
 1117     UINT64_C(1174405153),	// CVT_D32_S
 1118     UINT64_C(1409291131),	// CVT_D32_S_MM
 1119     UINT64_C(1182793761),	// CVT_D32_W
 1120     UINT64_C(1409299323),	// CVT_D32_W_MM
 1121     UINT64_C(1184890913),	// CVT_D64_L
 1122     UINT64_C(1174405153),	// CVT_D64_S
 1123     UINT64_C(1409291131),	// CVT_D64_S_MM
 1124     UINT64_C(1182793761),	// CVT_D64_W
 1125     UINT64_C(1409299323),	// CVT_D64_W_MM
 1126     UINT64_C(1409307515),	// CVT_D_L_MMR6
 1127     UINT64_C(1176502309),	// CVT_L_D64
 1128     UINT64_C(1409302843),	// CVT_L_D64_MM
 1129     UINT64_C(1409302843),	// CVT_L_D_MMR6
 1130     UINT64_C(1174405157),	// CVT_L_S
 1131     UINT64_C(1409286459),	// CVT_L_S_MM
 1132     UINT64_C(1409286459),	// CVT_L_S_MMR6
 1133     UINT64_C(1174405158),	// CVT_PS_S64
 1134     UINT64_C(1176502304),	// CVT_S_D32
 1135     UINT64_C(1409293179),	// CVT_S_D32_MM
 1136     UINT64_C(1176502304),	// CVT_S_D64
 1137     UINT64_C(1409293179),	// CVT_S_D64_MM
 1138     UINT64_C(1184890912),	// CVT_S_L
 1139     UINT64_C(1409309563),	// CVT_S_L_MMR6
 1140     UINT64_C(1186988072),	// CVT_S_PL64
 1141     UINT64_C(1186988064),	// CVT_S_PU64
 1142     UINT64_C(1182793760),	// CVT_S_W
 1143     UINT64_C(1409301371),	// CVT_S_W_MM
 1144     UINT64_C(1409301371),	// CVT_S_W_MMR6
 1145     UINT64_C(1176502308),	// CVT_W_D32
 1146     UINT64_C(1409304891),	// CVT_W_D32_MM
 1147     UINT64_C(1176502308),	// CVT_W_D64
 1148     UINT64_C(1409304891),	// CVT_W_D64_MM
 1149     UINT64_C(1174405156),	// CVT_W_S
 1150     UINT64_C(1409288507),	// CVT_W_S_MM
 1151     UINT64_C(1409288507),	// CVT_W_S_MMR6
 1152     UINT64_C(1176502322),	// C_EQ_D32
 1153     UINT64_C(1409287356),	// C_EQ_D32_MM
 1154     UINT64_C(1176502322),	// C_EQ_D64
 1155     UINT64_C(1409287356),	// C_EQ_D64_MM
 1156     UINT64_C(1174405170),	// C_EQ_S
 1157     UINT64_C(1409286332),	// C_EQ_S_MM
 1158     UINT64_C(1176502320),	// C_F_D32
 1159     UINT64_C(1409287228),	// C_F_D32_MM
 1160     UINT64_C(1176502320),	// C_F_D64
 1161     UINT64_C(1409287228),	// C_F_D64_MM
 1162     UINT64_C(1174405168),	// C_F_S
 1163     UINT64_C(1409286204),	// C_F_S_MM
 1164     UINT64_C(1176502334),	// C_LE_D32
 1165     UINT64_C(1409288124),	// C_LE_D32_MM
 1166     UINT64_C(1176502334),	// C_LE_D64
 1167     UINT64_C(1409288124),	// C_LE_D64_MM
 1168     UINT64_C(1174405182),	// C_LE_S
 1169     UINT64_C(1409287100),	// C_LE_S_MM
 1170     UINT64_C(1176502332),	// C_LT_D32
 1171     UINT64_C(1409287996),	// C_LT_D32_MM
 1172     UINT64_C(1176502332),	// C_LT_D64
 1173     UINT64_C(1409287996),	// C_LT_D64_MM
 1174     UINT64_C(1174405180),	// C_LT_S
 1175     UINT64_C(1409286972),	// C_LT_S_MM
 1176     UINT64_C(1176502333),	// C_NGE_D32
 1177     UINT64_C(1409288060),	// C_NGE_D32_MM
 1178     UINT64_C(1176502333),	// C_NGE_D64
 1179     UINT64_C(1409288060),	// C_NGE_D64_MM
 1180     UINT64_C(1174405181),	// C_NGE_S
 1181     UINT64_C(1409287036),	// C_NGE_S_MM
 1182     UINT64_C(1176502329),	// C_NGLE_D32
 1183     UINT64_C(1409287804),	// C_NGLE_D32_MM
 1184     UINT64_C(1176502329),	// C_NGLE_D64
 1185     UINT64_C(1409287804),	// C_NGLE_D64_MM
 1186     UINT64_C(1174405177),	// C_NGLE_S
 1187     UINT64_C(1409286780),	// C_NGLE_S_MM
 1188     UINT64_C(1176502331),	// C_NGL_D32
 1189     UINT64_C(1409287932),	// C_NGL_D32_MM
 1190     UINT64_C(1176502331),	// C_NGL_D64
 1191     UINT64_C(1409287932),	// C_NGL_D64_MM
 1192     UINT64_C(1174405179),	// C_NGL_S
 1193     UINT64_C(1409286908),	// C_NGL_S_MM
 1194     UINT64_C(1176502335),	// C_NGT_D32
 1195     UINT64_C(1409288188),	// C_NGT_D32_MM
 1196     UINT64_C(1176502335),	// C_NGT_D64
 1197     UINT64_C(1409288188),	// C_NGT_D64_MM
 1198     UINT64_C(1174405183),	// C_NGT_S
 1199     UINT64_C(1409287164),	// C_NGT_S_MM
 1200     UINT64_C(1176502326),	// C_OLE_D32
 1201     UINT64_C(1409287612),	// C_OLE_D32_MM
 1202     UINT64_C(1176502326),	// C_OLE_D64
 1203     UINT64_C(1409287612),	// C_OLE_D64_MM
 1204     UINT64_C(1174405174),	// C_OLE_S
 1205     UINT64_C(1409286588),	// C_OLE_S_MM
 1206     UINT64_C(1176502324),	// C_OLT_D32
 1207     UINT64_C(1409287484),	// C_OLT_D32_MM
 1208     UINT64_C(1176502324),	// C_OLT_D64
 1209     UINT64_C(1409287484),	// C_OLT_D64_MM
 1210     UINT64_C(1174405172),	// C_OLT_S
 1211     UINT64_C(1409286460),	// C_OLT_S_MM
 1212     UINT64_C(1176502330),	// C_SEQ_D32
 1213     UINT64_C(1409287868),	// C_SEQ_D32_MM
 1214     UINT64_C(1176502330),	// C_SEQ_D64
 1215     UINT64_C(1409287868),	// C_SEQ_D64_MM
 1216     UINT64_C(1174405178),	// C_SEQ_S
 1217     UINT64_C(1409286844),	// C_SEQ_S_MM
 1218     UINT64_C(1176502328),	// C_SF_D32
 1219     UINT64_C(1409287740),	// C_SF_D32_MM
 1220     UINT64_C(1176502328),	// C_SF_D64
 1221     UINT64_C(1409287740),	// C_SF_D64_MM
 1222     UINT64_C(1174405176),	// C_SF_S
 1223     UINT64_C(1409286716),	// C_SF_S_MM
 1224     UINT64_C(1176502323),	// C_UEQ_D32
 1225     UINT64_C(1409287420),	// C_UEQ_D32_MM
 1226     UINT64_C(1176502323),	// C_UEQ_D64
 1227     UINT64_C(1409287420),	// C_UEQ_D64_MM
 1228     UINT64_C(1174405171),	// C_UEQ_S
 1229     UINT64_C(1409286396),	// C_UEQ_S_MM
 1230     UINT64_C(1176502327),	// C_ULE_D32
 1231     UINT64_C(1409287676),	// C_ULE_D32_MM
 1232     UINT64_C(1176502327),	// C_ULE_D64
 1233     UINT64_C(1409287676),	// C_ULE_D64_MM
 1234     UINT64_C(1174405175),	// C_ULE_S
 1235     UINT64_C(1409286652),	// C_ULE_S_MM
 1236     UINT64_C(1176502325),	// C_ULT_D32
 1237     UINT64_C(1409287548),	// C_ULT_D32_MM
 1238     UINT64_C(1176502325),	// C_ULT_D64
 1239     UINT64_C(1409287548),	// C_ULT_D64_MM
 1240     UINT64_C(1174405173),	// C_ULT_S
 1241     UINT64_C(1409286524),	// C_ULT_S_MM
 1242     UINT64_C(1176502321),	// C_UN_D32
 1243     UINT64_C(1409287292),	// C_UN_D32_MM
 1244     UINT64_C(1176502321),	// C_UN_D64
 1245     UINT64_C(1409287292),	// C_UN_D64_MM
 1246     UINT64_C(1174405169),	// C_UN_S
 1247     UINT64_C(1409286268),	// C_UN_S_MM
 1248     UINT64_C(59402),	// CmpRxRy16
 1249     UINT64_C(28672),	// CmpiRxImm16
 1250     UINT64_C(4026560512),	// CmpiRxImmX16
 1251     UINT64_C(44),	// DADD
 1252     UINT64_C(1610612736),	// DADDi
 1253     UINT64_C(1677721600),	// DADDiu
 1254     UINT64_C(45),	// DADDu
 1255     UINT64_C(67502080),	// DAHI
 1256     UINT64_C(2080375332),	// DALIGN
 1257     UINT64_C(69074944),	// DATI
 1258     UINT64_C(1946157056),	// DAUI
 1259     UINT64_C(2080374820),	// DBITSWAP
 1260     UINT64_C(1879048229),	// DCLO
 1261     UINT64_C(83),	// DCLO_R6
 1262     UINT64_C(1879048228),	// DCLZ
 1263     UINT64_C(82),	// DCLZ_R6
 1264     UINT64_C(158),	// DDIV
 1265     UINT64_C(159),	// DDIVU
 1266     UINT64_C(1107296287),	// DERET
 1267     UINT64_C(58236),	// DERET_MM
 1268     UINT64_C(58236),	// DERET_MMR6
 1269     UINT64_C(2080374787),	// DEXT
 1270     UINT64_C(2080374787),	// DEXT64_32
 1271     UINT64_C(2080374785),	// DEXTM
 1272     UINT64_C(2080374786),	// DEXTU
 1273     UINT64_C(1096835072),	// DI
 1274     UINT64_C(2080374791),	// DINS
 1275     UINT64_C(2080374789),	// DINSM
 1276     UINT64_C(2080374790),	// DINSU
 1277     UINT64_C(154),	// DIV
 1278     UINT64_C(155),	// DIVU
 1279     UINT64_C(408),	// DIVU_MMR6
 1280     UINT64_C(280),	// DIV_MMR6
 1281     UINT64_C(2046820370),	// DIV_S_B
 1282     UINT64_C(2053111826),	// DIV_S_D
 1283     UINT64_C(2048917522),	// DIV_S_H
 1284     UINT64_C(2051014674),	// DIV_S_W
 1285     UINT64_C(2055208978),	// DIV_U_B
 1286     UINT64_C(2061500434),	// DIV_U_D
 1287     UINT64_C(2057306130),	// DIV_U_H
 1288     UINT64_C(2059403282),	// DIV_U_W
 1289     UINT64_C(18300),	// DI_MM
 1290     UINT64_C(18300),	// DI_MMR6
 1291     UINT64_C(21),	// DLSA
 1292     UINT64_C(21),	// DLSA_R6
 1293     UINT64_C(1075838976),	// DMFC0
 1294     UINT64_C(1142947840),	// DMFC1
 1295     UINT64_C(1210056704),	// DMFC2
 1296     UINT64_C(1210056704),	// DMFC2_OCTEON
 1297     UINT64_C(1080033536),	// DMFGC0
 1298     UINT64_C(222),	// DMOD
 1299     UINT64_C(223),	// DMODU
 1300     UINT64_C(1096813505),	// DMT
 1301     UINT64_C(1084227584),	// DMTC0
 1302     UINT64_C(1151336448),	// DMTC1
 1303     UINT64_C(1218445312),	// DMTC2
 1304     UINT64_C(1218445312),	// DMTC2_OCTEON
 1305     UINT64_C(1080034048),	// DMTGC0
 1306     UINT64_C(220),	// DMUH
 1307     UINT64_C(221),	// DMUHU
 1308     UINT64_C(1879048195),	// DMUL
 1309     UINT64_C(28),	// DMULT
 1310     UINT64_C(29),	// DMULTu
 1311     UINT64_C(157),	// DMULU
 1312     UINT64_C(156),	// DMUL_R6
 1313     UINT64_C(2019557395),	// DOTP_S_D
 1314     UINT64_C(2015363091),	// DOTP_S_H
 1315     UINT64_C(2017460243),	// DOTP_S_W
 1316     UINT64_C(2027946003),	// DOTP_U_D
 1317     UINT64_C(2023751699),	// DOTP_U_H
 1318     UINT64_C(2025848851),	// DOTP_U_W
 1319     UINT64_C(2036334611),	// DPADD_S_D
 1320     UINT64_C(2032140307),	// DPADD_S_H
 1321     UINT64_C(2034237459),	// DPADD_S_W
 1322     UINT64_C(2044723219),	// DPADD_U_D
 1323     UINT64_C(2040528915),	// DPADD_U_H
 1324     UINT64_C(2042626067),	// DPADD_U_W
 1325     UINT64_C(2080376496),	// DPAQX_SA_W_PH
 1326     UINT64_C(12988),	// DPAQX_SA_W_PH_MMR2
 1327     UINT64_C(2080376368),	// DPAQX_S_W_PH
 1328     UINT64_C(8892),	// DPAQX_S_W_PH_MMR2
 1329     UINT64_C(2080375600),	// DPAQ_SA_L_W
 1330     UINT64_C(4796),	// DPAQ_SA_L_W_MM
 1331     UINT64_C(2080375088),	// DPAQ_S_W_PH
 1332     UINT64_C(700),	// DPAQ_S_W_PH_MM
 1333     UINT64_C(2080375024),	// DPAU_H_QBL
 1334     UINT64_C(8380),	// DPAU_H_QBL_MM
 1335     UINT64_C(2080375280),	// DPAU_H_QBR
 1336     UINT64_C(12476),	// DPAU_H_QBR_MM
 1337     UINT64_C(2080375344),	// DPAX_W_PH
 1338     UINT64_C(4284),	// DPAX_W_PH_MMR2
 1339     UINT64_C(2080374832),	// DPA_W_PH
 1340     UINT64_C(188),	// DPA_W_PH_MMR2
 1341     UINT64_C(1879048237),	// DPOP
 1342     UINT64_C(2080376560),	// DPSQX_SA_W_PH
 1343     UINT64_C(14012),	// DPSQX_SA_W_PH_MMR2
 1344     UINT64_C(2080376432),	// DPSQX_S_W_PH
 1345     UINT64_C(9916),	// DPSQX_S_W_PH_MMR2
 1346     UINT64_C(2080375664),	// DPSQ_SA_L_W
 1347     UINT64_C(5820),	// DPSQ_SA_L_W_MM
 1348     UINT64_C(2080375152),	// DPSQ_S_W_PH
 1349     UINT64_C(1724),	// DPSQ_S_W_PH_MM
 1350     UINT64_C(2053111827),	// DPSUB_S_D
 1351     UINT64_C(2048917523),	// DPSUB_S_H
 1352     UINT64_C(2051014675),	// DPSUB_S_W
 1353     UINT64_C(2061500435),	// DPSUB_U_D
 1354     UINT64_C(2057306131),	// DPSUB_U_H
 1355     UINT64_C(2059403283),	// DPSUB_U_W
 1356     UINT64_C(2080375536),	// DPSU_H_QBL
 1357     UINT64_C(9404),	// DPSU_H_QBL_MM
 1358     UINT64_C(2080375792),	// DPSU_H_QBR
 1359     UINT64_C(13500),	// DPSU_H_QBR_MM
 1360     UINT64_C(2080375408),	// DPSX_W_PH
 1361     UINT64_C(5308),	// DPSX_W_PH_MMR2
 1362     UINT64_C(2080374896),	// DPS_W_PH
 1363     UINT64_C(1212),	// DPS_W_PH_MMR2
 1364     UINT64_C(2097210),	// DROTR
 1365     UINT64_C(2097214),	// DROTR32
 1366     UINT64_C(86),	// DROTRV
 1367     UINT64_C(2080374948),	// DSBH
 1368     UINT64_C(30),	// DSDIV
 1369     UINT64_C(2080375140),	// DSHD
 1370     UINT64_C(56),	// DSLL
 1371     UINT64_C(60),	// DSLL32
 1372     UINT64_C(60),	// DSLL64_32
 1373     UINT64_C(20),	// DSLLV
 1374     UINT64_C(59),	// DSRA
 1375     UINT64_C(63),	// DSRA32
 1376     UINT64_C(23),	// DSRAV
 1377     UINT64_C(58),	// DSRL
 1378     UINT64_C(62),	// DSRL32
 1379     UINT64_C(22),	// DSRLV
 1380     UINT64_C(46),	// DSUB
 1381     UINT64_C(47),	// DSUBu
 1382     UINT64_C(31),	// DUDIV
 1383     UINT64_C(1096810532),	// DVP
 1384     UINT64_C(1096810497),	// DVPE
 1385     UINT64_C(6524),	// DVP_MMR6
 1386     UINT64_C(59418),	// DivRxRy16
 1387     UINT64_C(59419),	// DivuRxRy16
 1388     UINT64_C(192),	// EHB
 1389     UINT64_C(6144),	// EHB_MM
 1390     UINT64_C(6144),	// EHB_MMR6
 1391     UINT64_C(1096835104),	// EI
 1392     UINT64_C(22396),	// EI_MM
 1393     UINT64_C(22396),	// EI_MMR6
 1394     UINT64_C(1096813537),	// EMT
 1395     UINT64_C(1107296280),	// ERET
 1396     UINT64_C(1107296344),	// ERETNC
 1397     UINT64_C(127868),	// ERETNC_MMR6
 1398     UINT64_C(62332),	// ERET_MM
 1399     UINT64_C(62332),	// ERET_MMR6
 1400     UINT64_C(1096810500),	// EVP
 1401     UINT64_C(1096810529),	// EVPE
 1402     UINT64_C(14716),	// EVP_MMR6
 1403     UINT64_C(2080374784),	// EXT
 1404     UINT64_C(2080374968),	// EXTP
 1405     UINT64_C(2080375480),	// EXTPDP
 1406     UINT64_C(2080375544),	// EXTPDPV
 1407     UINT64_C(14524),	// EXTPDPV_MM
 1408     UINT64_C(13948),	// EXTPDP_MM
 1409     UINT64_C(2080375032),	// EXTPV
 1410     UINT64_C(10428),	// EXTPV_MM
 1411     UINT64_C(9852),	// EXTP_MM
 1412     UINT64_C(2080375288),	// EXTRV_RS_W
 1413     UINT64_C(11964),	// EXTRV_RS_W_MM
 1414     UINT64_C(2080375160),	// EXTRV_R_W
 1415     UINT64_C(7868),	// EXTRV_R_W_MM
 1416     UINT64_C(2080375800),	// EXTRV_S_H
 1417     UINT64_C(16060),	// EXTRV_S_H_MM
 1418     UINT64_C(2080374904),	// EXTRV_W
 1419     UINT64_C(3772),	// EXTRV_W_MM
 1420     UINT64_C(2080375224),	// EXTR_RS_W
 1421     UINT64_C(11900),	// EXTR_RS_W_MM
 1422     UINT64_C(2080375096),	// EXTR_R_W
 1423     UINT64_C(7804),	// EXTR_R_W_MM
 1424     UINT64_C(2080375736),	// EXTR_S_H
 1425     UINT64_C(15996),	// EXTR_S_H_MM
 1426     UINT64_C(2080374840),	// EXTR_W
 1427     UINT64_C(3708),	// EXTR_W_MM
 1428     UINT64_C(1879048250),	// EXTS
 1429     UINT64_C(1879048251),	// EXTS32
 1430     UINT64_C(44),	// EXT_MM
 1431     UINT64_C(44),	// EXT_MMR6
 1432     UINT64_C(1176502277),	// FABS_D32
 1433     UINT64_C(1409295227),	// FABS_D32_MM
 1434     UINT64_C(1176502277),	// FABS_D64
 1435     UINT64_C(1409295227),	// FABS_D64_MM
 1436     UINT64_C(1174405125),	// FABS_S
 1437     UINT64_C(1409287035),	// FABS_S_MM
 1438     UINT64_C(2015363099),	// FADD_D
 1439     UINT64_C(1176502272),	// FADD_D32
 1440     UINT64_C(1409286448),	// FADD_D32_MM
 1441     UINT64_C(1176502272),	// FADD_D64
 1442     UINT64_C(1409286448),	// FADD_D64_MM
 1443     UINT64_C(1174405120),	// FADD_S
 1444     UINT64_C(1409286192),	// FADD_S_MM
 1445     UINT64_C(1409286192),	// FADD_S_MMR6
 1446     UINT64_C(2013265947),	// FADD_W
 1447     UINT64_C(2015363098),	// FCAF_D
 1448     UINT64_C(2013265946),	// FCAF_W
 1449     UINT64_C(2023751706),	// FCEQ_D
 1450     UINT64_C(2021654554),	// FCEQ_W
 1451     UINT64_C(2065760286),	// FCLASS_D
 1452     UINT64_C(2065694750),	// FCLASS_W
 1453     UINT64_C(2040528922),	// FCLE_D
 1454     UINT64_C(2038431770),	// FCLE_W
 1455     UINT64_C(2032140314),	// FCLT_D
 1456     UINT64_C(2030043162),	// FCLT_W
 1457     UINT64_C(1176502320),	// FCMP_D32
 1458     UINT64_C(1409287228),	// FCMP_D32_MM
 1459     UINT64_C(1176502320),	// FCMP_D64
 1460     UINT64_C(1174405168),	// FCMP_S32
 1461     UINT64_C(1409286204),	// FCMP_S32_MM
 1462     UINT64_C(2027946012),	// FCNE_D
 1463     UINT64_C(2025848860),	// FCNE_W
 1464     UINT64_C(2019557404),	// FCOR_D
 1465     UINT64_C(2017460252),	// FCOR_W
 1466     UINT64_C(2027946010),	// FCUEQ_D
 1467     UINT64_C(2025848858),	// FCUEQ_W
 1468     UINT64_C(2044723226),	// FCULE_D
 1469     UINT64_C(2042626074),	// FCULE_W
 1470     UINT64_C(2036334618),	// FCULT_D
 1471     UINT64_C(2034237466),	// FCULT_W
 1472     UINT64_C(2023751708),	// FCUNE_D
 1473     UINT64_C(2021654556),	// FCUNE_W
 1474     UINT64_C(2019557402),	// FCUN_D
 1475     UINT64_C(2017460250),	// FCUN_W
 1476     UINT64_C(2027946011),	// FDIV_D
 1477     UINT64_C(1176502275),	// FDIV_D32
 1478     UINT64_C(1409286640),	// FDIV_D32_MM
 1479     UINT64_C(1176502275),	// FDIV_D64
 1480     UINT64_C(1409286640),	// FDIV_D64_MM
 1481     UINT64_C(1174405123),	// FDIV_S
 1482     UINT64_C(1409286384),	// FDIV_S_MM
 1483     UINT64_C(1409286384),	// FDIV_S_MMR6
 1484     UINT64_C(2025848859),	// FDIV_W
 1485     UINT64_C(2046820379),	// FEXDO_H
 1486     UINT64_C(2048917531),	// FEXDO_W
 1487     UINT64_C(2044723227),	// FEXP2_D
 1488     UINT64_C(2042626075),	// FEXP2_W
 1489     UINT64_C(2066808862),	// FEXUPL_D
 1490     UINT64_C(2066743326),	// FEXUPL_W
 1491     UINT64_C(2066939934),	// FEXUPR_D
 1492     UINT64_C(2066874398),	// FEXUPR_W
 1493     UINT64_C(2067595294),	// FFINT_S_D
 1494     UINT64_C(2067529758),	// FFINT_S_W
 1495     UINT64_C(2067726366),	// FFINT_U_D
 1496     UINT64_C(2067660830),	// FFINT_U_W
 1497     UINT64_C(2067071006),	// FFQL_D
 1498     UINT64_C(2067005470),	// FFQL_W
 1499     UINT64_C(2067202078),	// FFQR_D
 1500     UINT64_C(2067136542),	// FFQR_W
 1501     UINT64_C(2063597598),	// FILL_B
 1502     UINT64_C(2063794206),	// FILL_D
 1503     UINT64_C(2063663134),	// FILL_H
 1504     UINT64_C(2063728670),	// FILL_W
 1505     UINT64_C(2066677790),	// FLOG2_D
 1506     UINT64_C(2066612254),	// FLOG2_W
 1507     UINT64_C(1176502283),	// FLOOR_L_D64
 1508     UINT64_C(1409303355),	// FLOOR_L_D_MMR6
 1509     UINT64_C(1174405131),	// FLOOR_L_S
 1510     UINT64_C(1409286971),	// FLOOR_L_S_MMR6
 1511     UINT64_C(1176502287),	// FLOOR_W_D32
 1512     UINT64_C(1176502287),	// FLOOR_W_D64
 1513     UINT64_C(1409305403),	// FLOOR_W_D_MMR6
 1514     UINT64_C(1409305403),	// FLOOR_W_MM
 1515     UINT64_C(1174405135),	// FLOOR_W_S
 1516     UINT64_C(1409289019),	// FLOOR_W_S_MM
 1517     UINT64_C(1409289019),	// FLOOR_W_S_MMR6
 1518     UINT64_C(2032140315),	// FMADD_D
 1519     UINT64_C(2030043163),	// FMADD_W
 1520     UINT64_C(2078277659),	// FMAX_A_D
 1521     UINT64_C(2076180507),	// FMAX_A_W
 1522     UINT64_C(2074083355),	// FMAX_D
 1523     UINT64_C(2071986203),	// FMAX_W
 1524     UINT64_C(2069889051),	// FMIN_A_D
 1525     UINT64_C(2067791899),	// FMIN_A_W
 1526     UINT64_C(2065694747),	// FMIN_D
 1527     UINT64_C(2063597595),	// FMIN_W
 1528     UINT64_C(1176502278),	// FMOV_D32
 1529     UINT64_C(1409294459),	// FMOV_D32_MM
 1530     UINT64_C(1176502278),	// FMOV_D64
 1531     UINT64_C(1409294459),	// FMOV_D64_MM
 1532     UINT64_C(1409294459),	// FMOV_D_MMR6
 1533     UINT64_C(1174405126),	// FMOV_S
 1534     UINT64_C(1409286267),	// FMOV_S_MM
 1535     UINT64_C(1409286267),	// FMOV_S_MMR6
 1536     UINT64_C(2036334619),	// FMSUB_D
 1537     UINT64_C(2034237467),	// FMSUB_W
 1538     UINT64_C(2023751707),	// FMUL_D
 1539     UINT64_C(1176502274),	// FMUL_D32
 1540     UINT64_C(1409286576),	// FMUL_D32_MM
 1541     UINT64_C(1176502274),	// FMUL_D64
 1542     UINT64_C(1409286576),	// FMUL_D64_MM
 1543     UINT64_C(1174405122),	// FMUL_S
 1544     UINT64_C(1409286320),	// FMUL_S_MM
 1545     UINT64_C(1409286320),	// FMUL_S_MMR6
 1546     UINT64_C(2021654555),	// FMUL_W
 1547     UINT64_C(1176502279),	// FNEG_D32
 1548     UINT64_C(1409297275),	// FNEG_D32_MM
 1549     UINT64_C(1176502279),	// FNEG_D64
 1550     UINT64_C(1409297275),	// FNEG_D64_MM
 1551     UINT64_C(1174405127),	// FNEG_S
 1552     UINT64_C(1409289083),	// FNEG_S_MM
 1553     UINT64_C(1409289083),	// FNEG_S_MMR6
 1554     UINT64_C(2080374792),	// FORK
 1555     UINT64_C(2066415646),	// FRCP_D
 1556     UINT64_C(2066350110),	// FRCP_W
 1557     UINT64_C(2066546718),	// FRINT_D
 1558     UINT64_C(2066481182),	// FRINT_W
 1559     UINT64_C(2066284574),	// FRSQRT_D
 1560     UINT64_C(2066219038),	// FRSQRT_W
 1561     UINT64_C(2048917530),	// FSAF_D
 1562     UINT64_C(2046820378),	// FSAF_W
 1563     UINT64_C(2057306138),	// FSEQ_D
 1564     UINT64_C(2055208986),	// FSEQ_W
 1565     UINT64_C(2074083354),	// FSLE_D
 1566     UINT64_C(2071986202),	// FSLE_W
 1567     UINT64_C(2065694746),	// FSLT_D
 1568     UINT64_C(2063597594),	// FSLT_W
 1569     UINT64_C(2061500444),	// FSNE_D
 1570     UINT64_C(2059403292),	// FSNE_W
 1571     UINT64_C(2053111836),	// FSOR_D
 1572     UINT64_C(2051014684),	// FSOR_W
 1573     UINT64_C(2066153502),	// FSQRT_D
 1574     UINT64_C(1176502276),	// FSQRT_D32
 1575     UINT64_C(1409305147),	// FSQRT_D32_MM
 1576     UINT64_C(1176502276),	// FSQRT_D64
 1577     UINT64_C(1409305147),	// FSQRT_D64_MM
 1578     UINT64_C(1174405124),	// FSQRT_S
 1579     UINT64_C(1409288763),	// FSQRT_S_MM
 1580     UINT64_C(2066087966),	// FSQRT_W
 1581     UINT64_C(2019557403),	// FSUB_D
 1582     UINT64_C(1176502273),	// FSUB_D32
 1583     UINT64_C(1409286512),	// FSUB_D32_MM
 1584     UINT64_C(1176502273),	// FSUB_D64
 1585     UINT64_C(1409286512),	// FSUB_D64_MM
 1586     UINT64_C(1174405121),	// FSUB_S
 1587     UINT64_C(1409286256),	// FSUB_S_MM
 1588     UINT64_C(1409286256),	// FSUB_S_MMR6
 1589     UINT64_C(2017460251),	// FSUB_W
 1590     UINT64_C(2061500442),	// FSUEQ_D
 1591     UINT64_C(2059403290),	// FSUEQ_W
 1592     UINT64_C(2078277658),	// FSULE_D
 1593     UINT64_C(2076180506),	// FSULE_W
 1594     UINT64_C(2069889050),	// FSULT_D
 1595     UINT64_C(2067791898),	// FSULT_W
 1596     UINT64_C(2057306140),	// FSUNE_D
 1597     UINT64_C(2055208988),	// FSUNE_W
 1598     UINT64_C(2053111834),	// FSUN_D
 1599     UINT64_C(2051014682),	// FSUN_W
 1600     UINT64_C(2067333150),	// FTINT_S_D
 1601     UINT64_C(2067267614),	// FTINT_S_W
 1602     UINT64_C(2067464222),	// FTINT_U_D
 1603     UINT64_C(2067398686),	// FTINT_U_W
 1604     UINT64_C(2055208987),	// FTQ_H
 1605     UINT64_C(2057306139),	// FTQ_W
 1606     UINT64_C(2065891358),	// FTRUNC_S_D
 1607     UINT64_C(2065825822),	// FTRUNC_S_W
 1608     UINT64_C(2066022430),	// FTRUNC_U_D
 1609     UINT64_C(2065956894),	// FTRUNC_U_W
 1610     UINT64_C(2080374845),	// GINVI
 1611     UINT64_C(24956),	// GINVI_MMR6
 1612     UINT64_C(2080374973),	// GINVT
 1613     UINT64_C(29052),	// GINVT_MMR6
 1614     UINT64_C(2053111829),	// HADD_S_D
 1615     UINT64_C(2048917525),	// HADD_S_H
 1616     UINT64_C(2051014677),	// HADD_S_W
 1617     UINT64_C(2061500437),	// HADD_U_D
 1618     UINT64_C(2057306133),	// HADD_U_H
 1619     UINT64_C(2059403285),	// HADD_U_W
 1620     UINT64_C(2069889045),	// HSUB_S_D
 1621     UINT64_C(2065694741),	// HSUB_S_H
 1622     UINT64_C(2067791893),	// HSUB_S_W
 1623     UINT64_C(2078277653),	// HSUB_U_D
 1624     UINT64_C(2074083349),	// HSUB_U_H
 1625     UINT64_C(2076180501),	// HSUB_U_W
 1626     UINT64_C(1107296296),	// HYPCALL
 1627     UINT64_C(50044),	// HYPCALL_MM
 1628     UINT64_C(2063597588),	// ILVEV_B
 1629     UINT64_C(2069889044),	// ILVEV_D
 1630     UINT64_C(2065694740),	// ILVEV_H
 1631     UINT64_C(2067791892),	// ILVEV_W
 1632     UINT64_C(2046820372),	// ILVL_B
 1633     UINT64_C(2053111828),	// ILVL_D
 1634     UINT64_C(2048917524),	// ILVL_H
 1635     UINT64_C(2051014676),	// ILVL_W
 1636     UINT64_C(2071986196),	// ILVOD_B
 1637     UINT64_C(2078277652),	// ILVOD_D
 1638     UINT64_C(2074083348),	// ILVOD_H
 1639     UINT64_C(2076180500),	// ILVOD_W
 1640     UINT64_C(2055208980),	// ILVR_B
 1641     UINT64_C(2061500436),	// ILVR_D
 1642     UINT64_C(2057306132),	// ILVR_H
 1643     UINT64_C(2059403284),	// ILVR_W
 1644     UINT64_C(2080374788),	// INS
 1645     UINT64_C(2030043161),	// INSERT_B
 1646     UINT64_C(2033713177),	// INSERT_D
 1647     UINT64_C(2032140313),	// INSERT_H
 1648     UINT64_C(2033188889),	// INSERT_W
 1649     UINT64_C(2080374796),	// INSV
 1650     UINT64_C(2034237465),	// INSVE_B
 1651     UINT64_C(2037907481),	// INSVE_D
 1652     UINT64_C(2036334617),	// INSVE_H
 1653     UINT64_C(2037383193),	// INSVE_W
 1654     UINT64_C(16700),	// INSV_MM
 1655     UINT64_C(12),	// INS_MM
 1656     UINT64_C(12),	// INS_MMR6
 1657     UINT64_C(134217728),	// J
 1658     UINT64_C(201326592),	// JAL
 1659     UINT64_C(9),	// JALR
 1660     UINT64_C(17856),	// JALR16_MM
 1661     UINT64_C(9),	// JALR64
 1662     UINT64_C(17419),	// JALRC16_MMR6
 1663     UINT64_C(7996),	// JALRC_HB_MMR6
 1664     UINT64_C(3900),	// JALRC_MMR6
 1665     UINT64_C(17888),	// JALRS16_MM
 1666     UINT64_C(20284),	// JALRS_MM
 1667     UINT64_C(1033),	// JALR_HB
 1668     UINT64_C(1033),	// JALR_HB64
 1669     UINT64_C(3900),	// JALR_MM
 1670     UINT64_C(1946157056),	// JALS_MM
 1671     UINT64_C(1946157056),	// JALX
 1672     UINT64_C(4026531840),	// JALX_MM
 1673     UINT64_C(4093640704),	// JAL_MM
 1674     UINT64_C(4160749568),	// JIALC
 1675     UINT64_C(4160749568),	// JIALC64
 1676     UINT64_C(2147483648),	// JIALC_MMR6
 1677     UINT64_C(3623878656),	// JIC
 1678     UINT64_C(3623878656),	// JIC64
 1679     UINT64_C(2684354560),	// JIC_MMR6
 1680     UINT64_C(8),	// JR
 1681     UINT64_C(17792),	// JR16_MM
 1682     UINT64_C(8),	// JR64
 1683     UINT64_C(18176),	// JRADDIUSP
 1684     UINT64_C(17824),	// JRC16_MM
 1685     UINT64_C(17411),	// JRC16_MMR6
 1686     UINT64_C(17427),	// JRCADDIUSP_MMR6
 1687     UINT64_C(1032),	// JR_HB
 1688     UINT64_C(1032),	// JR_HB64
 1689     UINT64_C(1033),	// JR_HB64_R6
 1690     UINT64_C(1033),	// JR_HB_R6
 1691     UINT64_C(3900),	// JR_MM
 1692     UINT64_C(3556769792),	// J_MM
 1693     UINT64_C(402653184),	// Jal16
 1694     UINT64_C(402653184),	// JalB16
 1695     UINT64_C(59424),	// JrRa16
 1696     UINT64_C(59616),	// JrcRa16
 1697     UINT64_C(59584),	// JrcRx16
 1698     UINT64_C(59392),	// JumpLinkReg16
 1699     UINT64_C(2147483648),	// LB
 1700     UINT64_C(2147483648),	// LB64
 1701     UINT64_C(2080374828),	// LBE
 1702     UINT64_C(1610639360),	// LBE_MM
 1703     UINT64_C(2048),	// LBU16_MM
 1704     UINT64_C(2080375178),	// LBUX
 1705     UINT64_C(549),	// LBUX_MM
 1706     UINT64_C(335544320),	// LBU_MMR6
 1707     UINT64_C(469762048),	// LB_MM
 1708     UINT64_C(469762048),	// LB_MMR6
 1709     UINT64_C(2415919104),	// LBu
 1710     UINT64_C(2415919104),	// LBu64
 1711     UINT64_C(2080374824),	// LBuE
 1712     UINT64_C(1610637312),	// LBuE_MM
 1713     UINT64_C(335544320),	// LBu_MM
 1714     UINT64_C(3690987520),	// LD
 1715     UINT64_C(3556769792),	// LDC1
 1716     UINT64_C(3556769792),	// LDC164
 1717     UINT64_C(3154116608),	// LDC1_D64_MMR6
 1718     UINT64_C(3154116608),	// LDC1_MM
 1719     UINT64_C(3623878656),	// LDC2
 1720     UINT64_C(536879104),	// LDC2_MMR6
 1721     UINT64_C(1237319680),	// LDC2_R6
 1722     UINT64_C(3690987520),	// LDC3
 1723     UINT64_C(2063597575),	// LDI_B
 1724     UINT64_C(2069889031),	// LDI_D
 1725     UINT64_C(2065694727),	// LDI_H
 1726     UINT64_C(2067791879),	// LDI_W
 1727     UINT64_C(1744830464),	// LDL
 1728     UINT64_C(3960995840),	// LDPC
 1729     UINT64_C(1811939328),	// LDR
 1730     UINT64_C(1275068417),	// LDXC1
 1731     UINT64_C(1275068417),	// LDXC164
 1732     UINT64_C(2013265952),	// LD_B
 1733     UINT64_C(2013265955),	// LD_D
 1734     UINT64_C(2013265953),	// LD_H
 1735     UINT64_C(2013265954),	// LD_W
 1736     UINT64_C(603979776),	// LEA_ADDiu
 1737     UINT64_C(1677721600),	// LEA_ADDiu64
 1738     UINT64_C(805306368),	// LEA_ADDiu_MM
 1739     UINT64_C(2214592512),	// LH
 1740     UINT64_C(2214592512),	// LH64
 1741     UINT64_C(2080374829),	// LHE
 1742     UINT64_C(1610639872),	// LHE_MM
 1743     UINT64_C(10240),	// LHU16_MM
 1744     UINT64_C(2080375050),	// LHX
 1745     UINT64_C(357),	// LHX_MM
 1746     UINT64_C(1006632960),	// LH_MM
 1747     UINT64_C(2483027968),	// LHu
 1748     UINT64_C(2483027968),	// LHu64
 1749     UINT64_C(2080374825),	// LHuE
 1750     UINT64_C(1610637824),	// LHuE_MM
 1751     UINT64_C(872415232),	// LHu_MM
 1752     UINT64_C(60416),	// LI16_MM
 1753     UINT64_C(60416),	// LI16_MMR6
 1754     UINT64_C(3221225472),	// LL
 1755     UINT64_C(3221225472),	// LL64
 1756     UINT64_C(2080374838),	// LL64_R6
 1757     UINT64_C(3489660928),	// LLD
 1758     UINT64_C(2080374839),	// LLD_R6
 1759     UINT64_C(2080374830),	// LLE
 1760     UINT64_C(1610640384),	// LLE_MM
 1761     UINT64_C(1610625024),	// LL_MM
 1762     UINT64_C(1610625024),	// LL_MMR6
 1763     UINT64_C(2080374838),	// LL_R6
 1764     UINT64_C(5),	// LSA
 1765     UINT64_C(15),	// LSA_MMR6
 1766     UINT64_C(5),	// LSA_R6
 1767     UINT64_C(268435456),	// LUI_MMR6
 1768     UINT64_C(1275068421),	// LUXC1
 1769     UINT64_C(1275068421),	// LUXC164
 1770     UINT64_C(1409286472),	// LUXC1_MM
 1771     UINT64_C(1006632960),	// LUi
 1772     UINT64_C(1006632960),	// LUi64
 1773     UINT64_C(1101004800),	// LUi_MM
 1774     UINT64_C(2348810240),	// LW
 1775     UINT64_C(26624),	// LW16_MM
 1776     UINT64_C(2348810240),	// LW64
 1777     UINT64_C(3288334336),	// LWC1
 1778     UINT64_C(2617245696),	// LWC1_MM
 1779     UINT64_C(3355443200),	// LWC2
 1780     UINT64_C(536870912),	// LWC2_MMR6
 1781     UINT64_C(1228931072),	// LWC2_R6
 1782     UINT64_C(3422552064),	// LWC3
 1783     UINT64_C(2348810240),	// LWDSP
 1784     UINT64_C(4227858432),	// LWDSP_MM
 1785     UINT64_C(2080374831),	// LWE
 1786     UINT64_C(1610640896),	// LWE_MM
 1787     UINT64_C(25600),	// LWGP_MM
 1788     UINT64_C(2281701376),	// LWL
 1789     UINT64_C(2281701376),	// LWL64
 1790     UINT64_C(2080374809),	// LWLE
 1791     UINT64_C(1610638336),	// LWLE_MM
 1792     UINT64_C(1610612736),	// LWL_MM
 1793     UINT64_C(17664),	// LWM16_MM
 1794     UINT64_C(17410),	// LWM16_MMR6
 1795     UINT64_C(536891392),	// LWM32_MM
 1796     UINT64_C(3959947264),	// LWPC
 1797     UINT64_C(2013790208),	// LWPC_MMR6
 1798     UINT64_C(536875008),	// LWP_MM
 1799     UINT64_C(2550136832),	// LWR
 1800     UINT64_C(2550136832),	// LWR64
 1801     UINT64_C(2080374810),	// LWRE
 1802     UINT64_C(1610638848),	// LWRE_MM
 1803     UINT64_C(1610616832),	// LWR_MM
 1804     UINT64_C(18432),	// LWSP_MM
 1805     UINT64_C(3960471552),	// LWUPC
 1806     UINT64_C(1610670080),	// LWU_MM
 1807     UINT64_C(2080374794),	// LWX
 1808     UINT64_C(1275068416),	// LWXC1
 1809     UINT64_C(1409286216),	// LWXC1_MM
 1810     UINT64_C(280),	// LWXS_MM
 1811     UINT64_C(421),	// LWX_MM
 1812     UINT64_C(4227858432),	// LW_MM
 1813     UINT64_C(4227858432),	// LW_MMR6
 1814     UINT64_C(2617245696),	// LWu
 1815     UINT64_C(4026570752),	// LbRxRyOffMemX16
 1816     UINT64_C(4026572800),	// LbuRxRyOffMemX16
 1817     UINT64_C(4026572800),	// LhRxRyOffMemX16
 1818     UINT64_C(4026572800),	// LhuRxRyOffMemX16
 1819     UINT64_C(26624),	// LiRxImm16
 1820     UINT64_C(4026558464),	// LiRxImmAlignX16
 1821     UINT64_C(4026558464),	// LiRxImmX16
 1822     UINT64_C(45056),	// LwRxPcTcp16
 1823     UINT64_C(4026576896),	// LwRxPcTcpX16
 1824     UINT64_C(4026570752),	// LwRxRyOffMemX16
 1825     UINT64_C(4026568704),	// LwRxSpImmX16
 1826     UINT64_C(1879048192),	// MADD
 1827     UINT64_C(1176502296),	// MADDF_D
 1828     UINT64_C(1409287096),	// MADDF_D_MMR6
 1829     UINT64_C(1174405144),	// MADDF_S
 1830     UINT64_C(1409286584),	// MADDF_S_MMR6
 1831     UINT64_C(2067791900),	// MADDR_Q_H
 1832     UINT64_C(2069889052),	// MADDR_Q_W
 1833     UINT64_C(1879048193),	// MADDU
 1834     UINT64_C(1879048193),	// MADDU_DSP
 1835     UINT64_C(6844),	// MADDU_DSP_MM
 1836     UINT64_C(56124),	// MADDU_MM
 1837     UINT64_C(2021654546),	// MADDV_B
 1838     UINT64_C(2027946002),	// MADDV_D
 1839     UINT64_C(2023751698),	// MADDV_H
 1840     UINT64_C(2025848850),	// MADDV_W
 1841     UINT64_C(1275068449),	// MADD_D32
 1842     UINT64_C(1409286153),	// MADD_D32_MM
 1843     UINT64_C(1275068449),	// MADD_D64
 1844     UINT64_C(1879048192),	// MADD_DSP
 1845     UINT64_C(2748),	// MADD_DSP_MM
 1846     UINT64_C(52028),	// MADD_MM
 1847     UINT64_C(2034237468),	// MADD_Q_H
 1848     UINT64_C(2036334620),	// MADD_Q_W
 1849     UINT64_C(1275068448),	// MADD_S
 1850     UINT64_C(1409286145),	// MADD_S_MM
 1851     UINT64_C(2080375856),	// MAQ_SA_W_PHL
 1852     UINT64_C(14972),	// MAQ_SA_W_PHL_MM
 1853     UINT64_C(2080375984),	// MAQ_SA_W_PHR
 1854     UINT64_C(10876),	// MAQ_SA_W_PHR_MM
 1855     UINT64_C(2080376112),	// MAQ_S_W_PHL
 1856     UINT64_C(6780),	// MAQ_S_W_PHL_MM
 1857     UINT64_C(2080376240),	// MAQ_S_W_PHR
 1858     UINT64_C(2684),	// MAQ_S_W_PHR_MM
 1859     UINT64_C(1176502303),	// MAXA_D
 1860     UINT64_C(1409286699),	// MAXA_D_MMR6
 1861     UINT64_C(1174405151),	// MAXA_S
 1862     UINT64_C(1409286187),	// MAXA_S_MMR6
 1863     UINT64_C(2030043142),	// MAXI_S_B
 1864     UINT64_C(2036334598),	// MAXI_S_D
 1865     UINT64_C(2032140294),	// MAXI_S_H
 1866     UINT64_C(2034237446),	// MAXI_S_W
 1867     UINT64_C(2038431750),	// MAXI_U_B
 1868     UINT64_C(2044723206),	// MAXI_U_D
 1869     UINT64_C(2040528902),	// MAXI_U_H
 1870     UINT64_C(2042626054),	// MAXI_U_W
 1871     UINT64_C(2063597582),	// MAX_A_B
 1872     UINT64_C(2069889038),	// MAX_A_D
 1873     UINT64_C(2065694734),	// MAX_A_H
 1874     UINT64_C(2067791886),	// MAX_A_W
 1875     UINT64_C(1176502301),	// MAX_D
 1876     UINT64_C(1409286667),	// MAX_D_MMR6
 1877     UINT64_C(1174405149),	// MAX_S
 1878     UINT64_C(2030043150),	// MAX_S_B
 1879     UINT64_C(2036334606),	// MAX_S_D
 1880     UINT64_C(2032140302),	// MAX_S_H
 1881     UINT64_C(1409286155),	// MAX_S_MMR6
 1882     UINT64_C(2034237454),	// MAX_S_W
 1883     UINT64_C(2038431758),	// MAX_U_B
 1884     UINT64_C(2044723214),	// MAX_U_D
 1885     UINT64_C(2040528910),	// MAX_U_H
 1886     UINT64_C(2042626062),	// MAX_U_W
 1887     UINT64_C(1073741824),	// MFC0
 1888     UINT64_C(252),	// MFC0_MMR6
 1889     UINT64_C(1140850688),	// MFC1
 1890     UINT64_C(1140850688),	// MFC1_D64
 1891     UINT64_C(1409294395),	// MFC1_MM
 1892     UINT64_C(1409294395),	// MFC1_MMR6
 1893     UINT64_C(1207959552),	// MFC2
 1894     UINT64_C(19772),	// MFC2_MMR6
 1895     UINT64_C(1080033280),	// MFGC0
 1896     UINT64_C(1276),	// MFGC0_MM
 1897     UINT64_C(244),	// MFHC0_MMR6
 1898     UINT64_C(1147142144),	// MFHC1_D32
 1899     UINT64_C(1409298491),	// MFHC1_D32_MM
 1900     UINT64_C(1147142144),	// MFHC1_D64
 1901     UINT64_C(1409298491),	// MFHC1_D64_MM
 1902     UINT64_C(36156),	// MFHC2_MMR6
 1903     UINT64_C(1080034304),	// MFHGC0
 1904     UINT64_C(1268),	// MFHGC0_MM
 1905     UINT64_C(16),	// MFHI
 1906     UINT64_C(17920),	// MFHI16_MM
 1907     UINT64_C(16),	// MFHI64
 1908     UINT64_C(16),	// MFHI_DSP
 1909     UINT64_C(124),	// MFHI_DSP_MM
 1910     UINT64_C(3452),	// MFHI_MM
 1911     UINT64_C(18),	// MFLO
 1912     UINT64_C(17984),	// MFLO16_MM
 1913     UINT64_C(18),	// MFLO64
 1914     UINT64_C(18),	// MFLO_DSP
 1915     UINT64_C(4220),	// MFLO_DSP_MM
 1916     UINT64_C(7548),	// MFLO_MM
 1917     UINT64_C(1090519040),	// MFTR
 1918     UINT64_C(1176502302),	// MINA_D
 1919     UINT64_C(1409286691),	// MINA_D_MMR6
 1920     UINT64_C(1174405150),	// MINA_S
 1921     UINT64_C(1409286179),	// MINA_S_MMR6
 1922     UINT64_C(2046820358),	// MINI_S_B
 1923     UINT64_C(2053111814),	// MINI_S_D
 1924     UINT64_C(2048917510),	// MINI_S_H
 1925     UINT64_C(2051014662),	// MINI_S_W
 1926     UINT64_C(2055208966),	// MINI_U_B
 1927     UINT64_C(2061500422),	// MINI_U_D
 1928     UINT64_C(2057306118),	// MINI_U_H
 1929     UINT64_C(2059403270),	// MINI_U_W
 1930     UINT64_C(2071986190),	// MIN_A_B
 1931     UINT64_C(2078277646),	// MIN_A_D
 1932     UINT64_C(2074083342),	// MIN_A_H
 1933     UINT64_C(2076180494),	// MIN_A_W
 1934     UINT64_C(1176502300),	// MIN_D
 1935     UINT64_C(1409286659),	// MIN_D_MMR6
 1936     UINT64_C(1174405148),	// MIN_S
 1937     UINT64_C(2046820366),	// MIN_S_B
 1938     UINT64_C(2053111822),	// MIN_S_D
 1939     UINT64_C(2048917518),	// MIN_S_H
 1940     UINT64_C(1409286147),	// MIN_S_MMR6
 1941     UINT64_C(2051014670),	// MIN_S_W
 1942     UINT64_C(2055208974),	// MIN_U_B
 1943     UINT64_C(2061500430),	// MIN_U_D
 1944     UINT64_C(2057306126),	// MIN_U_H
 1945     UINT64_C(2059403278),	// MIN_U_W
 1946     UINT64_C(218),	// MOD
 1947     UINT64_C(2080375952),	// MODSUB
 1948     UINT64_C(661),	// MODSUB_MM
 1949     UINT64_C(219),	// MODU
 1950     UINT64_C(472),	// MODU_MMR6
 1951     UINT64_C(344),	// MOD_MMR6
 1952     UINT64_C(2063597586),	// MOD_S_B
 1953     UINT64_C(2069889042),	// MOD_S_D
 1954     UINT64_C(2065694738),	// MOD_S_H
 1955     UINT64_C(2067791890),	// MOD_S_W
 1956     UINT64_C(2071986194),	// MOD_U_B
 1957     UINT64_C(2078277650),	// MOD_U_D
 1958     UINT64_C(2074083346),	// MOD_U_H
 1959     UINT64_C(2076180498),	// MOD_U_W
 1960     UINT64_C(3072),	// MOVE16_MM
 1961     UINT64_C(3072),	// MOVE16_MMR6
 1962     UINT64_C(33792),	// MOVEP_MM
 1963     UINT64_C(17412),	// MOVEP_MMR6
 1964     UINT64_C(2025717785),	// MOVE_V
 1965     UINT64_C(1176502289),	// MOVF_D32
 1966     UINT64_C(1409286688),	// MOVF_D32_MM
 1967     UINT64_C(1176502289),	// MOVF_D64
 1968     UINT64_C(1),	// MOVF_I
 1969     UINT64_C(1),	// MOVF_I64
 1970     UINT64_C(1409286523),	// MOVF_I_MM
 1971     UINT64_C(1174405137),	// MOVF_S
 1972     UINT64_C(1409286176),	// MOVF_S_MM
 1973     UINT64_C(1176502291),	// MOVN_I64_D64
 1974     UINT64_C(11),	// MOVN_I64_I
 1975     UINT64_C(11),	// MOVN_I64_I64
 1976     UINT64_C(1174405139),	// MOVN_I64_S
 1977     UINT64_C(1176502291),	// MOVN_I_D32
 1978     UINT64_C(1409286456),	// MOVN_I_D32_MM
 1979     UINT64_C(1176502291),	// MOVN_I_D64
 1980     UINT64_C(11),	// MOVN_I_I
 1981     UINT64_C(11),	// MOVN_I_I64
 1982     UINT64_C(24),	// MOVN_I_MM
 1983     UINT64_C(1174405139),	// MOVN_I_S
 1984     UINT64_C(1409286200),	// MOVN_I_S_MM
 1985     UINT64_C(1176567825),	// MOVT_D32
 1986     UINT64_C(1409286752),	// MOVT_D32_MM
 1987     UINT64_C(1176567825),	// MOVT_D64
 1988     UINT64_C(65537),	// MOVT_I
 1989     UINT64_C(65537),	// MOVT_I64
 1990     UINT64_C(1409288571),	// MOVT_I_MM
 1991     UINT64_C(1174470673),	// MOVT_S
 1992     UINT64_C(1409286240),	// MOVT_S_MM
 1993     UINT64_C(1176502290),	// MOVZ_I64_D64
 1994     UINT64_C(10),	// MOVZ_I64_I
 1995     UINT64_C(10),	// MOVZ_I64_I64
 1996     UINT64_C(1174405138),	// MOVZ_I64_S
 1997     UINT64_C(1176502290),	// MOVZ_I_D32
 1998     UINT64_C(1409286520),	// MOVZ_I_D32_MM
 1999     UINT64_C(1176502290),	// MOVZ_I_D64
 2000     UINT64_C(10),	// MOVZ_I_I
 2001     UINT64_C(10),	// MOVZ_I_I64
 2002     UINT64_C(88),	// MOVZ_I_MM
 2003     UINT64_C(1174405138),	// MOVZ_I_S
 2004     UINT64_C(1409286264),	// MOVZ_I_S_MM
 2005     UINT64_C(1879048196),	// MSUB
 2006     UINT64_C(1176502297),	// MSUBF_D
 2007     UINT64_C(1409287160),	// MSUBF_D_MMR6
 2008     UINT64_C(1174405145),	// MSUBF_S
 2009     UINT64_C(1409286648),	// MSUBF_S_MMR6
 2010     UINT64_C(2071986204),	// MSUBR_Q_H
 2011     UINT64_C(2074083356),	// MSUBR_Q_W
 2012     UINT64_C(1879048197),	// MSUBU
 2013     UINT64_C(1879048197),	// MSUBU_DSP
 2014     UINT64_C(15036),	// MSUBU_DSP_MM
 2015     UINT64_C(64316),	// MSUBU_MM
 2016     UINT64_C(2030043154),	// MSUBV_B
 2017     UINT64_C(2036334610),	// MSUBV_D
 2018     UINT64_C(2032140306),	// MSUBV_H
 2019     UINT64_C(2034237458),	// MSUBV_W
 2020     UINT64_C(1275068457),	// MSUB_D32
 2021     UINT64_C(1409286185),	// MSUB_D32_MM
 2022     UINT64_C(1275068457),	// MSUB_D64
 2023     UINT64_C(1879048196),	// MSUB_DSP
 2024     UINT64_C(10940),	// MSUB_DSP_MM
 2025     UINT64_C(60220),	// MSUB_MM
 2026     UINT64_C(2038431772),	// MSUB_Q_H
 2027     UINT64_C(2040528924),	// MSUB_Q_W
 2028     UINT64_C(1275068456),	// MSUB_S
 2029     UINT64_C(1409286177),	// MSUB_S_MM
 2030     UINT64_C(1082130432),	// MTC0
 2031     UINT64_C(764),	// MTC0_MMR6
 2032     UINT64_C(1149239296),	// MTC1
 2033     UINT64_C(1149239296),	// MTC1_D64
 2034     UINT64_C(1409296443),	// MTC1_D64_MM
 2035     UINT64_C(1409296443),	// MTC1_MM
 2036     UINT64_C(1409296443),	// MTC1_MMR6
 2037     UINT64_C(1216348160),	// MTC2
 2038     UINT64_C(23868),	// MTC2_MMR6
 2039     UINT64_C(1080033792),	// MTGC0
 2040     UINT64_C(1788),	// MTGC0_MM
 2041     UINT64_C(756),	// MTHC0_MMR6
 2042     UINT64_C(1155530752),	// MTHC1_D32
 2043     UINT64_C(1409300539),	// MTHC1_D32_MM
 2044     UINT64_C(1155530752),	// MTHC1_D64
 2045     UINT64_C(1409300539),	// MTHC1_D64_MM
 2046     UINT64_C(40252),	// MTHC2_MMR6
 2047     UINT64_C(1080034816),	// MTHGC0
 2048     UINT64_C(1780),	// MTHGC0_MM
 2049     UINT64_C(17),	// MTHI
 2050     UINT64_C(17),	// MTHI64
 2051     UINT64_C(17),	// MTHI_DSP
 2052     UINT64_C(8316),	// MTHI_DSP_MM
 2053     UINT64_C(11644),	// MTHI_MM
 2054     UINT64_C(2080376824),	// MTHLIP
 2055     UINT64_C(636),	// MTHLIP_MM
 2056     UINT64_C(19),	// MTLO
 2057     UINT64_C(19),	// MTLO64
 2058     UINT64_C(19),	// MTLO_DSP
 2059     UINT64_C(12412),	// MTLO_DSP_MM
 2060     UINT64_C(15740),	// MTLO_MM
 2061     UINT64_C(1879048200),	// MTM0
 2062     UINT64_C(1879048204),	// MTM1
 2063     UINT64_C(1879048205),	// MTM2
 2064     UINT64_C(1879048201),	// MTP0
 2065     UINT64_C(1879048202),	// MTP1
 2066     UINT64_C(1879048203),	// MTP2
 2067     UINT64_C(1098907648),	// MTTR
 2068     UINT64_C(216),	// MUH
 2069     UINT64_C(217),	// MUHU
 2070     UINT64_C(216),	// MUHU_MMR6
 2071     UINT64_C(88),	// MUH_MMR6
 2072     UINT64_C(1879048194),	// MUL
 2073     UINT64_C(2080376592),	// MULEQ_S_W_PHL
 2074     UINT64_C(37),	// MULEQ_S_W_PHL_MM
 2075     UINT64_C(2080376656),	// MULEQ_S_W_PHR
 2076     UINT64_C(101),	// MULEQ_S_W_PHR_MM
 2077     UINT64_C(2080375184),	// MULEU_S_PH_QBL
 2078     UINT64_C(149),	// MULEU_S_PH_QBL_MM
 2079     UINT64_C(2080375248),	// MULEU_S_PH_QBR
 2080     UINT64_C(213),	// MULEU_S_PH_QBR_MM
 2081     UINT64_C(2080376784),	// MULQ_RS_PH
 2082     UINT64_C(277),	// MULQ_RS_PH_MM
 2083     UINT64_C(2080376280),	// MULQ_RS_W
 2084     UINT64_C(405),	// MULQ_RS_W_MMR2
 2085     UINT64_C(2080376720),	// MULQ_S_PH
 2086     UINT64_C(341),	// MULQ_S_PH_MMR2
 2087     UINT64_C(2080376216),	// MULQ_S_W
 2088     UINT64_C(469),	// MULQ_S_W_MMR2
 2089     UINT64_C(2063597596),	// MULR_Q_H
 2090     UINT64_C(2065694748),	// MULR_Q_W
 2091     UINT64_C(2080375216),	// MULSAQ_S_W_PH
 2092     UINT64_C(15548),	// MULSAQ_S_W_PH_MM
 2093     UINT64_C(2080374960),	// MULSA_W_PH
 2094     UINT64_C(11452),	// MULSA_W_PH_MMR2
 2095     UINT64_C(24),	// MULT
 2096     UINT64_C(25),	// MULTU_DSP
 2097     UINT64_C(7356),	// MULTU_DSP_MM
 2098     UINT64_C(24),	// MULT_DSP
 2099     UINT64_C(3260),	// MULT_DSP_MM
 2100     UINT64_C(35644),	// MULT_MM
 2101     UINT64_C(25),	// MULTu
 2102     UINT64_C(39740),	// MULTu_MM
 2103     UINT64_C(153),	// MULU
 2104     UINT64_C(152),	// MULU_MMR6
 2105     UINT64_C(2013265938),	// MULV_B
 2106     UINT64_C(2019557394),	// MULV_D
 2107     UINT64_C(2015363090),	// MULV_H
 2108     UINT64_C(2017460242),	// MULV_W
 2109     UINT64_C(528),	// MUL_MM
 2110     UINT64_C(24),	// MUL_MMR6
 2111     UINT64_C(2080375576),	// MUL_PH
 2112     UINT64_C(45),	// MUL_PH_MMR2
 2113     UINT64_C(2030043164),	// MUL_Q_H
 2114     UINT64_C(2032140316),	// MUL_Q_W
 2115     UINT64_C(152),	// MUL_R6
 2116     UINT64_C(2080375704),	// MUL_S_PH
 2117     UINT64_C(1069),	// MUL_S_PH_MMR2
 2118     UINT64_C(59408),	// Mfhi16
 2119     UINT64_C(59410),	// Mflo16
 2120     UINT64_C(25856),	// Move32R16
 2121     UINT64_C(26368),	// MoveR3216
 2122     UINT64_C(2064121886),	// NLOC_B
 2123     UINT64_C(2064318494),	// NLOC_D
 2124     UINT64_C(2064187422),	// NLOC_H
 2125     UINT64_C(2064252958),	// NLOC_W
 2126     UINT64_C(2064384030),	// NLZC_B
 2127     UINT64_C(2064580638),	// NLZC_D
 2128     UINT64_C(2064449566),	// NLZC_H
 2129     UINT64_C(2064515102),	// NLZC_W
 2130     UINT64_C(1275068465),	// NMADD_D32
 2131     UINT64_C(1409286154),	// NMADD_D32_MM
 2132     UINT64_C(1275068465),	// NMADD_D64
 2133     UINT64_C(1275068464),	// NMADD_S
 2134     UINT64_C(1409286146),	// NMADD_S_MM
 2135     UINT64_C(1275068473),	// NMSUB_D32
 2136     UINT64_C(1409286186),	// NMSUB_D32_MM
 2137     UINT64_C(1275068473),	// NMSUB_D64
 2138     UINT64_C(1275068472),	// NMSUB_S
 2139     UINT64_C(1409286178),	// NMSUB_S_MM
 2140     UINT64_C(39),	// NOR
 2141     UINT64_C(39),	// NOR64
 2142     UINT64_C(2046820352),	// NORI_B
 2143     UINT64_C(720),	// NOR_MM
 2144     UINT64_C(720),	// NOR_MMR6
 2145     UINT64_C(2017460254),	// NOR_V
 2146     UINT64_C(17408),	// NOT16_MM
 2147     UINT64_C(17408),	// NOT16_MMR6
 2148     UINT64_C(59421),	// NegRxRy16
 2149     UINT64_C(59407),	// NotRxRy16
 2150     UINT64_C(37),	// OR
 2151     UINT64_C(17600),	// OR16_MM
 2152     UINT64_C(17417),	// OR16_MMR6
 2153     UINT64_C(37),	// OR64
 2154     UINT64_C(2030043136),	// ORI_B
 2155     UINT64_C(1342177280),	// ORI_MMR6
 2156     UINT64_C(656),	// OR_MM
 2157     UINT64_C(656),	// OR_MMR6
 2158     UINT64_C(2015363102),	// OR_V
 2159     UINT64_C(872415232),	// ORi
 2160     UINT64_C(872415232),	// ORi64
 2161     UINT64_C(1342177280),	// ORi_MM
 2162     UINT64_C(59405),	// OrRxRxRy16
 2163     UINT64_C(2080375697),	// PACKRL_PH
 2164     UINT64_C(429),	// PACKRL_PH_MM
 2165     UINT64_C(320),	// PAUSE
 2166     UINT64_C(10240),	// PAUSE_MM
 2167     UINT64_C(10240),	// PAUSE_MMR6
 2168     UINT64_C(2030043156),	// PCKEV_B
 2169     UINT64_C(2036334612),	// PCKEV_D
 2170     UINT64_C(2032140308),	// PCKEV_H
 2171     UINT64_C(2034237460),	// PCKEV_W
 2172     UINT64_C(2038431764),	// PCKOD_B
 2173     UINT64_C(2044723220),	// PCKOD_D
 2174     UINT64_C(2040528916),	// PCKOD_H
 2175     UINT64_C(2042626068),	// PCKOD_W
 2176     UINT64_C(2063859742),	// PCNT_B
 2177     UINT64_C(2064056350),	// PCNT_D
 2178     UINT64_C(2063925278),	// PCNT_H
 2179     UINT64_C(2063990814),	// PCNT_W
 2180     UINT64_C(2080375505),	// PICK_PH
 2181     UINT64_C(557),	// PICK_PH_MM
 2182     UINT64_C(2080374993),	// PICK_QB
 2183     UINT64_C(493),	// PICK_QB_MM
 2184     UINT64_C(1186988076),	// PLL_PS64
 2185     UINT64_C(1186988077),	// PLU_PS64
 2186     UINT64_C(1879048236),	// POP
 2187     UINT64_C(2080375058),	// PRECEQU_PH_QBL
 2188     UINT64_C(2080375186),	// PRECEQU_PH_QBLA
 2189     UINT64_C(29500),	// PRECEQU_PH_QBLA_MM
 2190     UINT64_C(28988),	// PRECEQU_PH_QBL_MM
 2191     UINT64_C(2080375122),	// PRECEQU_PH_QBR
 2192     UINT64_C(2080375250),	// PRECEQU_PH_QBRA
 2193     UINT64_C(37692),	// PRECEQU_PH_QBRA_MM
 2194     UINT64_C(37180),	// PRECEQU_PH_QBR_MM
 2195     UINT64_C(2080375570),	// PRECEQ_W_PHL
 2196     UINT64_C(20796),	// PRECEQ_W_PHL_MM
 2197     UINT64_C(2080375634),	// PRECEQ_W_PHR
 2198     UINT64_C(24892),	// PRECEQ_W_PHR_MM
 2199     UINT64_C(2080376594),	// PRECEU_PH_QBL
 2200     UINT64_C(2080376722),	// PRECEU_PH_QBLA
 2201     UINT64_C(45884),	// PRECEU_PH_QBLA_MM
 2202     UINT64_C(45372),	// PRECEU_PH_QBL_MM
 2203     UINT64_C(2080376658),	// PRECEU_PH_QBR
 2204     UINT64_C(2080376786),	// PRECEU_PH_QBRA
 2205     UINT64_C(54076),	// PRECEU_PH_QBRA_MM
 2206     UINT64_C(53564),	// PRECEU_PH_QBR_MM
 2207     UINT64_C(2080375761),	// PRECRQU_S_QB_PH
 2208     UINT64_C(365),	// PRECRQU_S_QB_PH_MM
 2209     UINT64_C(2080376081),	// PRECRQ_PH_W
 2210     UINT64_C(237),	// PRECRQ_PH_W_MM
 2211     UINT64_C(2080375569),	// PRECRQ_QB_PH
 2212     UINT64_C(173),	// PRECRQ_QB_PH_MM
 2213     UINT64_C(2080376145),	// PRECRQ_RS_PH_W
 2214     UINT64_C(301),	// PRECRQ_RS_PH_W_MM
 2215     UINT64_C(2080375633),	// PRECR_QB_PH
 2216     UINT64_C(109),	// PRECR_QB_PH_MMR2
 2217     UINT64_C(2080376721),	// PRECR_SRA_PH_W
 2218     UINT64_C(973),	// PRECR_SRA_PH_W_MMR2
 2219     UINT64_C(2080376785),	// PRECR_SRA_R_PH_W
 2220     UINT64_C(1997),	// PRECR_SRA_R_PH_W_MMR2
 2221     UINT64_C(3422552064),	// PREF
 2222     UINT64_C(2080374819),	// PREFE
 2223     UINT64_C(1610654720),	// PREFE_MM
 2224     UINT64_C(1409286560),	// PREFX_MM
 2225     UINT64_C(1610620928),	// PREF_MM
 2226     UINT64_C(1610620928),	// PREF_MMR6
 2227     UINT64_C(2080374837),	// PREF_R6
 2228     UINT64_C(2080374897),	// PREPEND
 2229     UINT64_C(597),	// PREPEND_MMR2
 2230     UINT64_C(2080376080),	// RADDU_W_QB
 2231     UINT64_C(61756),	// RADDU_W_QB_MM
 2232     UINT64_C(2080375992),	// RDDSP
 2233     UINT64_C(1660),	// RDDSP_MM
 2234     UINT64_C(2080374843),	// RDHWR
 2235     UINT64_C(2080374843),	// RDHWR64
 2236     UINT64_C(27452),	// RDHWR_MM
 2237     UINT64_C(448),	// RDHWR_MMR6
 2238     UINT64_C(57724),	// RDPGPR_MMR6
 2239     UINT64_C(1176502293),	// RECIP_D32
 2240     UINT64_C(1409307195),	// RECIP_D32_MM
 2241     UINT64_C(1176502293),	// RECIP_D64
 2242     UINT64_C(1409307195),	// RECIP_D64_MM
 2243     UINT64_C(1174405141),	// RECIP_S
 2244     UINT64_C(1409290811),	// RECIP_S_MM
 2245     UINT64_C(2080375506),	// REPLV_PH
 2246     UINT64_C(828),	// REPLV_PH_MM
 2247     UINT64_C(2080374994),	// REPLV_QB
 2248     UINT64_C(4924),	// REPLV_QB_MM
 2249     UINT64_C(2080375442),	// REPL_PH
 2250     UINT64_C(61),	// REPL_PH_MM
 2251     UINT64_C(2080374930),	// REPL_QB
 2252     UINT64_C(1532),	// REPL_QB_MM
 2253     UINT64_C(1176502298),	// RINT_D
 2254     UINT64_C(1409286688),	// RINT_D_MMR6
 2255     UINT64_C(1174405146),	// RINT_S
 2256     UINT64_C(1409286176),	// RINT_S_MMR6
 2257     UINT64_C(2097154),	// ROTR
 2258     UINT64_C(70),	// ROTRV
 2259     UINT64_C(208),	// ROTRV_MM
 2260     UINT64_C(192),	// ROTR_MM
 2261     UINT64_C(1176502280),	// ROUND_L_D64
 2262     UINT64_C(1409315643),	// ROUND_L_D_MMR6
 2263     UINT64_C(1174405128),	// ROUND_L_S
 2264     UINT64_C(1409299259),	// ROUND_L_S_MMR6
 2265     UINT64_C(1176502284),	// ROUND_W_D32
 2266     UINT64_C(1176502284),	// ROUND_W_D64
 2267     UINT64_C(1409317691),	// ROUND_W_D_MMR6
 2268     UINT64_C(1409317691),	// ROUND_W_MM
 2269     UINT64_C(1174405132),	// ROUND_W_S
 2270     UINT64_C(1409301307),	// ROUND_W_S_MM
 2271     UINT64_C(1409301307),	// ROUND_W_S_MMR6
 2272     UINT64_C(1176502294),	// RSQRT_D32
 2273     UINT64_C(1409303099),	// RSQRT_D32_MM
 2274     UINT64_C(1176502294),	// RSQRT_D64
 2275     UINT64_C(1409303099),	// RSQRT_D64_MM
 2276     UINT64_C(1174405142),	// RSQRT_S
 2277     UINT64_C(1409286715),	// RSQRT_S_MM
 2278     UINT64_C(25728),	// Restore16
 2279     UINT64_C(25728),	// RestoreX16
 2280     UINT64_C(2020605962),	// SAT_S_B
 2281     UINT64_C(2013265930),	// SAT_S_D
 2282     UINT64_C(2019557386),	// SAT_S_H
 2283     UINT64_C(2017460234),	// SAT_S_W
 2284     UINT64_C(2028994570),	// SAT_U_B
 2285     UINT64_C(2021654538),	// SAT_U_D
 2286     UINT64_C(2027945994),	// SAT_U_H
 2287     UINT64_C(2025848842),	// SAT_U_W
 2288     UINT64_C(2684354560),	// SB
 2289     UINT64_C(34816),	// SB16_MM
 2290     UINT64_C(34816),	// SB16_MMR6
 2291     UINT64_C(2684354560),	// SB64
 2292     UINT64_C(2080374812),	// SBE
 2293     UINT64_C(1610655744),	// SBE_MM
 2294     UINT64_C(402653184),	// SB_MM
 2295     UINT64_C(402653184),	// SB_MMR6
 2296     UINT64_C(3758096384),	// SC
 2297     UINT64_C(3758096384),	// SC64
 2298     UINT64_C(2080374822),	// SC64_R6
 2299     UINT64_C(4026531840),	// SCD
 2300     UINT64_C(2080374823),	// SCD_R6
 2301     UINT64_C(2080374814),	// SCE
 2302     UINT64_C(1610656768),	// SCE_MM
 2303     UINT64_C(1610657792),	// SC_MM
 2304     UINT64_C(1610657792),	// SC_MMR6
 2305     UINT64_C(2080374822),	// SC_R6
 2306     UINT64_C(4227858432),	// SD
 2307     UINT64_C(1879048255),	// SDBBP
 2308     UINT64_C(18112),	// SDBBP16_MM
 2309     UINT64_C(17467),	// SDBBP16_MMR6
 2310     UINT64_C(56188),	// SDBBP_MM
 2311     UINT64_C(56188),	// SDBBP_MMR6
 2312     UINT64_C(14),	// SDBBP_R6
 2313     UINT64_C(4093640704),	// SDC1
 2314     UINT64_C(4093640704),	// SDC164
 2315     UINT64_C(3087007744),	// SDC1_D64_MMR6
 2316     UINT64_C(3087007744),	// SDC1_MM
 2317     UINT64_C(4160749568),	// SDC2
 2318     UINT64_C(536911872),	// SDC2_MMR6
 2319     UINT64_C(1239416832),	// SDC2_R6
 2320     UINT64_C(4227858432),	// SDC3
 2321     UINT64_C(26),	// SDIV
 2322     UINT64_C(43836),	// SDIV_MM
 2323     UINT64_C(2952790016),	// SDL
 2324     UINT64_C(3019898880),	// SDR
 2325     UINT64_C(1275068425),	// SDXC1
 2326     UINT64_C(1275068425),	// SDXC164
 2327     UINT64_C(2080375840),	// SEB
 2328     UINT64_C(2080375840),	// SEB64
 2329     UINT64_C(11068),	// SEB_MM
 2330     UINT64_C(2080376352),	// SEH
 2331     UINT64_C(2080376352),	// SEH64
 2332     UINT64_C(15164),	// SEH_MM
 2333     UINT64_C(53),	// SELEQZ
 2334     UINT64_C(53),	// SELEQZ64
 2335     UINT64_C(1176502292),	// SELEQZ_D
 2336     UINT64_C(1409286712),	// SELEQZ_D_MMR6
 2337     UINT64_C(320),	// SELEQZ_MMR6
 2338     UINT64_C(1174405140),	// SELEQZ_S
 2339     UINT64_C(1409286200),	// SELEQZ_S_MMR6
 2340     UINT64_C(55),	// SELNEZ
 2341     UINT64_C(55),	// SELNEZ64
 2342     UINT64_C(1176502295),	// SELNEZ_D
 2343     UINT64_C(1409286776),	// SELNEZ_D_MMR6
 2344     UINT64_C(384),	// SELNEZ_MMR6
 2345     UINT64_C(1174405143),	// SELNEZ_S
 2346     UINT64_C(1409286264),	// SELNEZ_S_MMR6
 2347     UINT64_C(1176502288),	// SEL_D
 2348     UINT64_C(1409286840),	// SEL_D_MMR6
 2349     UINT64_C(1174405136),	// SEL_S
 2350     UINT64_C(1409286328),	// SEL_S_MMR6
 2351     UINT64_C(1879048234),	// SEQ
 2352     UINT64_C(1879048238),	// SEQi
 2353     UINT64_C(2751463424),	// SH
 2354     UINT64_C(43008),	// SH16_MM
 2355     UINT64_C(43008),	// SH16_MMR6
 2356     UINT64_C(2751463424),	// SH64
 2357     UINT64_C(2080374813),	// SHE
 2358     UINT64_C(1610656256),	// SHE_MM
 2359     UINT64_C(2013265922),	// SHF_B
 2360     UINT64_C(2030043138),	// SHF_H
 2361     UINT64_C(2046820354),	// SHF_W
 2362     UINT64_C(2080376504),	// SHILO
 2363     UINT64_C(2080376568),	// SHILOV
 2364     UINT64_C(4732),	// SHILOV_MM
 2365     UINT64_C(29),	// SHILO_MM
 2366     UINT64_C(2080375443),	// SHLLV_PH
 2367     UINT64_C(14),	// SHLLV_PH_MM
 2368     UINT64_C(2080374931),	// SHLLV_QB
 2369     UINT64_C(917),	// SHLLV_QB_MM
 2370     UINT64_C(2080375699),	// SHLLV_S_PH
 2371     UINT64_C(1038),	// SHLLV_S_PH_MM
 2372     UINT64_C(2080376211),	// SHLLV_S_W
 2373     UINT64_C(981),	// SHLLV_S_W_MM
 2374     UINT64_C(2080375315),	// SHLL_PH
 2375     UINT64_C(949),	// SHLL_PH_MM
 2376     UINT64_C(2080374803),	// SHLL_QB
 2377     UINT64_C(2172),	// SHLL_QB_MM
 2378     UINT64_C(2080375571),	// SHLL_S_PH
 2379     UINT64_C(2997),	// SHLL_S_PH_MM
 2380     UINT64_C(2080376083),	// SHLL_S_W
 2381     UINT64_C(1013),	// SHLL_S_W_MM
 2382     UINT64_C(2080375507),	// SHRAV_PH
 2383     UINT64_C(397),	// SHRAV_PH_MM
 2384     UINT64_C(2080375187),	// SHRAV_QB
 2385     UINT64_C(461),	// SHRAV_QB_MMR2
 2386     UINT64_C(2080375763),	// SHRAV_R_PH
 2387     UINT64_C(1421),	// SHRAV_R_PH_MM
 2388     UINT64_C(2080375251),	// SHRAV_R_QB
 2389     UINT64_C(1485),	// SHRAV_R_QB_MMR2
 2390     UINT64_C(2080376275),	// SHRAV_R_W
 2391     UINT64_C(725),	// SHRAV_R_W_MM
 2392     UINT64_C(2080375379),	// SHRA_PH
 2393     UINT64_C(821),	// SHRA_PH_MM
 2394     UINT64_C(2080375059),	// SHRA_QB
 2395     UINT64_C(508),	// SHRA_QB_MMR2
 2396     UINT64_C(2080375635),	// SHRA_R_PH
 2397     UINT64_C(1845),	// SHRA_R_PH_MM
 2398     UINT64_C(2080375123),	// SHRA_R_QB
 2399     UINT64_C(4604),	// SHRA_R_QB_MMR2
 2400     UINT64_C(2080376147),	// SHRA_R_W
 2401     UINT64_C(757),	// SHRA_R_W_MM
 2402     UINT64_C(2080376531),	// SHRLV_PH
 2403     UINT64_C(789),	// SHRLV_PH_MMR2
 2404     UINT64_C(2080374995),	// SHRLV_QB
 2405     UINT64_C(853),	// SHRLV_QB_MM
 2406     UINT64_C(2080376403),	// SHRL_PH
 2407     UINT64_C(1020),	// SHRL_PH_MMR2
 2408     UINT64_C(2080374867),	// SHRL_QB
 2409     UINT64_C(6268),	// SHRL_QB_MM
 2410     UINT64_C(939524096),	// SH_MM
 2411     UINT64_C(939524096),	// SH_MMR6
 2412     UINT64_C(68616192),	// SIGRIE
 2413     UINT64_C(63),	// SIGRIE_MMR6
 2414     UINT64_C(2013265945),	// SLDI_B
 2415     UINT64_C(2016935961),	// SLDI_D
 2416     UINT64_C(2015363097),	// SLDI_H
 2417     UINT64_C(2016411673),	// SLDI_W
 2418     UINT64_C(2013265940),	// SLD_B
 2419     UINT64_C(2019557396),	// SLD_D
 2420     UINT64_C(2015363092),	// SLD_H
 2421     UINT64_C(2017460244),	// SLD_W
 2422     UINT64_C(0),	// SLL
 2423     UINT64_C(9216),	// SLL16_MM
 2424     UINT64_C(9216),	// SLL16_MMR6
 2425     UINT64_C(0),	// SLL64_32
 2426     UINT64_C(0),	// SLL64_64
 2427     UINT64_C(2020605961),	// SLLI_B
 2428     UINT64_C(2013265929),	// SLLI_D
 2429     UINT64_C(2019557385),	// SLLI_H
 2430     UINT64_C(2017460233),	// SLLI_W
 2431     UINT64_C(4),	// SLLV
 2432     UINT64_C(16),	// SLLV_MM
 2433     UINT64_C(2013265933),	// SLL_B
 2434     UINT64_C(2019557389),	// SLL_D
 2435     UINT64_C(2015363085),	// SLL_H
 2436     UINT64_C(0),	// SLL_MM
 2437     UINT64_C(0),	// SLL_MMR6
 2438     UINT64_C(2017460237),	// SLL_W
 2439     UINT64_C(42),	// SLT
 2440     UINT64_C(42),	// SLT64
 2441     UINT64_C(848),	// SLT_MM
 2442     UINT64_C(671088640),	// SLTi
 2443     UINT64_C(671088640),	// SLTi64
 2444     UINT64_C(2415919104),	// SLTi_MM
 2445     UINT64_C(738197504),	// SLTiu
 2446     UINT64_C(738197504),	// SLTiu64
 2447     UINT64_C(2952790016),	// SLTiu_MM
 2448     UINT64_C(43),	// SLTu
 2449     UINT64_C(43),	// SLTu64
 2450     UINT64_C(912),	// SLTu_MM
 2451     UINT64_C(1879048235),	// SNE
 2452     UINT64_C(1879048239),	// SNEi
 2453     UINT64_C(2017460249),	// SPLATI_B
 2454     UINT64_C(2021130265),	// SPLATI_D
 2455     UINT64_C(2019557401),	// SPLATI_H
 2456     UINT64_C(2020605977),	// SPLATI_W
 2457     UINT64_C(2021654548),	// SPLAT_B
 2458     UINT64_C(2027946004),	// SPLAT_D
 2459     UINT64_C(2023751700),	// SPLAT_H
 2460     UINT64_C(2025848852),	// SPLAT_W
 2461     UINT64_C(3),	// SRA
 2462     UINT64_C(2028994569),	// SRAI_B
 2463     UINT64_C(2021654537),	// SRAI_D
 2464     UINT64_C(2027945993),	// SRAI_H
 2465     UINT64_C(2025848841),	// SRAI_W
 2466     UINT64_C(2037383178),	// SRARI_B
 2467     UINT64_C(2030043146),	// SRARI_D
 2468     UINT64_C(2036334602),	// SRARI_H
 2469     UINT64_C(2034237450),	// SRARI_W
 2470     UINT64_C(2021654549),	// SRAR_B
 2471     UINT64_C(2027946005),	// SRAR_D
 2472     UINT64_C(2023751701),	// SRAR_H
 2473     UINT64_C(2025848853),	// SRAR_W
 2474     UINT64_C(7),	// SRAV
 2475     UINT64_C(144),	// SRAV_MM
 2476     UINT64_C(2021654541),	// SRA_B
 2477     UINT64_C(2027945997),	// SRA_D
 2478     UINT64_C(2023751693),	// SRA_H
 2479     UINT64_C(128),	// SRA_MM
 2480     UINT64_C(2025848845),	// SRA_W
 2481     UINT64_C(2),	// SRL
 2482     UINT64_C(9217),	// SRL16_MM
 2483     UINT64_C(9217),	// SRL16_MMR6
 2484     UINT64_C(2037383177),	// SRLI_B
 2485     UINT64_C(2030043145),	// SRLI_D
 2486     UINT64_C(2036334601),	// SRLI_H
 2487     UINT64_C(2034237449),	// SRLI_W
 2488     UINT64_C(2045771786),	// SRLRI_B
 2489     UINT64_C(2038431754),	// SRLRI_D
 2490     UINT64_C(2044723210),	// SRLRI_H
 2491     UINT64_C(2042626058),	// SRLRI_W
 2492     UINT64_C(2030043157),	// SRLR_B
 2493     UINT64_C(2036334613),	// SRLR_D
 2494     UINT64_C(2032140309),	// SRLR_H
 2495     UINT64_C(2034237461),	// SRLR_W
 2496     UINT64_C(6),	// SRLV
 2497     UINT64_C(80),	// SRLV_MM
 2498     UINT64_C(2030043149),	// SRL_B
 2499     UINT64_C(2036334605),	// SRL_D
 2500     UINT64_C(2032140301),	// SRL_H
 2501     UINT64_C(64),	// SRL_MM
 2502     UINT64_C(2034237453),	// SRL_W
 2503     UINT64_C(64),	// SSNOP
 2504     UINT64_C(2048),	// SSNOP_MM
 2505     UINT64_C(2048),	// SSNOP_MMR6
 2506     UINT64_C(2013265956),	// ST_B
 2507     UINT64_C(2013265959),	// ST_D
 2508     UINT64_C(2013265957),	// ST_H
 2509     UINT64_C(2013265958),	// ST_W
 2510     UINT64_C(34),	// SUB
 2511     UINT64_C(2080375384),	// SUBQH_PH
 2512     UINT64_C(589),	// SUBQH_PH_MMR2
 2513     UINT64_C(2080375512),	// SUBQH_R_PH
 2514     UINT64_C(1613),	// SUBQH_R_PH_MMR2
 2515     UINT64_C(2080376024),	// SUBQH_R_W
 2516     UINT64_C(1677),	// SUBQH_R_W_MMR2
 2517     UINT64_C(2080375896),	// SUBQH_W
 2518     UINT64_C(653),	// SUBQH_W_MMR2
 2519     UINT64_C(2080375504),	// SUBQ_PH
 2520     UINT64_C(525),	// SUBQ_PH_MM
 2521     UINT64_C(2080375760),	// SUBQ_S_PH
 2522     UINT64_C(1549),	// SUBQ_S_PH_MM
 2523     UINT64_C(2080376272),	// SUBQ_S_W
 2524     UINT64_C(837),	// SUBQ_S_W_MM
 2525     UINT64_C(2030043153),	// SUBSUS_U_B
 2526     UINT64_C(2036334609),	// SUBSUS_U_D
 2527     UINT64_C(2032140305),	// SUBSUS_U_H
 2528     UINT64_C(2034237457),	// SUBSUS_U_W
 2529     UINT64_C(2038431761),	// SUBSUU_S_B
 2530     UINT64_C(2044723217),	// SUBSUU_S_D
 2531     UINT64_C(2040528913),	// SUBSUU_S_H
 2532     UINT64_C(2042626065),	// SUBSUU_S_W
 2533     UINT64_C(2013265937),	// SUBS_S_B
 2534     UINT64_C(2019557393),	// SUBS_S_D
 2535     UINT64_C(2015363089),	// SUBS_S_H
 2536     UINT64_C(2017460241),	// SUBS_S_W
 2537     UINT64_C(2021654545),	// SUBS_U_B
 2538     UINT64_C(2027946001),	// SUBS_U_D
 2539     UINT64_C(2023751697),	// SUBS_U_H
 2540     UINT64_C(2025848849),	// SUBS_U_W
 2541     UINT64_C(1025),	// SUBU16_MM
 2542     UINT64_C(1025),	// SUBU16_MMR6
 2543     UINT64_C(2080374872),	// SUBUH_QB
 2544     UINT64_C(845),	// SUBUH_QB_MMR2
 2545     UINT64_C(2080375000),	// SUBUH_R_QB
 2546     UINT64_C(1869),	// SUBUH_R_QB_MMR2
 2547     UINT64_C(464),	// SUBU_MMR6
 2548     UINT64_C(2080375376),	// SUBU_PH
 2549     UINT64_C(781),	// SUBU_PH_MMR2
 2550     UINT64_C(2080374864),	// SUBU_QB
 2551     UINT64_C(717),	// SUBU_QB_MM
 2552     UINT64_C(2080375632),	// SUBU_S_PH
 2553     UINT64_C(1805),	// SUBU_S_PH_MMR2
 2554     UINT64_C(2080375120),	// SUBU_S_QB
 2555     UINT64_C(1741),	// SUBU_S_QB_MM
 2556     UINT64_C(2021654534),	// SUBVI_B
 2557     UINT64_C(2027945990),	// SUBVI_D
 2558     UINT64_C(2023751686),	// SUBVI_H
 2559     UINT64_C(2025848838),	// SUBVI_W
 2560     UINT64_C(2021654542),	// SUBV_B
 2561     UINT64_C(2027945998),	// SUBV_D
 2562     UINT64_C(2023751694),	// SUBV_H
 2563     UINT64_C(2025848846),	// SUBV_W
 2564     UINT64_C(400),	// SUB_MM
 2565     UINT64_C(400),	// SUB_MMR6
 2566     UINT64_C(35),	// SUBu
 2567     UINT64_C(464),	// SUBu_MM
 2568     UINT64_C(1275068429),	// SUXC1
 2569     UINT64_C(1275068429),	// SUXC164
 2570     UINT64_C(1409286536),	// SUXC1_MM
 2571     UINT64_C(2885681152),	// SW
 2572     UINT64_C(59392),	// SW16_MM
 2573     UINT64_C(59392),	// SW16_MMR6
 2574     UINT64_C(2885681152),	// SW64
 2575     UINT64_C(3825205248),	// SWC1
 2576     UINT64_C(2550136832),	// SWC1_MM
 2577     UINT64_C(3892314112),	// SWC2
 2578     UINT64_C(536903680),	// SWC2_MMR6
 2579     UINT64_C(1231028224),	// SWC2_R6
 2580     UINT64_C(3959422976),	// SWC3
 2581     UINT64_C(2885681152),	// SWDSP
 2582     UINT64_C(4160749568),	// SWDSP_MM
 2583     UINT64_C(2080374815),	// SWE
 2584     UINT64_C(1610657280),	// SWE_MM
 2585     UINT64_C(2818572288),	// SWL
 2586     UINT64_C(2818572288),	// SWL64
 2587     UINT64_C(2080374817),	// SWLE
 2588     UINT64_C(1610653696),	// SWLE_MM
 2589     UINT64_C(1610645504),	// SWL_MM
 2590     UINT64_C(17728),	// SWM16_MM
 2591     UINT64_C(17418),	// SWM16_MMR6
 2592     UINT64_C(536924160),	// SWM32_MM
 2593     UINT64_C(536907776),	// SWP_MM
 2594     UINT64_C(3087007744),	// SWR
 2595     UINT64_C(3087007744),	// SWR64
 2596     UINT64_C(2080374818),	// SWRE
 2597     UINT64_C(1610654208),	// SWRE_MM
 2598     UINT64_C(1610649600),	// SWR_MM
 2599     UINT64_C(51200),	// SWSP_MM
 2600     UINT64_C(51200),	// SWSP_MMR6
 2601     UINT64_C(1275068424),	// SWXC1
 2602     UINT64_C(1409286280),	// SWXC1_MM
 2603     UINT64_C(4160749568),	// SW_MM
 2604     UINT64_C(4160749568),	// SW_MMR6
 2605     UINT64_C(15),	// SYNC
 2606     UINT64_C(69140480),	// SYNCI
 2607     UINT64_C(1107296256),	// SYNCI_MM
 2608     UINT64_C(1098907648),	// SYNCI_MMR6
 2609     UINT64_C(27516),	// SYNC_MM
 2610     UINT64_C(27516),	// SYNC_MMR6
 2611     UINT64_C(12),	// SYSCALL
 2612     UINT64_C(35708),	// SYSCALL_MM
 2613     UINT64_C(25728),	// Save16
 2614     UINT64_C(25728),	// SaveX16
 2615     UINT64_C(4026580992),	// SbRxRyOffMemX16
 2616     UINT64_C(59537),	// SebRx16
 2617     UINT64_C(59569),	// SehRx16
 2618     UINT64_C(4026583040),	// ShRxRyOffMemX16
 2619     UINT64_C(4026544128),	// SllX16
 2620     UINT64_C(59396),	// SllvRxRy16
 2621     UINT64_C(59394),	// SltRxRy16
 2622     UINT64_C(20480),	// SltiRxImm16
 2623     UINT64_C(4026552320),	// SltiRxImmX16
 2624     UINT64_C(22528),	// SltiuRxImm16
 2625     UINT64_C(4026554368),	// SltiuRxImmX16
 2626     UINT64_C(59395),	// SltuRxRy16
 2627     UINT64_C(4026544131),	// SraX16
 2628     UINT64_C(59399),	// SravRxRy16
 2629     UINT64_C(4026544130),	// SrlX16
 2630     UINT64_C(59398),	// SrlvRxRy16
 2631     UINT64_C(57347),	// SubuRxRyRz16
 2632     UINT64_C(4026587136),	// SwRxRyOffMemX16
 2633     UINT64_C(4026585088),	// SwRxSpImmX16
 2634     UINT64_C(52),	// TEQ
 2635     UINT64_C(67895296),	// TEQI
 2636     UINT64_C(1103101952),	// TEQI_MM
 2637     UINT64_C(60),	// TEQ_MM
 2638     UINT64_C(48),	// TGE
 2639     UINT64_C(67633152),	// TGEI
 2640     UINT64_C(67698688),	// TGEIU
 2641     UINT64_C(1096810496),	// TGEIU_MM
 2642     UINT64_C(1092616192),	// TGEI_MM
 2643     UINT64_C(49),	// TGEU
 2644     UINT64_C(1084),	// TGEU_MM
 2645     UINT64_C(572),	// TGE_MM
 2646     UINT64_C(1107296267),	// TLBGINV
 2647     UINT64_C(1107296268),	// TLBGINVF
 2648     UINT64_C(20860),	// TLBGINVF_MM
 2649     UINT64_C(16764),	// TLBGINV_MM
 2650     UINT64_C(1107296272),	// TLBGP
 2651     UINT64_C(380),	// TLBGP_MM
 2652     UINT64_C(1107296265),	// TLBGR
 2653     UINT64_C(4476),	// TLBGR_MM
 2654     UINT64_C(1107296266),	// TLBGWI
 2655     UINT64_C(8572),	// TLBGWI_MM
 2656     UINT64_C(1107296270),	// TLBGWR
 2657     UINT64_C(12668),	// TLBGWR_MM
 2658     UINT64_C(1107296259),	// TLBINV
 2659     UINT64_C(1107296260),	// TLBINVF
 2660     UINT64_C(21372),	// TLBINVF_MMR6
 2661     UINT64_C(17276),	// TLBINV_MMR6
 2662     UINT64_C(1107296264),	// TLBP
 2663     UINT64_C(892),	// TLBP_MM
 2664     UINT64_C(1107296257),	// TLBR
 2665     UINT64_C(4988),	// TLBR_MM
 2666     UINT64_C(1107296258),	// TLBWI
 2667     UINT64_C(9084),	// TLBWI_MM
 2668     UINT64_C(1107296262),	// TLBWR
 2669     UINT64_C(13180),	// TLBWR_MM
 2670     UINT64_C(50),	// TLT
 2671     UINT64_C(67764224),	// TLTI
 2672     UINT64_C(1094713344),	// TLTIU_MM
 2673     UINT64_C(1090519040),	// TLTI_MM
 2674     UINT64_C(51),	// TLTU
 2675     UINT64_C(2620),	// TLTU_MM
 2676     UINT64_C(2108),	// TLT_MM
 2677     UINT64_C(54),	// TNE
 2678     UINT64_C(68026368),	// TNEI
 2679     UINT64_C(1098907648),	// TNEI_MM
 2680     UINT64_C(3132),	// TNE_MM
 2681     UINT64_C(1176502281),	// TRUNC_L_D64
 2682     UINT64_C(1409311547),	// TRUNC_L_D_MMR6
 2683     UINT64_C(1174405129),	// TRUNC_L_S
 2684     UINT64_C(1409295163),	// TRUNC_L_S_MMR6
 2685     UINT64_C(1176502285),	// TRUNC_W_D32
 2686     UINT64_C(1176502285),	// TRUNC_W_D64
 2687     UINT64_C(1409313595),	// TRUNC_W_D_MMR6
 2688     UINT64_C(1409313595),	// TRUNC_W_MM
 2689     UINT64_C(1174405133),	// TRUNC_W_S
 2690     UINT64_C(1409297211),	// TRUNC_W_S_MM
 2691     UINT64_C(1409297211),	// TRUNC_W_S_MMR6
 2692     UINT64_C(67829760),	// TTLTIU
 2693     UINT64_C(27),	// UDIV
 2694     UINT64_C(47932),	// UDIV_MM
 2695     UINT64_C(1879048209),	// V3MULU
 2696     UINT64_C(1879048208),	// VMM0
 2697     UINT64_C(1879048207),	// VMULU
 2698     UINT64_C(2013265941),	// VSHF_B
 2699     UINT64_C(2019557397),	// VSHF_D
 2700     UINT64_C(2015363093),	// VSHF_H
 2701     UINT64_C(2017460245),	// VSHF_W
 2702     UINT64_C(1107296288),	// WAIT
 2703     UINT64_C(37756),	// WAIT_MM
 2704     UINT64_C(37756),	// WAIT_MMR6
 2705     UINT64_C(2080376056),	// WRDSP
 2706     UINT64_C(5756),	// WRDSP_MM
 2707     UINT64_C(61820),	// WRPGPR_MMR6
 2708     UINT64_C(2080374944),	// WSBH
 2709     UINT64_C(31548),	// WSBH_MM
 2710     UINT64_C(31548),	// WSBH_MMR6
 2711     UINT64_C(38),	// XOR
 2712     UINT64_C(17472),	// XOR16_MM
 2713     UINT64_C(17416),	// XOR16_MMR6
 2714     UINT64_C(38),	// XOR64
 2715     UINT64_C(2063597568),	// XORI_B
 2716     UINT64_C(1879048192),	// XORI_MMR6
 2717     UINT64_C(784),	// XOR_MM
 2718     UINT64_C(784),	// XOR_MMR6
 2719     UINT64_C(2019557406),	// XOR_V
 2720     UINT64_C(939524096),	// XORi
 2721     UINT64_C(939524096),	// XORi64
 2722     UINT64_C(1879048192),	// XORi_MM
 2723     UINT64_C(59406),	// XorRxRxRy16
 2724     UINT64_C(2080374793),	// YIELD
 2725     UINT64_C(0)
 2787       op &= UINT64_C(3);
 2792       op &= UINT64_C(31);
 2827       op &= UINT64_C(3);
 2832       op &= UINT64_C(31);
 2837       op &= UINT64_C(31);
 2845       op &= UINT64_C(3);
 2850       op &= UINT64_C(63);
 2861       Value |= (op & UINT64_C(2031616)) << 5;
 2862       Value |= (op & UINT64_C(511)) << 7;
 2865       op &= UINT64_C(31);
 2873       Value |= (op & UINT64_C(2031616)) << 5;
 2874       Value |= (op & UINT64_C(65535));
 2881       Value |= (op & UINT64_C(2031616)) << 5;
 2882       Value |= (op & UINT64_C(65535));
 2885       op &= UINT64_C(31);
 2894       Value |= (op & UINT64_C(1023)) << 16;
 2895       Value |= (op & UINT64_C(2031616)) >> 5;
 2898       op &= UINT64_C(31);
 2918       Value |= (op & UINT64_C(2031616)) << 5;
 2919       Value |= (op & UINT64_C(511)) << 7;
 2922       op &= UINT64_C(31);
 2930       Value |= (op & UINT64_C(2031616)) << 5;
 2931       Value |= (op & UINT64_C(511)) << 7;
 2934       op &= UINT64_C(31);
 2943       Value |= (op & UINT64_C(1023)) << 16;
 2944       Value |= (op & UINT64_C(2031616)) >> 5;
 2947       op &= UINT64_C(31);
 2956       Value |= (op & UINT64_C(1023)) << 16;
 2957       Value |= (op & UINT64_C(2031616)) >> 5;
 2960       op &= UINT64_C(31);
 2969       Value |= (op & UINT64_C(1023)) << 16;
 2970       Value |= (op & UINT64_C(2031616)) >> 5;
 2973       op &= UINT64_C(31);
 2984       Value |= (op & UINT64_C(2031616));
 2985       Value |= (op & UINT64_C(4095));
 2988       op &= UINT64_C(31);
 2997       op &= UINT64_C(2097151);
 3005       op &= UINT64_C(2097151);
 3009       op &= UINT64_C(31);
 3018       Value |= (op & UINT64_C(2031616));
 3019       Value |= (op & UINT64_C(511));
 3022       op &= UINT64_C(31);
 3030       op &= UINT64_C(1023);
 3043       op &= UINT64_C(1023);
 3053       op &= UINT64_C(1048575);
 3062       op &= UINT64_C(15);
 3070       op &= UINT64_C(15);
 3078       op &= UINT64_C(65535);
 3085       op &= UINT64_C(65535);
 3095       op &= UINT64_C(1023);
 3100       op &= UINT64_C(1023);
 3109       op &= UINT64_C(31);
 3114       op &= UINT64_C(65535);
 3121       op &= UINT64_C(7);
 3126       op &= UINT64_C(7);
 3131       Value |= (op & UINT64_C(4)) << 1;
 3132       Value |= (op & UINT64_C(3));
 3138       op &= UINT64_C(7);
 3143       op &= UINT64_C(7);
 3148       op &= UINT64_C(7);
 3159       op &= UINT64_C(7);
 3164       op &= UINT64_C(65535);
 3172       op &= UINT64_C(7);
 3177       op &= UINT64_C(65535);
 3185       op &= UINT64_C(31);
 3190       op &= UINT64_C(31);
 3195       op &= UINT64_C(31);
 3206       op &= UINT64_C(31);
 3211       op &= UINT64_C(31);
 3216       op &= UINT64_C(31);
 3261       op &= UINT64_C(31);
 3266       op &= UINT64_C(31);
 3277       op &= UINT64_C(31);
 3282       op &= UINT64_C(31);
 3287       op &= UINT64_C(7);
 3299       op &= UINT64_C(31);
 3304       op &= UINT64_C(31);
 3309       op &= UINT64_C(31);
 3328       op &= UINT64_C(31);
 3333       op &= UINT64_C(31);
 3338       op &= UINT64_C(31);
 3343       op &= UINT64_C(31);
 3404       op &= UINT64_C(31);
 3409       op &= UINT64_C(31);
 3422       op &= UINT64_C(31);
 3427       op &= UINT64_C(31);
 3432       op &= UINT64_C(7);
 3486       op &= UINT64_C(31);
 3491       op &= UINT64_C(31);
 3496       op &= UINT64_C(31);
 3513       op &= UINT64_C(31);
 3518       op &= UINT64_C(31);
 3523       op &= UINT64_C(31);
 3532       op &= UINT64_C(31);
 3537       op &= UINT64_C(31);
 3542       op &= UINT64_C(31);
 3554       op &= UINT64_C(31);
 3559       op &= UINT64_C(31);
 3564       op &= UINT64_C(31);
 3574       op &= UINT64_C(31);
 3579       op &= UINT64_C(31);
 3584       op &= UINT64_C(15);
 3592       op &= UINT64_C(31);
 3597       op &= UINT64_C(31);
 3602       op &= UINT64_C(15);
 3613       op &= UINT64_C(31);
 3618       op &= UINT64_C(31);
 3673       op &= UINT64_C(31);
 3678       op &= UINT64_C(31);
 3683       op &= UINT64_C(7);
 3738       op &= UINT64_C(31);
 3743       op &= UINT64_C(31);
 3748       op &= UINT64_C(7);
 3759       op &= UINT64_C(31);
 3764       op &= UINT64_C(31);
 3773       op &= UINT64_C(31);
 3778       op &= UINT64_C(65535);
 3786       op &= UINT64_C(31);
 3791       op &= UINT64_C(2097151);
 3822       op &= UINT64_C(31);
 3827       op &= UINT64_C(31);
 3838       op &= UINT64_C(31);
 3843       op &= UINT64_C(31);
 3848       op &= UINT64_C(31);
 3867       op &= UINT64_C(31);
 3872       op &= UINT64_C(31);
 3877       op &= UINT64_C(31);
 3940       op &= UINT64_C(31);
 3945       op &= UINT64_C(31);
 3950       op &= UINT64_C(31);
 3963       op &= UINT64_C(31);
 3968       op &= UINT64_C(31);
 3973       op &= UINT64_C(31);
 3986       op &= UINT64_C(31);
 3991       op &= UINT64_C(31);
 3996       op &= UINT64_C(31);
 4011       op &= UINT64_C(31);
 4016       op &= UINT64_C(31);
 4021       op &= UINT64_C(31);
 4026       op &= UINT64_C(31);
 4077       op &= UINT64_C(31);
 4082       op &= UINT64_C(31);
 4087       op &= UINT64_C(31);
 4095       op &= UINT64_C(511);
 4103       op &= UINT64_C(31);
 4110       op &= UINT64_C(31);
 4118       op &= UINT64_C(2047);
 4125       Value |= (op & UINT64_C(2032)) << 16;
 4126       Value |= (op & UINT64_C(30720)) << 5;
 4127       Value |= (op & UINT64_C(15));
 4130       op &= UINT64_C(7);
 4135       op &= UINT64_C(7);
 4143       Value |= (op & UINT64_C(2016)) << 16;
 4144       Value |= (op & UINT64_C(63488)) << 5;
 4145       Value |= (op & UINT64_C(31));
 4153       Value |= (op & UINT64_C(2016)) << 16;
 4154       Value |= (op & UINT64_C(63488)) << 5;
 4155       Value |= (op & UINT64_C(31));
 4171       Value |= (op & UINT64_C(2016)) << 16;
 4172       Value |= (op & UINT64_C(63488)) << 5;
 4173       Value |= (op & UINT64_C(31));
 4176       op &= UINT64_C(7);
 4193       Value |= (op & UINT64_C(2016)) << 16;
 4194       Value |= (op & UINT64_C(63488)) << 5;
 4195       Value |= (op & UINT64_C(31));
 4198       op &= UINT64_C(7);
 4203       op &= UINT64_C(7);
 4212       Value |= (op & UINT64_C(2031616)) << 5;
 4213       Value |= (op & UINT64_C(65011712)) >> 5;
 4214       Value |= (op & UINT64_C(65535));
 4222       op &= UINT64_C(255);
 4229       op &= UINT64_C(31);
 4234       op &= UINT64_C(31);
 4239       op &= UINT64_C(31);
 4249       op &= UINT64_C(31);
 4254       op &= UINT64_C(31);
 4259       op &= UINT64_C(31);
 4267       op &= UINT64_C(1);
 4272       op &= UINT64_C(31);
 4277       op &= UINT64_C(31);
 4285       op &= UINT64_C(1);
 4290       op &= UINT64_C(31);
 4295       op &= UINT64_C(31);
 4303       op &= UINT64_C(1);
 4308       op &= UINT64_C(31);
 4313       op &= UINT64_C(31);
 4322       op &= UINT64_C(15);
 4327       op &= UINT64_C(31);
 4332       op &= UINT64_C(31);
 4340       op &= UINT64_C(15);
 4345       op &= UINT64_C(31);
 4350       op &= UINT64_C(31);
 4358       op &= UINT64_C(15);
 4363       op &= UINT64_C(31);
 4368       op &= UINT64_C(31);
 4377       op &= UINT64_C(3);
 4382       op &= UINT64_C(31);
 4387       op &= UINT64_C(31);
 4395       op &= UINT64_C(3);
 4400       op &= UINT64_C(31);
 4405       op &= UINT64_C(31);
 4413       op &= UINT64_C(3);
 4418       op &= UINT64_C(31);
 4423       op &= UINT64_C(31);
 4432       op &= UINT64_C(7);
 4437       op &= UINT64_C(31);
 4442       op &= UINT64_C(31);
 4450       op &= UINT64_C(7);
 4455       op &= UINT64_C(31);
 4460       op &= UINT64_C(31);
 4468       op &= UINT64_C(7);
 4473       op &= UINT64_C(31);
 4478       op &= UINT64_C(31);
 4486       op &= UINT64_C(1);
 4491       op &= UINT64_C(31);
 4496       op &= UINT64_C(31);
 4504       op &= UINT64_C(1);
 4509       op &= UINT64_C(31);
 4514       op &= UINT64_C(31);
 4522       op &= UINT64_C(15);
 4527       op &= UINT64_C(31);
 4532       op &= UINT64_C(31);
 4540       op &= UINT64_C(15);
 4545       op &= UINT64_C(31);
 4550       op &= UINT64_C(31);
 4558       op &= UINT64_C(3);
 4563       op &= UINT64_C(31);
 4568       op &= UINT64_C(31);
 4576       op &= UINT64_C(3);
 4581       op &= UINT64_C(31);
 4586       op &= UINT64_C(31);
 4594       op &= UINT64_C(7);
 4599       op &= UINT64_C(31);
 4604       op &= UINT64_C(31);
 4612       op &= UINT64_C(7);
 4617       op &= UINT64_C(31);
 4622       op &= UINT64_C(31);
 4631       op &= UINT64_C(67108863);
 4639       op &= UINT64_C(67108863);
 4647       op &= UINT64_C(65535);
 4663       op &= UINT64_C(65535);
 4667       op &= UINT64_C(31);
 4675       op &= UINT64_C(65535);
 4682       op &= UINT64_C(65535);
 4690       op &= UINT64_C(1023);
 4697       Value |= (op & UINT64_C(7)) << 5;
 4698       Value |= (op & UINT64_C(24));
 4701       op &= UINT64_C(7);
 4711       Value |= (op & UINT64_C(31)) << 16;
 4712       Value |= (op & UINT64_C(31)) << 11;
 4715       op &= UINT64_C(31);
 4724       op &= UINT64_C(31);
 4734       op &= UINT64_C(31);
 4743       op &= UINT64_C(31);
 4748       op &= UINT64_C(3);
 4756       op &= UINT64_C(31);
 4761       op &= UINT64_C(31);
 4766       op &= UINT64_C(31);
 4776       op &= UINT64_C(31);
 4781       op &= UINT64_C(31);
 4786       op &= UINT64_C(31);
 4796       op &= UINT64_C(31);
 4801       op &= UINT64_C(1023);
 4809       op &= UINT64_C(31);
 4814       op &= UINT64_C(1023);
 4873       op &= UINT64_C(31);
 4878       op &= UINT64_C(31);
 4883       op &= UINT64_C(31);
 4891       op &= UINT64_C(31);
 4896       op &= UINT64_C(31);
 4901       op &= UINT64_C(31);
 4906       op &= UINT64_C(3);
 4924       op &= UINT64_C(31);
 4929       op &= UINT64_C(31);
 4940       op &= UINT64_C(31);
 4945       op &= UINT64_C(31);
 4950       op &= UINT64_C(7);
 5052       op &= UINT64_C(31);
 5057       op &= UINT64_C(31);
 5062       op &= UINT64_C(31);
 5070       op &= UINT64_C(31);
 5075       op &= UINT64_C(31);
 5080       op &= UINT64_C(31);
 5085       op &= UINT64_C(3);
 5093       op &= UINT64_C(31);
 5098       op &= UINT64_C(31);
 5103       op &= UINT64_C(31);
 5108       op &= UINT64_C(3);
 5116       op &= UINT64_C(31);
 5121       op &= UINT64_C(31);
 5126       op &= UINT64_C(31);
 5131       op &= UINT64_C(7);
 5140       op &= UINT64_C(31);
 5145       op &= UINT64_C(31);
 5150       op &= UINT64_C(31);
 5155       op &= UINT64_C(3);
 5173       op &= UINT64_C(31);
 5178       op &= UINT64_C(31);
 5183       op &= UINT64_C(31);
 5218       op &= UINT64_C(31);
 5223       op &= UINT64_C(31);
 5238       op &= UINT64_C(31);
 5243       op &= UINT64_C(31);
 5248       op &= UINT64_C(31);
 5277       op &= UINT64_C(31);
 5282       op &= UINT64_C(31);
 5287       op &= UINT64_C(31);
 5306       op &= UINT64_C(31);
 5311       op &= UINT64_C(31);
 5316       op &= UINT64_C(31);
 5327       op &= UINT64_C(31);
 5332       op &= UINT64_C(31);
 5337       op &= UINT64_C(31);
 5360       op &= UINT64_C(31);
 5365       op &= UINT64_C(31);
 5370       op &= UINT64_C(31);
 5379       op &= UINT64_C(31);
 5387       op &= UINT64_C(31);
 5392       op &= UINT64_C(31);
 5401       op &= UINT64_C(31);
 5406       op &= UINT64_C(31);
 5415       op &= UINT64_C(31);
 5420       op &= UINT64_C(31);
 5425       op &= UINT64_C(7);
 5435       op &= UINT64_C(31);
 5440       op &= UINT64_C(31);
 5452       op &= UINT64_C(31);
 5457       op &= UINT64_C(31);
 5462       op &= UINT64_C(31);
 5470       op &= UINT64_C(31);
 5475       op &= UINT64_C(31);
 5484       op &= UINT64_C(7);
 5489       op &= UINT64_C(127);
 5496       op &= UINT64_C(7);
 5501       op &= UINT64_C(63);
 5509       op &= UINT64_C(7);
 5514       op &= UINT64_C(7);
 5519       op &= UINT64_C(7);
 5528       op &= UINT64_C(7);
 5533       op &= UINT64_C(7);
 5538       op &= UINT64_C(15);
 5548       op &= UINT64_C(7);
 5553       op &= UINT64_C(7);
 5558       op &= UINT64_C(7);
 5567       op &= UINT64_C(7);
 5572       op &= UINT64_C(7);
 5577       op &= UINT64_C(7);
 5585       op &= UINT64_C(31);
 5590       op &= UINT64_C(15);
 5601       op &= UINT64_C(31);
 5612       op &= UINT64_C(31);
 5621       op &= UINT64_C(31);
 5626       op &= UINT64_C(3);
 5639       op &= UINT64_C(31);
 5644       op &= UINT64_C(65535);
 5660       op &= UINT64_C(31);
 5665       op &= UINT64_C(65535);
 5679       op &= UINT64_C(31);
 5684       op &= UINT64_C(31);
 5697       op &= UINT64_C(31);
 5702       op &= UINT64_C(31);
 5707       op &= UINT64_C(15);
 5716       op &= UINT64_C(31);
 5721       op &= UINT64_C(31);
 5726       op &= UINT64_C(65535);
 5733       op &= UINT64_C(31);
 5738       op &= UINT64_C(3);
 5746       op &= UINT64_C(31);
 5751       op &= UINT64_C(3);
 5774       op &= UINT64_C(31);
 5783       op &= UINT64_C(31);
 5788       op &= UINT64_C(65535);
 5796       op &= UINT64_C(31);
 5801       op &= UINT64_C(65535);
 5808       op &= UINT64_C(31);
 5813       op &= UINT64_C(262143);
 5822       op &= UINT64_C(31);
 5827       op &= UINT64_C(524287);
 5839       op &= UINT64_C(31);
 5844       op &= UINT64_C(65535);
 5851       op &= UINT64_C(31);
 5856       op &= UINT64_C(1023);
 5867       op &= UINT64_C(31);
 5872       op &= UINT64_C(2097151);
 5880       op &= UINT64_C(31);
 5885       op &= UINT64_C(2097151);
 5907       op &= UINT64_C(31);
 5912       op &= UINT64_C(65535);
 5922       op &= UINT64_C(31);
 5927       op &= UINT64_C(31);
 5932       op &= UINT64_C(65535);
 5956       op &= UINT64_C(31);
 5961       op &= UINT64_C(31);
 5974       op &= UINT64_C(31);
 5979       op &= UINT64_C(31);
 5984       op &= UINT64_C(1023);
 6011       op &= UINT64_C(31);
 6016       op &= UINT64_C(31);
 6021       op &= UINT64_C(65535);
 6028       op &= UINT64_C(31);
 6033       op &= UINT64_C(31);
 6038       op &= UINT64_C(31);
 6046       op &= UINT64_C(31);
 6051       op &= UINT64_C(3);
 6059       op &= UINT64_C(31);
 6064       op &= UINT64_C(3);
 6073       op &= UINT64_C(31);
 6081       op &= UINT64_C(7);
 6086       op &= UINT64_C(8388607);
 6096       op &= UINT64_C(7);
 6101       op &= UINT64_C(127);
 6109       op &= UINT64_C(31);
 6113       op &= UINT64_C(31);
 6121       op &= UINT64_C(31);
 6126       op &= UINT64_C(31);
 6137       op &= UINT64_C(31);
 6142       op &= UINT64_C(31);
 6153       op &= UINT64_C(31);
 6158       op &= UINT64_C(3);
 6167       op &= UINT64_C(31);
 6172       op &= UINT64_C(31);
 6180       op &= UINT64_C(31);
 6185       op &= UINT64_C(31);
 6193       op &= UINT64_C(31);
 6198       op &= UINT64_C(31);
 6203       op &= UINT64_C(65535);
 6214       op &= UINT64_C(31);
 6219       op &= UINT64_C(31);
 6224       op &= UINT64_C(65535);
 6232       op &= UINT64_C(31);
 6237       op &= UINT64_C(3);
 6245       op &= UINT64_C(31);
 6250       op &= UINT64_C(31);
 6258       op &= UINT64_C(31);
 6263       op &= UINT64_C(31);
 6272       op &= UINT64_C(31);
 6277       op &= UINT64_C(31);
 6282       op &= UINT64_C(65535);
 6290       op &= UINT64_C(31);
 6295       op &= UINT64_C(31);
 6300       op &= UINT64_C(1023);
 6317       op &= UINT64_C(31);
 6322       op &= UINT64_C(31);
 6327       op &= UINT64_C(65535);
 6335       op &= UINT64_C(31);
 6340       op &= UINT64_C(31);
 6345       op &= UINT64_C(31);
 6360       op &= UINT64_C(31);
 6365       op &= UINT64_C(31);
 6386       op &= UINT64_C(31);
 6391       op &= UINT64_C(31);
 6396       op &= UINT64_C(31);
 6405       op &= UINT64_C(31);
 6410       op &= UINT64_C(31);
 6415       op &= UINT64_C(31);
 6420       op &= UINT64_C(3);
 6429       op &= UINT64_C(7);
 6434       op &= UINT64_C(7);
 6439       op &= UINT64_C(7);
 6452       Value |= (op & UINT64_C(31)) << 21;
 6453       Value |= (op & UINT64_C(31)) << 16;
 6456       op &= UINT64_C(65535);
 6464       Value |= (op & UINT64_C(31)) << 21;
 6465       Value |= (op & UINT64_C(31)) << 16;
 6468       op &= UINT64_C(65535);
 6476       Value |= (op & UINT64_C(31)) << 21;
 6477       Value |= (op & UINT64_C(31)) << 16;
 6480       op &= UINT64_C(65535);
 6498       op &= UINT64_C(31);
 6517       op &= UINT64_C(31);
 6522       op &= UINT64_C(3);
 6527       op &= UINT64_C(31);
 6537       op &= UINT64_C(31);
 6542       Value |= (op & UINT64_C(2031616)) << 5;
 6543       Value |= (op & UINT64_C(511)) << 7;
 6600       op &= UINT64_C(31);
 6605       Value |= (op & UINT64_C(2031616)) << 5;
 6606       Value |= (op & UINT64_C(65535));
 6615       op &= UINT64_C(31);
 6620       Value |= (op & UINT64_C(2031616)) >> 5;
 6621       Value |= (op & UINT64_C(2047));
 6632       op &= UINT64_C(31);
 6637       op &= UINT64_C(31);
 6649       op &= UINT64_C(31);
 6654       op &= UINT64_C(65535);
 6668       op &= UINT64_C(31);
 6673       op &= UINT64_C(65535);
 6683       op &= UINT64_C(31);
 6688       op &= UINT64_C(65535);
 6700       op &= UINT64_C(31);
 6705       op &= UINT64_C(65535);
 6718       op &= UINT64_C(31);
 6723       op &= UINT64_C(31);
 6728       op &= UINT64_C(7);
 6736       op &= UINT64_C(31);
 6741       op &= UINT64_C(31);
 6746       op &= UINT64_C(7);
 6757       op &= UINT64_C(31);
 6762       op &= UINT64_C(31);
 6767       op &= UINT64_C(65535);
 6779       op &= UINT64_C(31);
 6784       op &= UINT64_C(31);
 6789       op &= UINT64_C(31);
 6794       op &= UINT64_C(31);
 6805       op &= UINT64_C(31);
 6810       op &= UINT64_C(31);
 6815       op &= UINT64_C(31);
 6820       op &= UINT64_C(31);
 6832       op &= UINT64_C(31);
 6837       op &= UINT64_C(31);
 6842       op &= UINT64_C(31);
 6847       op &= UINT64_C(31);
 6857       op &= UINT64_C(31);
 6862       op &= UINT64_C(31);
 6867       op &= UINT64_C(31);
 6875       op &= UINT64_C(31);
 6880       op &= UINT64_C(31);
 6888       op &= UINT64_C(31);
 6893       Value |= (op & UINT64_C(2031616));
 6894       Value |= (op & UINT64_C(4095));
 6908       op &= UINT64_C(31);
 6913       Value |= (op & UINT64_C(2031616));
 6914       Value |= (op & UINT64_C(511));
 6932       op &= UINT64_C(31);
 6937       op &= UINT64_C(2097151);
 6945       op &= UINT64_C(31);
 6950       Value |= (op & UINT64_C(2031616));
 6951       Value |= (op & UINT64_C(4095));
 6960       op &= UINT64_C(31);
 6965       Value |= (op & UINT64_C(2031616));
 6966       Value |= (op & UINT64_C(2047));
 6976       op &= UINT64_C(31);
 6981       Value |= (op & UINT64_C(2031616));
 6982       Value |= (op & UINT64_C(4095));
 6993       op &= UINT64_C(31);
 6998       op &= UINT64_C(2097151);
 7009       op &= UINT64_C(31);
 7014       Value |= (op & UINT64_C(2031616));
 7015       Value |= (op & UINT64_C(511));
 7025       op &= UINT64_C(31);
 7030       op &= UINT64_C(31);
 7038       op &= UINT64_C(31);
 7043       op &= UINT64_C(255);
 7052       op &= UINT64_C(31);
 7057       op &= UINT64_C(65535);
 7069       op &= UINT64_C(31);
 7074       op &= UINT64_C(31);
 7079       op &= UINT64_C(3);
 7088       op &= UINT64_C(31);
 7093       op &= UINT64_C(524287);
 7100       op &= UINT64_C(31);
 7105       op &= UINT64_C(65535);
 7114       op &= UINT64_C(31);
 7119       op &= UINT64_C(31);
 7128       op &= UINT64_C(31);
 7133       op &= UINT64_C(127);
 7142       op &= UINT64_C(31);
 7147       op &= UINT64_C(65535);
 7157       op &= UINT64_C(31);
 7162       op &= UINT64_C(65535);
 7170       op &= UINT64_C(31);
 7175       op &= UINT64_C(31);
 7203       op &= UINT64_C(31);
 7208       op &= UINT64_C(31);
 7216       op &= UINT64_C(31);
 7221       op &= UINT64_C(31);
 7226       op &= UINT64_C(3);
 7239       op &= UINT64_C(31);
 7244       op &= UINT64_C(31);
 7249       op &= UINT64_C(65535);
 7257       op &= UINT64_C(31);
 7262       op &= UINT64_C(31);
 7267       op &= UINT64_C(65535);
 7274       op &= UINT64_C(31);
 7279       op &= UINT64_C(31);
 7284       op &= UINT64_C(31);
 7289       op &= UINT64_C(31);
 7297       op &= UINT64_C(31);
 7302       op &= UINT64_C(31);
 7307       op &= UINT64_C(31);
 7312       op &= UINT64_C(31);
 7324       op &= UINT64_C(31);
 7329       op &= UINT64_C(31);
 7334       op &= UINT64_C(15);
 7347       op &= UINT64_C(31);
 7352       op &= UINT64_C(31);
 7357       op &= UINT64_C(31);
 7368       op &= UINT64_C(31);
 7373       op &= UINT64_C(31);
 7378       op &= UINT64_C(7);
 7390       op &= UINT64_C(31);
 7395       op &= UINT64_C(31);
 7400       op &= UINT64_C(7);
 7408       op &= UINT64_C(31);
 7413       op &= UINT64_C(31);
 7418       op &= UINT64_C(31);
 7423       op &= UINT64_C(31);
 7431       op &= UINT64_C(31);
 7436       op &= UINT64_C(31);
 7441       op &= UINT64_C(31);
 7446       op &= UINT64_C(31);
 7454       op &= UINT64_C(31);
 7459       op &= UINT64_C(31);
 7472       op &= UINT64_C(31);
 7477       op &= UINT64_C(31);
 7482       op &= UINT64_C(3);
 7492       op &= UINT64_C(31);
 7497       op &= UINT64_C(31);
 7504       op &= UINT64_C(7);
 7509       op &= UINT64_C(7);
 7518       op &= UINT64_C(7);
 7523       op &= UINT64_C(127);
 7532       op &= UINT64_C(7);
 7537       op &= UINT64_C(127);
 7546       op &= UINT64_C(7);
 7551       op &= UINT64_C(127);
 7558       op &= UINT64_C(7);
 7563       op &= UINT64_C(127);
 7570       op &= UINT64_C(7);
 7575       op &= UINT64_C(7);
 7585       op &= UINT64_C(31);
 7590       Value |= (op & UINT64_C(2031616)) << 5;
 7591       Value |= (op & UINT64_C(511)) << 7;
 7599       op &= UINT64_C(31);
 7604       Value |= (op & UINT64_C(2031616)) << 5;
 7605       Value |= (op & UINT64_C(65535));
 7614       op &= UINT64_C(31);
 7619       op &= UINT64_C(31);
 7633       op &= UINT64_C(31);
 7638       op &= UINT64_C(31);
 7643       op &= UINT64_C(7);
 7651       op &= UINT64_C(31);
 7656       op &= UINT64_C(31);
 7661       op &= UINT64_C(1);
 7666       op &= UINT64_C(1);
 7671       op &= UINT64_C(7);
 7678       op &= UINT64_C(31);
 7683       Value |= (op & UINT64_C(2031616));
 7684       Value |= (op & UINT64_C(511));
 7690       op &= UINT64_C(31);
 7695       Value |= (op & UINT64_C(2031616));
 7696       Value |= (op & UINT64_C(4095));
 7702       op &= UINT64_C(31);
 7707       Value |= (op & UINT64_C(2031616));
 7708       Value |= (op & UINT64_C(511));
 7717       op &= UINT64_C(31);
 7722       op &= UINT64_C(31);
 7732       op &= UINT64_C(31);
 7737       op &= UINT64_C(31);
 7750       op &= UINT64_C(31);
 7755       op &= UINT64_C(31);
 7768       op &= UINT64_C(31);
 7773       op &= UINT64_C(31);
 7778       op &= UINT64_C(65535);
 7788       op &= UINT64_C(31);
 7793       op &= UINT64_C(31);
 7798       op &= UINT64_C(7);
 7807       op &= UINT64_C(31);
 7812       op &= UINT64_C(31);
 7823       op &= UINT64_C(31);
 7828       op &= UINT64_C(31);
 7833       op &= UINT64_C(31);
 7842       op &= UINT64_C(31);
 7847       op &= UINT64_C(31);
 7882       op &= UINT64_C(31);
 7887       op &= UINT64_C(31);
 7892       op &= UINT64_C(3);
 7915       op &= UINT64_C(31);
 7920       op &= UINT64_C(31);
 7925       op &= UINT64_C(31);
 7935       op &= UINT64_C(7);
 7940       op &= UINT64_C(7);
 7949       op &= UINT64_C(7);
 7954       op &= UINT64_C(7);
 7965       op &= UINT64_C(31);
 7970       op &= UINT64_C(31);
 7975       op &= UINT64_C(31);
 7984       op &= UINT64_C(31);
 7989       Value |= (op & UINT64_C(2031616));
 7990       Value |= (op & UINT64_C(4095));
 7997       op &= UINT64_C(3);
 8002       op &= UINT64_C(15);
 8010       op &= UINT64_C(3);
 8015       op &= UINT64_C(15);
 8026       op &= UINT64_C(7);
 8041       op &= UINT64_C(7);
 8046       op &= UINT64_C(255);
 8054       op &= UINT64_C(7);
 8059       op &= UINT64_C(7);
 8073       op &= UINT64_C(7);
 8078       op &= UINT64_C(7);
 8091       op &= UINT64_C(7);
 8096       op &= UINT64_C(7);
 8105       op &= UINT64_C(7);
 8110       op &= UINT64_C(7);
 8115       op &= UINT64_C(7);
 8123       op &= UINT64_C(15);
 8128       op &= UINT64_C(15);
 8138       op &= UINT64_C(1023);
 8143       op &= UINT64_C(31);
 8153       Value |= (op & UINT64_C(31)) << 22;
 8154       Value |= (op & UINT64_C(32)) << 16;
 8157       op &= UINT64_C(7);
 8162       op &= UINT64_C(7);
 8170       op &= UINT64_C(63);
 8175       op &= UINT64_C(3);
 8184       op &= UINT64_C(31);
 8192       op &= UINT64_C(31);
 8203       op &= UINT64_C(67108863);
 8212       op &= UINT64_C(67108863);
 8225       op &= UINT64_C(255);
 8230       op &= UINT64_C(31);
 8235       op &= UINT64_C(31);
 8245       op &= UINT64_C(255);
 8250       op &= UINT64_C(31);
 8255       op &= UINT64_C(31);
 8307       op &= UINT64_C(31);
 8312       op &= UINT64_C(31);
 8329       op &= UINT64_C(31);
 8334       op &= UINT64_C(31);
 8339       op &= UINT64_C(15);
 8356       op &= UINT64_C(31);
 8361       op &= UINT64_C(31);
 8366       op &= UINT64_C(31);
 8383       op &= UINT64_C(31);
 8388       op &= UINT64_C(31);
 8393       op &= UINT64_C(63);
 8410       op &= UINT64_C(31);
 8415       op &= UINT64_C(31);
 8420       op &= UINT64_C(7);
 8429       op &= UINT64_C(31);
 8434       op &= UINT64_C(31);
 8439       op &= UINT64_C(15);
 8448       op &= UINT64_C(31);
 8453       op &= UINT64_C(31);
 8458       op &= UINT64_C(31);
 8467       op &= UINT64_C(31);
 8472       op &= UINT64_C(31);
 8477       op &= UINT64_C(63);
 8486       op &= UINT64_C(31);
 8491       op &= UINT64_C(31);
 8496       op &= UINT64_C(7);
 8779       op &= UINT64_C(31);
 8784       op &= UINT64_C(31);
 8789       op &= UINT64_C(31);
 8843       op &= UINT64_C(31);
 8848       op &= UINT64_C(31);
 8853       op &= UINT64_C(31);
gen/lib/Target/PowerPC/PPCGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(0),
  261     UINT64_C(0),
  262     UINT64_C(0),
  263     UINT64_C(0),
  264     UINT64_C(2080375316),	// ADD4
  265     UINT64_C(2080375316),	// ADD4TLS
  266     UINT64_C(2080375317),	// ADD4o
  267     UINT64_C(2080375316),	// ADD8
  268     UINT64_C(2080375316),	// ADD8TLS
  269     UINT64_C(2080375316),	// ADD8TLS_
  270     UINT64_C(2080375317),	// ADD8o
  271     UINT64_C(2080374804),	// ADDC
  272     UINT64_C(2080374804),	// ADDC8
  273     UINT64_C(2080374805),	// ADDC8o
  274     UINT64_C(2080374805),	// ADDCo
  275     UINT64_C(2080375060),	// ADDE
  276     UINT64_C(2080375060),	// ADDE8
  277     UINT64_C(2080375061),	// ADDE8o
  278     UINT64_C(2080375061),	// ADDEo
  279     UINT64_C(939524096),	// ADDI
  280     UINT64_C(939524096),	// ADDI8
  281     UINT64_C(805306368),	// ADDIC
  282     UINT64_C(805306368),	// ADDIC8
  283     UINT64_C(872415232),	// ADDICo
  284     UINT64_C(1006632960),	// ADDIS
  285     UINT64_C(1006632960),	// ADDIS8
  286     UINT64_C(0),	// ADDISdtprelHA
  287     UINT64_C(0),	// ADDISdtprelHA32
  288     UINT64_C(0),	// ADDISgotTprelHA
  289     UINT64_C(0),	// ADDIStlsgdHA
  290     UINT64_C(0),	// ADDIStlsldHA
  291     UINT64_C(0),	// ADDIStocHA
  292     UINT64_C(0),	// ADDIStocHA8
  293     UINT64_C(0),	// ADDIdtprelL
  294     UINT64_C(0),	// ADDIdtprelL32
  295     UINT64_C(0),	// ADDItlsgdL
  296     UINT64_C(0),	// ADDItlsgdL32
  297     UINT64_C(0),	// ADDItlsgdLADDR
  298     UINT64_C(0),	// ADDItlsgdLADDR32
  299     UINT64_C(0),	// ADDItlsldL
  300     UINT64_C(0),	// ADDItlsldL32
  301     UINT64_C(0),	// ADDItlsldLADDR
  302     UINT64_C(0),	// ADDItlsldLADDR32
  303     UINT64_C(0),	// ADDItocL
  304     UINT64_C(2080375252),	// ADDME
  305     UINT64_C(2080375252),	// ADDME8
  306     UINT64_C(2080375253),	// ADDME8o
  307     UINT64_C(2080375253),	// ADDMEo
  308     UINT64_C(1275068420),	// ADDPCIS
  309     UINT64_C(2080375188),	// ADDZE
  310     UINT64_C(2080375188),	// ADDZE8
  311     UINT64_C(2080375189),	// ADDZE8o
  312     UINT64_C(2080375189),	// ADDZEo
  313     UINT64_C(0),	// ADJCALLSTACKDOWN
  314     UINT64_C(0),	// ADJCALLSTACKUP
  315     UINT64_C(2080374840),	// AND
  316     UINT64_C(2080374840),	// AND8
  317     UINT64_C(2080374841),	// AND8o
  318     UINT64_C(2080374904),	// ANDC
  319     UINT64_C(2080374904),	// ANDC8
  320     UINT64_C(2080374905),	// ANDC8o
  321     UINT64_C(2080374905),	// ANDCo
  322     UINT64_C(1946157056),	// ANDISo
  323     UINT64_C(1946157056),	// ANDISo8
  324     UINT64_C(1879048192),	// ANDIo
  325     UINT64_C(1879048192),	// ANDIo8
  326     UINT64_C(0),	// ANDIo_1_EQ_BIT
  327     UINT64_C(0),	// ANDIo_1_EQ_BIT8
  328     UINT64_C(0),	// ANDIo_1_GT_BIT
  329     UINT64_C(0),	// ANDIo_1_GT_BIT8
  330     UINT64_C(2080374841),	// ANDo
  331     UINT64_C(0),	// ATOMIC_CMP_SWAP_I16
  332     UINT64_C(0),	// ATOMIC_CMP_SWAP_I32
  333     UINT64_C(0),	// ATOMIC_CMP_SWAP_I64
  334     UINT64_C(0),	// ATOMIC_CMP_SWAP_I8
  335     UINT64_C(0),	// ATOMIC_LOAD_ADD_I16
  336     UINT64_C(0),	// ATOMIC_LOAD_ADD_I32
  337     UINT64_C(0),	// ATOMIC_LOAD_ADD_I64
  338     UINT64_C(0),	// ATOMIC_LOAD_ADD_I8
  339     UINT64_C(0),	// ATOMIC_LOAD_AND_I16
  340     UINT64_C(0),	// ATOMIC_LOAD_AND_I32
  341     UINT64_C(0),	// ATOMIC_LOAD_AND_I64
  342     UINT64_C(0),	// ATOMIC_LOAD_AND_I8
  343     UINT64_C(0),	// ATOMIC_LOAD_MAX_I16
  344     UINT64_C(0),	// ATOMIC_LOAD_MAX_I32
  345     UINT64_C(0),	// ATOMIC_LOAD_MAX_I64
  346     UINT64_C(0),	// ATOMIC_LOAD_MAX_I8
  347     UINT64_C(0),	// ATOMIC_LOAD_MIN_I16
  348     UINT64_C(0),	// ATOMIC_LOAD_MIN_I32
  349     UINT64_C(0),	// ATOMIC_LOAD_MIN_I64
  350     UINT64_C(0),	// ATOMIC_LOAD_MIN_I8
  351     UINT64_C(0),	// ATOMIC_LOAD_NAND_I16
  352     UINT64_C(0),	// ATOMIC_LOAD_NAND_I32
  353     UINT64_C(0),	// ATOMIC_LOAD_NAND_I64
  354     UINT64_C(0),	// ATOMIC_LOAD_NAND_I8
  355     UINT64_C(0),	// ATOMIC_LOAD_OR_I16
  356     UINT64_C(0),	// ATOMIC_LOAD_OR_I32
  357     UINT64_C(0),	// ATOMIC_LOAD_OR_I64
  358     UINT64_C(0),	// ATOMIC_LOAD_OR_I8
  359     UINT64_C(0),	// ATOMIC_LOAD_SUB_I16
  360     UINT64_C(0),	// ATOMIC_LOAD_SUB_I32
  361     UINT64_C(0),	// ATOMIC_LOAD_SUB_I64
  362     UINT64_C(0),	// ATOMIC_LOAD_SUB_I8
  363     UINT64_C(0),	// ATOMIC_LOAD_UMAX_I16
  364     UINT64_C(0),	// ATOMIC_LOAD_UMAX_I32
  365     UINT64_C(0),	// ATOMIC_LOAD_UMAX_I64
  366     UINT64_C(0),	// ATOMIC_LOAD_UMAX_I8
  367     UINT64_C(0),	// ATOMIC_LOAD_UMIN_I16
  368     UINT64_C(0),	// ATOMIC_LOAD_UMIN_I32
  369     UINT64_C(0),	// ATOMIC_LOAD_UMIN_I64
  370     UINT64_C(0),	// ATOMIC_LOAD_UMIN_I8
  371     UINT64_C(0),	// ATOMIC_LOAD_XOR_I16
  372     UINT64_C(0),	// ATOMIC_LOAD_XOR_I32
  373     UINT64_C(0),	// ATOMIC_LOAD_XOR_I64
  374     UINT64_C(0),	// ATOMIC_LOAD_XOR_I8
  375     UINT64_C(0),	// ATOMIC_SWAP_I16
  376     UINT64_C(0),	// ATOMIC_SWAP_I32
  377     UINT64_C(0),	// ATOMIC_SWAP_I64
  378     UINT64_C(0),	// ATOMIC_SWAP_I8
  379     UINT64_C(512),	// ATTN
  380     UINT64_C(1207959552),	// B
  381     UINT64_C(1207959554),	// BA
  382     UINT64_C(1098907648),	// BC
  383     UINT64_C(1073741824),	// BCC
  384     UINT64_C(1073741826),	// BCCA
  385     UINT64_C(1275069472),	// BCCCTR
  386     UINT64_C(1275069472),	// BCCCTR8
  387     UINT64_C(1275069473),	// BCCCTRL
  388     UINT64_C(1275069473),	// BCCCTRL8
  389     UINT64_C(1073741825),	// BCCL
  390     UINT64_C(1073741827),	// BCCLA
  391     UINT64_C(1275068448),	// BCCLR
  392     UINT64_C(1275068449),	// BCCLRL
  393     UINT64_C(1300235296),	// BCCTR
  394     UINT64_C(1300235296),	// BCCTR8
  395     UINT64_C(1283458080),	// BCCTR8n
  396     UINT64_C(1300235297),	// BCCTRL
  397     UINT64_C(1300235297),	// BCCTRL8
  398     UINT64_C(1283458081),	// BCCTRL8n
  399     UINT64_C(1283458081),	// BCCTRLn
  400     UINT64_C(1283458080),	// BCCTRn
  401     UINT64_C(268895617),	// BCDCFNo
  402     UINT64_C(268567937),	// BCDCFSQo
  403     UINT64_C(268830081),	// BCDCFZo
  404     UINT64_C(268436289),	// BCDCPSGNo
  405     UINT64_C(268764545),	// BCDCTNo
  406     UINT64_C(268436865),	// BCDCTSQo
  407     UINT64_C(268699009),	// BCDCTZo
  408     UINT64_C(270468481),	// BCDSETSGNo
  409     UINT64_C(268436929),	// BCDSRo
  410     UINT64_C(268436673),	// BCDSo
  411     UINT64_C(268436737),	// BCDTRUNCo
  412     UINT64_C(268436609),	// BCDUSo
  413     UINT64_C(268436801),	// BCDUTRUNCo
  414     UINT64_C(1098907649),	// BCL
  415     UINT64_C(1300234272),	// BCLR
  416     UINT64_C(1300234273),	// BCLRL
  417     UINT64_C(1283457057),	// BCLRLn
  418     UINT64_C(1283457056),	// BCLRn
  419     UINT64_C(1117716481),	// BCLalways
  420     UINT64_C(1082130433),	// BCLn
  421     UINT64_C(1317012512),	// BCTR
  422     UINT64_C(1317012512),	// BCTR8
  423     UINT64_C(1317012513),	// BCTRL
  424     UINT64_C(1317012513),	// BCTRL8
  425     UINT64_C(5656525675654283264),	// BCTRL8_LDinto_toc
  426     UINT64_C(1082130432),	// BCn
  427     UINT64_C(1107296256),	// BDNZ
  428     UINT64_C(1107296256),	// BDNZ8
  429     UINT64_C(1107296258),	// BDNZA
  430     UINT64_C(1124073474),	// BDNZAm
  431     UINT64_C(1126170626),	// BDNZAp
  432     UINT64_C(1107296257),	// BDNZL
  433     UINT64_C(1107296259),	// BDNZLA
  434     UINT64_C(1124073475),	// BDNZLAm
  435     UINT64_C(1126170627),	// BDNZLAp
  436     UINT64_C(1308622880),	// BDNZLR
  437     UINT64_C(1308622880),	// BDNZLR8
  438     UINT64_C(1308622881),	// BDNZLRL
  439     UINT64_C(1325400097),	// BDNZLRLm
  440     UINT64_C(1327497249),	// BDNZLRLp
  441     UINT64_C(1325400096),	// BDNZLRm
  442     UINT64_C(1327497248),	// BDNZLRp
  443     UINT64_C(1124073473),	// BDNZLm
  444     UINT64_C(1126170625),	// BDNZLp
  445     UINT64_C(1124073472),	// BDNZm
  446     UINT64_C(1126170624),	// BDNZp
  447     UINT64_C(1111490560),	// BDZ
  448     UINT64_C(1111490560),	// BDZ8
  449     UINT64_C(1111490562),	// BDZA
  450     UINT64_C(1128267778),	// BDZAm
  451     UINT64_C(1130364930),	// BDZAp
  452     UINT64_C(1111490561),	// BDZL
  453     UINT64_C(1111490563),	// BDZLA
  454     UINT64_C(1128267779),	// BDZLAm
  455     UINT64_C(1130364931),	// BDZLAp
  456     UINT64_C(1312817184),	// BDZLR
  457     UINT64_C(1312817184),	// BDZLR8
  458     UINT64_C(1312817185),	// BDZLRL
  459     UINT64_C(1329594401),	// BDZLRLm
  460     UINT64_C(1331691553),	// BDZLRLp
  461     UINT64_C(1329594400),	// BDZLRm
  462     UINT64_C(1331691552),	// BDZLRp
  463     UINT64_C(1128267777),	// BDZLm
  464     UINT64_C(1130364929),	// BDZLp
  465     UINT64_C(1128267776),	// BDZm
  466     UINT64_C(1130364928),	// BDZp
  467     UINT64_C(1207959553),	// BL
  468     UINT64_C(1207959553),	// BL8
  469     UINT64_C(5188146776636391424),	// BL8_NOP
  470     UINT64_C(5188146776636391424),	// BL8_NOP_TLS
  471     UINT64_C(1207959553),	// BL8_TLS
  472     UINT64_C(1207959553),	// BL8_TLS_
  473     UINT64_C(1207959555),	// BLA
  474     UINT64_C(1207959555),	// BLA8
  475     UINT64_C(5188146785226326016),	// BLA8_NOP
  476     UINT64_C(1317011488),	// BLR
  477     UINT64_C(1317011488),	// BLR8
  478     UINT64_C(1317011489),	// BLRL
  479     UINT64_C(5188146776636391424),	// BL_NOP
  480     UINT64_C(1207959553),	// BL_TLS
  481     UINT64_C(2080375288),	// BPERMD
  482     UINT64_C(268435983),	// BRINC
  483     UINT64_C(2080375644),	// CLRBHRB
  484     UINT64_C(2080375800),	// CMPB
  485     UINT64_C(2080375800),	// CMPB8
  486     UINT64_C(2082471936),	// CMPD
  487     UINT64_C(740294656),	// CMPDI
  488     UINT64_C(2080375232),	// CMPEQB
  489     UINT64_C(2082472000),	// CMPLD
  490     UINT64_C(673185792),	// CMPLDI
  491     UINT64_C(2080374848),	// CMPLW
  492     UINT64_C(671088640),	// CMPLWI
  493     UINT64_C(2080375168),	// CMPRB
  494     UINT64_C(2080375168),	// CMPRB8
  495     UINT64_C(2080374784),	// CMPW
  496     UINT64_C(738197504),	// CMPWI
  497     UINT64_C(2080374900),	// CNTLZD
  498     UINT64_C(2080374901),	// CNTLZDo
  499     UINT64_C(2080374836),	// CNTLZW
  500     UINT64_C(2080374836),	// CNTLZW8
  501     UINT64_C(2080374837),	// CNTLZW8o
  502     UINT64_C(2080374837),	// CNTLZWo
  503     UINT64_C(2080375924),	// CNTTZD
  504     UINT64_C(2080375925),	// CNTTZDo
  505     UINT64_C(2080375860),	// CNTTZW
  506     UINT64_C(2080375860),	// CNTTZW8
  507     UINT64_C(2080375861),	// CNTTZW8o
  508     UINT64_C(2080375861),	// CNTTZWo
  509     UINT64_C(2080376460),	// CP_ABORT
  510     UINT64_C(2080376332),	// CP_COPY
  511     UINT64_C(2080376332),	// CP_COPY8
  512     UINT64_C(2080376588),	// CP_PASTE
  513     UINT64_C(2080376588),	// CP_PASTE8
  514     UINT64_C(2080376589),	// CP_PASTE8o
  515     UINT64_C(2080376589),	// CP_PASTEo
  516     UINT64_C(1288057410),	// CR6SET
  517     UINT64_C(1288057218),	// CR6UNSET
  518     UINT64_C(1275068930),	// CRAND
  519     UINT64_C(1275068674),	// CRANDC
  520     UINT64_C(1275068994),	// CREQV
  521     UINT64_C(1275068866),	// CRNAND
  522     UINT64_C(1275068482),	// CRNOR
  523     UINT64_C(1275069314),	// CROR
  524     UINT64_C(1275069250),	// CRORC
  525     UINT64_C(1275068994),	// CRSET
  526     UINT64_C(1275068802),	// CRUNSET
  527     UINT64_C(1275068802),	// CRXOR
  528     UINT64_C(1073741824),	// CTRL_DEP
  529     UINT64_C(2080376294),	// DARN
  530     UINT64_C(2080376300),	// DCBA
  531     UINT64_C(2080374956),	// DCBF
  532     UINT64_C(2080375038),	// DCBFEP
  533     UINT64_C(2080375724),	// DCBI
  534     UINT64_C(2080374892),	// DCBST
  535     UINT64_C(2080374910),	// DCBSTEP
  536     UINT64_C(2080375340),	// DCBT
  537     UINT64_C(2080375422),	// DCBTEP
  538     UINT64_C(2080375276),	// DCBTST
  539     UINT64_C(2080375294),	// DCBTSTEP
  540     UINT64_C(2080376812),	// DCBZ
  541     UINT64_C(2080376830),	// DCBZEP
  542     UINT64_C(2082473964),	// DCBZL
  543     UINT64_C(2082473982),	// DCBZLEP
  544     UINT64_C(2080375692),	// DCCCI
  545     UINT64_C(2080375762),	// DIVD
  546     UINT64_C(2080375634),	// DIVDE
  547     UINT64_C(2080375570),	// DIVDEU
  548     UINT64_C(2080375571),	// DIVDEUo
  549     UINT64_C(2080375635),	// DIVDEo
  550     UINT64_C(2080375698),	// DIVDU
  551     UINT64_C(2080375699),	// DIVDUo
  552     UINT64_C(2080375763),	// DIVDo
  553     UINT64_C(2080375766),	// DIVW
  554     UINT64_C(2080375638),	// DIVWE
  555     UINT64_C(2080375574),	// DIVWEU
  556     UINT64_C(2080375575),	// DIVWEUo
  557     UINT64_C(2080375639),	// DIVWEo
  558     UINT64_C(2080375702),	// DIVWU
  559     UINT64_C(2080375703),	// DIVWUo
  560     UINT64_C(2080375767),	// DIVWo
  561     UINT64_C(2080376428),	// DSS
  562     UINT64_C(2113930860),	// DSSALL
  563     UINT64_C(2080375468),	// DST
  564     UINT64_C(2080375468),	// DST64
  565     UINT64_C(2080375532),	// DSTST
  566     UINT64_C(2080375532),	// DSTST64
  567     UINT64_C(2113929964),	// DSTSTT
  568     UINT64_C(2113929964),	// DSTSTT64
  569     UINT64_C(2113929900),	// DSTT
  570     UINT64_C(2113929900),	// DSTT64
  571     UINT64_C(0),	// DYNALLOC
  572     UINT64_C(0),	// DYNALLOC8
  573     UINT64_C(0),	// DYNAREAOFFSET
  574     UINT64_C(0),	// DYNAREAOFFSET8
  575     UINT64_C(268436196),	// EFDABS
  576     UINT64_C(268436192),	// EFDADD
  577     UINT64_C(268436207),	// EFDCFS
  578     UINT64_C(268436211),	// EFDCFSF
  579     UINT64_C(268436209),	// EFDCFSI
  580     UINT64_C(268436195),	// EFDCFSID
  581     UINT64_C(268436210),	// EFDCFUF
  582     UINT64_C(268436208),	// EFDCFUI
  583     UINT64_C(268436194),	// EFDCFUID
  584     UINT64_C(268436206),	// EFDCMPEQ
  585     UINT64_C(268436204),	// EFDCMPGT
  586     UINT64_C(268436205),	// EFDCMPLT
  587     UINT64_C(268436215),	// EFDCTSF
  588     UINT64_C(268436213),	// EFDCTSI
  589     UINT64_C(268436203),	// EFDCTSIDZ
  590     UINT64_C(268436218),	// EFDCTSIZ
  591     UINT64_C(268436214),	// EFDCTUF
  592     UINT64_C(268436212),	// EFDCTUI
  593     UINT64_C(268436202),	// EFDCTUIDZ
  594     UINT64_C(268436216),	// EFDCTUIZ
  595     UINT64_C(268436201),	// EFDDIV
  596     UINT64_C(268436200),	// EFDMUL
  597     UINT64_C(268436197),	// EFDNABS
  598     UINT64_C(268436198),	// EFDNEG
  599     UINT64_C(268436193),	// EFDSUB
  600     UINT64_C(268436222),	// EFDTSTEQ
  601     UINT64_C(268436220),	// EFDTSTGT
  602     UINT64_C(268436221),	// EFDTSTLT
  603     UINT64_C(268436164),	// EFSABS
  604     UINT64_C(268436160),	// EFSADD
  605     UINT64_C(268436175),	// EFSCFD
  606     UINT64_C(268436179),	// EFSCFSF
  607     UINT64_C(268436177),	// EFSCFSI
  608     UINT64_C(268436178),	// EFSCFUF
  609     UINT64_C(268436176),	// EFSCFUI
  610     UINT64_C(268436174),	// EFSCMPEQ
  611     UINT64_C(268436172),	// EFSCMPGT
  612     UINT64_C(268436173),	// EFSCMPLT
  613     UINT64_C(268436183),	// EFSCTSF
  614     UINT64_C(268436181),	// EFSCTSI
  615     UINT64_C(268436186),	// EFSCTSIZ
  616     UINT64_C(268436182),	// EFSCTUF
  617     UINT64_C(268436180),	// EFSCTUI
  618     UINT64_C(268436184),	// EFSCTUIZ
  619     UINT64_C(268436169),	// EFSDIV
  620     UINT64_C(268436168),	// EFSMUL
  621     UINT64_C(268436165),	// EFSNABS
  622     UINT64_C(268436166),	// EFSNEG
  623     UINT64_C(268436161),	// EFSSUB
  624     UINT64_C(268436190),	// EFSTSTEQ
  625     UINT64_C(268436188),	// EFSTSTGT
  626     UINT64_C(268436189),	// EFSTSTLT
  627     UINT64_C(0),	// EH_SjLj_LongJmp32
  628     UINT64_C(0),	// EH_SjLj_LongJmp64
  629     UINT64_C(0),	// EH_SjLj_SetJmp32
  630     UINT64_C(0),	// EH_SjLj_SetJmp64
  631     UINT64_C(0),	// EH_SjLj_Setup
  632     UINT64_C(2080375352),	// EQV
  633     UINT64_C(2080375352),	// EQV8
  634     UINT64_C(2080375353),	// EQV8o
  635     UINT64_C(2080375353),	// EQVo
  636     UINT64_C(268435976),	// EVABS
  637     UINT64_C(268435970),	// EVADDIW
  638     UINT64_C(268436681),	// EVADDSMIAAW
  639     UINT64_C(268436673),	// EVADDSSIAAW
  640     UINT64_C(268436680),	// EVADDUMIAAW
  641     UINT64_C(268436672),	// EVADDUSIAAW
  642     UINT64_C(268435968),	// EVADDW
  643     UINT64_C(268435985),	// EVAND
  644     UINT64_C(268435986),	// EVANDC
  645     UINT64_C(268436020),	// EVCMPEQ
  646     UINT64_C(268436017),	// EVCMPGTS
  647     UINT64_C(268436016),	// EVCMPGTU
  648     UINT64_C(268436019),	// EVCMPLTS
  649     UINT64_C(268436018),	// EVCMPLTU
  650     UINT64_C(268435982),	// EVCNTLSW
  651     UINT64_C(268435981),	// EVCNTLZW
  652     UINT64_C(268436678),	// EVDIVWS
  653     UINT64_C(268436679),	// EVDIVWU
  654     UINT64_C(268435993),	// EVEQV
  655     UINT64_C(268435978),	// EVEXTSB
  656     UINT64_C(268435979),	// EVEXTSH
  657     UINT64_C(268436100),	// EVFSABS
  658     UINT64_C(268436096),	// EVFSADD
  659     UINT64_C(268436115),	// EVFSCFSF
  660     UINT64_C(268436113),	// EVFSCFSI
  661     UINT64_C(268436114),	// EVFSCFUF
  662     UINT64_C(268436106),	// EVFSCFUI
  663     UINT64_C(268436110),	// EVFSCMPEQ
  664     UINT64_C(268436108),	// EVFSCMPGT
  665     UINT64_C(268436109),	// EVFSCMPLT
  666     UINT64_C(268436119),	// EVFSCTSF
  667     UINT64_C(268436117),	// EVFSCTSI
  668     UINT64_C(268436122),	// EVFSCTSIZ
  669     UINT64_C(268436118),	// EVFSCTUF
  670     UINT64_C(268436116),	// EVFSCTUI
  671     UINT64_C(268436120),	// EVFSCTUIZ
  672     UINT64_C(268436105),	// EVFSDIV
  673     UINT64_C(268436104),	// EVFSMUL
  674     UINT64_C(268436101),	// EVFSNABS
  675     UINT64_C(268436102),	// EVFSNEG
  676     UINT64_C(268436097),	// EVFSSUB
  677     UINT64_C(268436126),	// EVFSTSTEQ
  678     UINT64_C(268436124),	// EVFSTSTGT
  679     UINT64_C(268436125),	// EVFSTSTLT
  680     UINT64_C(268436225),	// EVLDD
  681     UINT64_C(268436224),	// EVLDDX
  682     UINT64_C(268436229),	// EVLDH
  683     UINT64_C(268436228),	// EVLDHX
  684     UINT64_C(268436227),	// EVLDW
  685     UINT64_C(268436226),	// EVLDWX
  686     UINT64_C(268436233),	// EVLHHESPLAT
  687     UINT64_C(268436232),	// EVLHHESPLATX
  688     UINT64_C(268436239),	// EVLHHOSSPLAT
  689     UINT64_C(268436238),	// EVLHHOSSPLATX
  690     UINT64_C(268436237),	// EVLHHOUSPLAT
  691     UINT64_C(268436236),	// EVLHHOUSPLATX
  692     UINT64_C(268436241),	// EVLWHE
  693     UINT64_C(268436240),	// EVLWHEX
  694     UINT64_C(268436247),	// EVLWHOS
  695     UINT64_C(268436246),	// EVLWHOSX
  696     UINT64_C(268436245),	// EVLWHOU
  697     UINT64_C(268436244),	// EVLWHOUX
  698     UINT64_C(268436253),	// EVLWHSPLAT
  699     UINT64_C(268436252),	// EVLWHSPLATX
  700     UINT64_C(268436249),	// EVLWWSPLAT
  701     UINT64_C(268436248),	// EVLWWSPLATX
  702     UINT64_C(268436012),	// EVMERGEHI
  703     UINT64_C(268436014),	// EVMERGEHILO
  704     UINT64_C(268436013),	// EVMERGELO
  705     UINT64_C(268436015),	// EVMERGELOHI
  706     UINT64_C(268436779),	// EVMHEGSMFAA
  707     UINT64_C(268436907),	// EVMHEGSMFAN
  708     UINT64_C(268436777),	// EVMHEGSMIAA
  709     UINT64_C(268436905),	// EVMHEGSMIAN
  710     UINT64_C(268436776),	// EVMHEGUMIAA
  711     UINT64_C(268436904),	// EVMHEGUMIAN
  712     UINT64_C(268436491),	// EVMHESMF
  713     UINT64_C(268436523),	// EVMHESMFA
  714     UINT64_C(268436747),	// EVMHESMFAAW
  715     UINT64_C(268436875),	// EVMHESMFANW
  716     UINT64_C(268436489),	// EVMHESMI
  717     UINT64_C(268436521),	// EVMHESMIA
  718     UINT64_C(268436745),	// EVMHESMIAAW
  719     UINT64_C(268436873),	// EVMHESMIANW
  720     UINT64_C(268436483),	// EVMHESSF
  721     UINT64_C(268436515),	// EVMHESSFA
  722     UINT64_C(268436739),	// EVMHESSFAAW
  723     UINT64_C(268436867),	// EVMHESSFANW
  724     UINT64_C(268436737),	// EVMHESSIAAW
  725     UINT64_C(268436865),	// EVMHESSIANW
  726     UINT64_C(268436488),	// EVMHEUMI
  727     UINT64_C(268436520),	// EVMHEUMIA
  728     UINT64_C(268436744),	// EVMHEUMIAAW
  729     UINT64_C(268436872),	// EVMHEUMIANW
  730     UINT64_C(268436736),	// EVMHEUSIAAW
  731     UINT64_C(268436864),	// EVMHEUSIANW
  732     UINT64_C(268436783),	// EVMHOGSMFAA
  733     UINT64_C(268436911),	// EVMHOGSMFAN
  734     UINT64_C(268436781),	// EVMHOGSMIAA
  735     UINT64_C(268436909),	// EVMHOGSMIAN
  736     UINT64_C(268436780),	// EVMHOGUMIAA
  737     UINT64_C(268436908),	// EVMHOGUMIAN
  738     UINT64_C(268436495),	// EVMHOSMF
  739     UINT64_C(268436527),	// EVMHOSMFA
  740     UINT64_C(268436751),	// EVMHOSMFAAW
  741     UINT64_C(268436879),	// EVMHOSMFANW
  742     UINT64_C(268436493),	// EVMHOSMI
  743     UINT64_C(268436525),	// EVMHOSMIA
  744     UINT64_C(268436749),	// EVMHOSMIAAW
  745     UINT64_C(268436877),	// EVMHOSMIANW
  746     UINT64_C(268436487),	// EVMHOSSF
  747     UINT64_C(268436519),	// EVMHOSSFA
  748     UINT64_C(268436743),	// EVMHOSSFAAW
  749     UINT64_C(268436871),	// EVMHOSSFANW
  750     UINT64_C(268436741),	// EVMHOSSIAAW
  751     UINT64_C(268436869),	// EVMHOSSIANW
  752     UINT64_C(268436492),	// EVMHOUMI
  753     UINT64_C(268436524),	// EVMHOUMIA
  754     UINT64_C(268436748),	// EVMHOUMIAAW
  755     UINT64_C(268436876),	// EVMHOUMIANW
  756     UINT64_C(268436740),	// EVMHOUSIAAW
  757     UINT64_C(268436868),	// EVMHOUSIANW
  758     UINT64_C(268436676),	// EVMRA
  759     UINT64_C(268436559),	// EVMWHSMF
  760     UINT64_C(268436591),	// EVMWHSMFA
  761     UINT64_C(268436557),	// EVMWHSMI
  762     UINT64_C(268436589),	// EVMWHSMIA
  763     UINT64_C(268436551),	// EVMWHSSF
  764     UINT64_C(268436583),	// EVMWHSSFA
  765     UINT64_C(268436556),	// EVMWHUMI
  766     UINT64_C(268436588),	// EVMWHUMIA
  767     UINT64_C(268436809),	// EVMWLSMIAAW
  768     UINT64_C(268436937),	// EVMWLSMIANW
  769     UINT64_C(268436801),	// EVMWLSSIAAW
  770     UINT64_C(268436929),	// EVMWLSSIANW
  771     UINT64_C(268436552),	// EVMWLUMI
  772     UINT64_C(268436584),	// EVMWLUMIA
  773     UINT64_C(268436808),	// EVMWLUMIAAW
  774     UINT64_C(268436936),	// EVMWLUMIANW
  775     UINT64_C(268436800),	// EVMWLUSIAAW
  776     UINT64_C(268436928),	// EVMWLUSIANW
  777     UINT64_C(268436571),	// EVMWSMF
  778     UINT64_C(268436603),	// EVMWSMFA
  779     UINT64_C(268436827),	// EVMWSMFAA
  780     UINT64_C(268436955),	// EVMWSMFAN
  781     UINT64_C(268436569),	// EVMWSMI
  782     UINT64_C(268436601),	// EVMWSMIA
  783     UINT64_C(268436825),	// EVMWSMIAA
  784     UINT64_C(268436953),	// EVMWSMIAN
  785     UINT64_C(268436563),	// EVMWSSF
  786     UINT64_C(268436595),	// EVMWSSFA
  787     UINT64_C(268436819),	// EVMWSSFAA
  788     UINT64_C(268436947),	// EVMWSSFAN
  789     UINT64_C(268436568),	// EVMWUMI
  790     UINT64_C(268436600),	// EVMWUMIA
  791     UINT64_C(268436824),	// EVMWUMIAA
  792     UINT64_C(268436952),	// EVMWUMIAN
  793     UINT64_C(268435998),	// EVNAND
  794     UINT64_C(268435977),	// EVNEG
  795     UINT64_C(268435992),	// EVNOR
  796     UINT64_C(268435991),	// EVOR
  797     UINT64_C(268435995),	// EVORC
  798     UINT64_C(268436008),	// EVRLW
  799     UINT64_C(268436010),	// EVRLWI
  800     UINT64_C(268435980),	// EVRNDW
  801     UINT64_C(268436088),	// EVSEL
  802     UINT64_C(268436004),	// EVSLW
  803     UINT64_C(268436006),	// EVSLWI
  804     UINT64_C(268436011),	// EVSPLATFI
  805     UINT64_C(268436009),	// EVSPLATI
  806     UINT64_C(268436003),	// EVSRWIS
  807     UINT64_C(268436002),	// EVSRWIU
  808     UINT64_C(268436001),	// EVSRWS
  809     UINT64_C(268436000),	// EVSRWU
  810     UINT64_C(268436257),	// EVSTDD
  811     UINT64_C(268436256),	// EVSTDDX
  812     UINT64_C(268436261),	// EVSTDH
  813     UINT64_C(268436260),	// EVSTDHX
  814     UINT64_C(268436259),	// EVSTDW
  815     UINT64_C(268436258),	// EVSTDWX
  816     UINT64_C(268436273),	// EVSTWHE
  817     UINT64_C(268436272),	// EVSTWHEX
  818     UINT64_C(268436277),	// EVSTWHO
  819     UINT64_C(268436276),	// EVSTWHOX
  820     UINT64_C(268436281),	// EVSTWWE
  821     UINT64_C(268436280),	// EVSTWWEX
  822     UINT64_C(268436285),	// EVSTWWO
  823     UINT64_C(268436284),	// EVSTWWOX
  824     UINT64_C(268436683),	// EVSUBFSMIAAW
  825     UINT64_C(268436675),	// EVSUBFSSIAAW
  826     UINT64_C(268436682),	// EVSUBFUMIAAW
  827     UINT64_C(268436674),	// EVSUBFUSIAAW
  828     UINT64_C(268435972),	// EVSUBFW
  829     UINT64_C(268435974),	// EVSUBIFW
  830     UINT64_C(268435990),	// EVXOR
  831     UINT64_C(2080376692),	// EXTSB
  832     UINT64_C(2080376692),	// EXTSB8
  833     UINT64_C(2080376692),	// EXTSB8_32_64
  834     UINT64_C(2080376693),	// EXTSB8o
  835     UINT64_C(2080376693),	// EXTSBo
  836     UINT64_C(2080376628),	// EXTSH
  837     UINT64_C(2080376628),	// EXTSH8
  838     UINT64_C(2080376628),	// EXTSH8_32_64
  839     UINT64_C(2080376629),	// EXTSH8o
  840     UINT64_C(2080376629),	// EXTSHo
  841     UINT64_C(2080376756),	// EXTSW
  842     UINT64_C(2080376564),	// EXTSWSLI
  843     UINT64_C(2080376564),	// EXTSWSLI_32_64
  844     UINT64_C(2080376565),	// EXTSWSLI_32_64o
  845     UINT64_C(2080376565),	// EXTSWSLIo
  846     UINT64_C(2080376756),	// EXTSW_32
  847     UINT64_C(2080376756),	// EXTSW_32_64
  848     UINT64_C(2080376757),	// EXTSW_32_64o
  849     UINT64_C(2080376757),	// EXTSWo
  850     UINT64_C(2080376492),	// EnforceIEIO
  851     UINT64_C(4227858960),	// FABSD
  852     UINT64_C(4227858961),	// FABSDo
  853     UINT64_C(4227858960),	// FABSS
  854     UINT64_C(4227858961),	// FABSSo
  855     UINT64_C(4227858474),	// FADD
  856     UINT64_C(3959423018),	// FADDS
  857     UINT64_C(3959423019),	// FADDSo
  858     UINT64_C(4227858475),	// FADDo
  859     UINT64_C(0),	// FADDrtz
  860     UINT64_C(4227860124),	// FCFID
  861     UINT64_C(3959424668),	// FCFIDS
  862     UINT64_C(3959424669),	// FCFIDSo
  863     UINT64_C(4227860380),	// FCFIDU
  864     UINT64_C(3959424924),	// FCFIDUS
  865     UINT64_C(3959424925),	// FCFIDUSo
  866     UINT64_C(4227860381),	// FCFIDUo
  867     UINT64_C(4227860125),	// FCFIDo
  868     UINT64_C(4227858432),	// FCMPUD
  869     UINT64_C(4227858432),	// FCMPUS
  870     UINT64_C(4227858448),	// FCPSGND
  871     UINT64_C(4227858449),	// FCPSGNDo
  872     UINT64_C(4227858448),	// FCPSGNS
  873     UINT64_C(4227858449),	// FCPSGNSo
  874     UINT64_C(4227860060),	// FCTID
  875     UINT64_C(4227860316),	// FCTIDU
  876     UINT64_C(4227860318),	// FCTIDUZ
  877     UINT64_C(4227860319),	// FCTIDUZo
  878     UINT64_C(4227860317),	// FCTIDUo
  879     UINT64_C(4227860062),	// FCTIDZ
  880     UINT64_C(4227860063),	// FCTIDZo
  881     UINT64_C(4227860061),	// FCTIDo
  882     UINT64_C(4227858460),	// FCTIW
  883     UINT64_C(4227858716),	// FCTIWU
  884     UINT64_C(4227858718),	// FCTIWUZ
  885     UINT64_C(4227858719),	// FCTIWUZo
  886     UINT64_C(4227858717),	// FCTIWUo
  887     UINT64_C(4227858462),	// FCTIWZ
  888     UINT64_C(4227858463),	// FCTIWZo
  889     UINT64_C(4227858461),	// FCTIWo
  890     UINT64_C(4227858468),	// FDIV
  891     UINT64_C(3959423012),	// FDIVS
  892     UINT64_C(3959423013),	// FDIVSo
  893     UINT64_C(4227858469),	// FDIVo
  894     UINT64_C(4227858490),	// FMADD
  895     UINT64_C(3959423034),	// FMADDS
  896     UINT64_C(3959423035),	// FMADDSo
  897     UINT64_C(4227858491),	// FMADDo
  898     UINT64_C(4227858576),	// FMR
  899     UINT64_C(4227858577),	// FMRo
  900     UINT64_C(4227858488),	// FMSUB
  901     UINT64_C(3959423032),	// FMSUBS
  902     UINT64_C(3959423033),	// FMSUBSo
  903     UINT64_C(4227858489),	// FMSUBo
  904     UINT64_C(4227858482),	// FMUL
  905     UINT64_C(3959423026),	// FMULS
  906     UINT64_C(3959423027),	// FMULSo
  907     UINT64_C(4227858483),	// FMULo
  908     UINT64_C(4227858704),	// FNABSD
  909     UINT64_C(4227858705),	// FNABSDo
  910     UINT64_C(4227858704),	// FNABSS
  911     UINT64_C(4227858705),	// FNABSSo
  912     UINT64_C(4227858512),	// FNEGD
  913     UINT64_C(4227858513),	// FNEGDo
  914     UINT64_C(4227858512),	// FNEGS
  915     UINT64_C(4227858513),	// FNEGSo
  916     UINT64_C(4227858494),	// FNMADD
  917     UINT64_C(3959423038),	// FNMADDS
  918     UINT64_C(3959423039),	// FNMADDSo
  919     UINT64_C(4227858495),	// FNMADDo
  920     UINT64_C(4227858492),	// FNMSUB
  921     UINT64_C(3959423036),	// FNMSUBS
  922     UINT64_C(3959423037),	// FNMSUBSo
  923     UINT64_C(4227858493),	// FNMSUBo
  924     UINT64_C(4227858480),	// FRE
  925     UINT64_C(3959423024),	// FRES
  926     UINT64_C(3959423025),	// FRESo
  927     UINT64_C(4227858481),	// FREo
  928     UINT64_C(4227859408),	// FRIMD
  929     UINT64_C(4227859409),	// FRIMDo
  930     UINT64_C(4227859408),	// FRIMS
  931     UINT64_C(4227859409),	// FRIMSo
  932     UINT64_C(4227859216),	// FRIND
  933     UINT64_C(4227859217),	// FRINDo
  934     UINT64_C(4227859216),	// FRINS
  935     UINT64_C(4227859217),	// FRINSo
  936     UINT64_C(4227859344),	// FRIPD
  937     UINT64_C(4227859345),	// FRIPDo
  938     UINT64_C(4227859344),	// FRIPS
  939     UINT64_C(4227859345),	// FRIPSo
  940     UINT64_C(4227859280),	// FRIZD
  941     UINT64_C(4227859281),	// FRIZDo
  942     UINT64_C(4227859280),	// FRIZS
  943     UINT64_C(4227859281),	// FRIZSo
  944     UINT64_C(4227858456),	// FRSP
  945     UINT64_C(4227858457),	// FRSPo
  946     UINT64_C(4227858484),	// FRSQRTE
  947     UINT64_C(3959423028),	// FRSQRTES
  948     UINT64_C(3959423029),	// FRSQRTESo
  949     UINT64_C(4227858485),	// FRSQRTEo
  950     UINT64_C(4227858478),	// FSELD
  951     UINT64_C(4227858479),	// FSELDo
  952     UINT64_C(4227858478),	// FSELS
  953     UINT64_C(4227858479),	// FSELSo
  954     UINT64_C(4227858476),	// FSQRT
  955     UINT64_C(3959423020),	// FSQRTS
  956     UINT64_C(3959423021),	// FSQRTSo
  957     UINT64_C(4227858477),	// FSQRTo
  958     UINT64_C(4227858472),	// FSUB
  959     UINT64_C(3959423016),	// FSUBS
  960     UINT64_C(3959423017),	// FSUBSo
  961     UINT64_C(4227858473),	// FSUBo
  962     UINT64_C(4227858688),	// FTDIV
  963     UINT64_C(4227858752),	// FTSQRT
  964     UINT64_C(0),	// GETtlsADDR
  965     UINT64_C(0),	// GETtlsADDR32
  966     UINT64_C(0),	// GETtlsldADDR
  967     UINT64_C(0),	// GETtlsldADDR32
  968     UINT64_C(1275068964),	// HRFID
  969     UINT64_C(2080376748),	// ICBI
  970     UINT64_C(2080376766),	// ICBIEP
  971     UINT64_C(2080375244),	// ICBLC
  972     UINT64_C(2080375180),	// ICBLQ
  973     UINT64_C(2080374828),	// ICBT
  974     UINT64_C(2080375756),	// ICBTLS
  975     UINT64_C(2080376716),	// ICCCI
  976     UINT64_C(2080374814),	// ISEL
  977     UINT64_C(2080374814),	// ISEL8
  978     UINT64_C(1275068716),	// ISYNC
  979     UINT64_C(939524096),	// LA
  980     UINT64_C(2080374888),	// LBARX
  981     UINT64_C(2080374889),	// LBARXL
  982     UINT64_C(2080374974),	// LBEPX
  983     UINT64_C(2281701376),	// LBZ
  984     UINT64_C(2281701376),	// LBZ8
  985     UINT64_C(2080376490),	// LBZCIX
  986     UINT64_C(2348810240),	// LBZU
  987     UINT64_C(2348810240),	// LBZU8
  988     UINT64_C(2080375022),	// LBZUX
  989     UINT64_C(2080375022),	// LBZUX8
  990     UINT64_C(2080374958),	// LBZX
  991     UINT64_C(2080374958),	// LBZX8
  992     UINT64_C(2080374958),	// LBZXTLS
  993     UINT64_C(2080374958),	// LBZXTLS_
  994     UINT64_C(2080374958),	// LBZXTLS_32
  995     UINT64_C(3892314112),	// LD
  996     UINT64_C(2080374952),	// LDARX
  997     UINT64_C(2080374953),	// LDARXL
  998     UINT64_C(2080376012),	// LDAT
  999     UINT64_C(2080375848),	// LDBRX
 1000     UINT64_C(2080376554),	// LDCIX
 1001     UINT64_C(2080375402),	// LDMX
 1002     UINT64_C(3892314113),	// LDU
 1003     UINT64_C(2080374890),	// LDUX
 1004     UINT64_C(2080374826),	// LDX
 1005     UINT64_C(2080374826),	// LDXTLS
 1006     UINT64_C(2080374826),	// LDXTLS_
 1007     UINT64_C(0),	// LDgotTprelL
 1008     UINT64_C(0),	// LDgotTprelL32
 1009     UINT64_C(0),	// LDtoc
 1010     UINT64_C(0),	// LDtocBA
 1011     UINT64_C(0),	// LDtocCPT
 1012     UINT64_C(0),	// LDtocJTI
 1013     UINT64_C(0),	// LDtocL
 1014     UINT64_C(3355443200),	// LFD
 1015     UINT64_C(2080375998),	// LFDEPX
 1016     UINT64_C(3422552064),	// LFDU
 1017     UINT64_C(2080376046),	// LFDUX
 1018     UINT64_C(2080375982),	// LFDX
 1019     UINT64_C(2080376494),	// LFIWAX
 1020     UINT64_C(2080376558),	// LFIWZX
 1021     UINT64_C(3221225472),	// LFS
 1022     UINT64_C(3288334336),	// LFSU
 1023     UINT64_C(2080375918),	// LFSUX
 1024     UINT64_C(2080375854),	// LFSX
 1025     UINT64_C(2818572288),	// LHA
 1026     UINT64_C(2818572288),	// LHA8
 1027     UINT64_C(2080375016),	// LHARX
 1028     UINT64_C(2080375017),	// LHARXL
 1029     UINT64_C(2885681152),	// LHAU
 1030     UINT64_C(2885681152),	// LHAU8
 1031     UINT64_C(2080375534),	// LHAUX
 1032     UINT64_C(2080375534),	// LHAUX8
 1033     UINT64_C(2080375470),	// LHAX
 1034     UINT64_C(2080375470),	// LHAX8
 1035     UINT64_C(2080376364),	// LHBRX
 1036     UINT64_C(2080376364),	// LHBRX8
 1037     UINT64_C(2080375358),	// LHEPX
 1038     UINT64_C(2684354560),	// LHZ
 1039     UINT64_C(2684354560),	// LHZ8
 1040     UINT64_C(2080376426),	// LHZCIX
 1041     UINT64_C(2751463424),	// LHZU
 1042     UINT64_C(2751463424),	// LHZU8
 1043     UINT64_C(2080375406),	// LHZUX
 1044     UINT64_C(2080375406),	// LHZUX8
 1045     UINT64_C(2080375342),	// LHZX
 1046     UINT64_C(2080375342),	// LHZX8
 1047     UINT64_C(2080375342),	// LHZXTLS
 1048     UINT64_C(2080375342),	// LHZXTLS_
 1049     UINT64_C(2080375342),	// LHZXTLS_32
 1050     UINT64_C(939524096),	// LI
 1051     UINT64_C(939524096),	// LI8
 1052     UINT64_C(1006632960),	// LIS
 1053     UINT64_C(1006632960),	// LIS8
 1054     UINT64_C(3087007744),	// LMW
 1055     UINT64_C(2080375978),	// LSWI
 1056     UINT64_C(2080374798),	// LVEBX
 1057     UINT64_C(2080374862),	// LVEHX
 1058     UINT64_C(2080374926),	// LVEWX
 1059     UINT64_C(2080374796),	// LVSL
 1060     UINT64_C(2080374860),	// LVSR
 1061     UINT64_C(2080374990),	// LVX
 1062     UINT64_C(2080375502),	// LVXL
 1063     UINT64_C(3892314114),	// LWA
 1064     UINT64_C(2080374824),	// LWARX
 1065     UINT64_C(2080374825),	// LWARXL
 1066     UINT64_C(2080375948),	// LWAT
 1067     UINT64_C(2080375530),	// LWAUX
 1068     UINT64_C(2080375466),	// LWAX
 1069     UINT64_C(2080375466),	// LWAX_32
 1070     UINT64_C(3892314114),	// LWA_32
 1071     UINT64_C(2080375852),	// LWBRX
 1072     UINT64_C(2080375852),	// LWBRX8
 1073     UINT64_C(2080374846),	// LWEPX
 1074     UINT64_C(2147483648),	// LWZ
 1075     UINT64_C(2147483648),	// LWZ8
 1076     UINT64_C(2080376362),	// LWZCIX
 1077     UINT64_C(2214592512),	// LWZU
 1078     UINT64_C(2214592512),	// LWZU8
 1079     UINT64_C(2080374894),	// LWZUX
 1080     UINT64_C(2080374894),	// LWZUX8
 1081     UINT64_C(2080374830),	// LWZX
 1082     UINT64_C(2080374830),	// LWZX8
 1083     UINT64_C(2080374830),	// LWZXTLS
 1084     UINT64_C(2080374830),	// LWZXTLS_
 1085     UINT64_C(2080374830),	// LWZXTLS_32
 1086     UINT64_C(0),	// LWZtoc
 1087     UINT64_C(0),	// LWZtocL
 1088     UINT64_C(3825205250),	// LXSD
 1089     UINT64_C(2080375960),	// LXSDX
 1090     UINT64_C(2080376346),	// LXSIBZX
 1091     UINT64_C(2080376410),	// LXSIHZX
 1092     UINT64_C(2080374936),	// LXSIWAX
 1093     UINT64_C(2080374808),	// LXSIWZX
 1094     UINT64_C(3825205251),	// LXSSP
 1095     UINT64_C(2080375832),	// LXSSPX
 1096     UINT64_C(4093640705),	// LXV
 1097     UINT64_C(2080376536),	// LXVB16X
 1098     UINT64_C(2080376472),	// LXVD2X
 1099     UINT64_C(2080375448),	// LXVDSX
 1100     UINT64_C(2080376408),	// LXVH8X
 1101     UINT64_C(2080375322),	// LXVL
 1102     UINT64_C(2080375386),	// LXVLL
 1103     UINT64_C(2080376344),	// LXVW4X
 1104     UINT64_C(2080375512),	// LXVWSX
 1105     UINT64_C(2080375320),	// LXVX
 1106     UINT64_C(268435504),	// MADDHD
 1107     UINT64_C(268435505),	// MADDHDU
 1108     UINT64_C(268435507),	// MADDLD
 1109     UINT64_C(268435507),	// MADDLD8
 1110     UINT64_C(2080376492),	// MBAR
 1111     UINT64_C(1275068416),	// MCRF
 1112     UINT64_C(4227858560),	// MCRFS
 1113     UINT64_C(2080375936),	// MCRXRX
 1114     UINT64_C(2080375388),	// MFBHRBE
 1115     UINT64_C(2080374822),	// MFCR
 1116     UINT64_C(2080374822),	// MFCR8
 1117     UINT64_C(2080965286),	// MFCTR
 1118     UINT64_C(2080965286),	// MFCTR8
 1119     UINT64_C(2080375430),	// MFDCR
 1120     UINT64_C(4227859598),	// MFFS
 1121     UINT64_C(4229170318),	// MFFSCDRN
 1122     UINT64_C(4229235854),	// MFFSCDRNI
 1123     UINT64_C(4227925134),	// MFFSCE
 1124     UINT64_C(4229301390),	// MFFSCRN
 1125     UINT64_C(4229366926),	// MFFSCRNI
 1126     UINT64_C(4229432462),	// MFFSL
 1127     UINT64_C(4227859599),	// MFFSo
 1128     UINT64_C(2080899750),	// MFLR
 1129     UINT64_C(2080899750),	// MFLR8
 1130     UINT64_C(2080374950),	// MFMSR
 1131     UINT64_C(2081423398),	// MFOCRF
 1132     UINT64_C(2081423398),	// MFOCRF8
 1133     UINT64_C(2080375452),	// MFPMR
 1134     UINT64_C(2080375462),	// MFSPR
 1135     UINT64_C(2080375462),	// MFSPR8
 1136     UINT64_C(2080375974),	// MFSR
 1137     UINT64_C(2080376102),	// MFSRIN
 1138     UINT64_C(2080375526),	// MFTB
 1139     UINT64_C(2081178278),	// MFTB8
 1140     UINT64_C(2080374886),	// MFVRD
 1141     UINT64_C(2080391846),	// MFVRSAVE
 1142     UINT64_C(2080391846),	// MFVRSAVEv
 1143     UINT64_C(2080375014),	// MFVRWZ
 1144     UINT64_C(268436996),	// MFVSCR
 1145     UINT64_C(2080374886),	// MFVSRD
 1146     UINT64_C(2080375398),	// MFVSRLD
 1147     UINT64_C(2080375014),	// MFVSRWZ
 1148     UINT64_C(2080376338),	// MODSD
 1149     UINT64_C(2080376342),	// MODSW
 1150     UINT64_C(2080375314),	// MODUD
 1151     UINT64_C(2080375318),	// MODUW
 1152     UINT64_C(2080376556),	// MSGSYNC
 1153     UINT64_C(2080375980),	// MSYNC
 1154     UINT64_C(2080375072),	// MTCRF
 1155     UINT64_C(2080375072),	// MTCRF8
 1156     UINT64_C(2080965542),	// MTCTR
 1157     UINT64_C(2080965542),	// MTCTR8
 1158     UINT64_C(2080965542),	// MTCTR8loop
 1159     UINT64_C(2080965542),	// MTCTRloop
 1160     UINT64_C(2080375686),	// MTDCR
 1161     UINT64_C(4227858572),	// MTFSB0
 1162     UINT64_C(4227858508),	// MTFSB1
 1163     UINT64_C(4227859854),	// MTFSF
 1164     UINT64_C(4227858700),	// MTFSFI
 1165     UINT64_C(4227858701),	// MTFSFIo
 1166     UINT64_C(4227859854),	// MTFSFb
 1167     UINT64_C(4227859855),	// MTFSFo
 1168     UINT64_C(2080900006),	// MTLR
 1169     UINT64_C(2080900006),	// MTLR8
 1170     UINT64_C(2080375076),	// MTMSR
 1171     UINT64_C(2080375140),	// MTMSRD
 1172     UINT64_C(2081423648),	// MTOCRF
 1173     UINT64_C(2081423648),	// MTOCRF8
 1174     UINT64_C(2080375708),	// MTPMR
 1175     UINT64_C(2080375718),	// MTSPR
 1176     UINT64_C(2080375718),	// MTSPR8
 1177     UINT64_C(2080375204),	// MTSR
 1178     UINT64_C(2080375268),	// MTSRIN
 1179     UINT64_C(2080375142),	// MTVRD
 1180     UINT64_C(2080392102),	// MTVRSAVE
 1181     UINT64_C(2080392102),	// MTVRSAVEv
 1182     UINT64_C(2080375206),	// MTVRWA
 1183     UINT64_C(2080375270),	// MTVRWZ
 1184     UINT64_C(268437060),	// MTVSCR
 1185     UINT64_C(2080375142),	// MTVSRD
 1186     UINT64_C(2080375654),	// MTVSRDD
 1187     UINT64_C(2080375206),	// MTVSRWA
 1188     UINT64_C(2080375590),	// MTVSRWS
 1189     UINT64_C(2080375270),	// MTVSRWZ
 1190     UINT64_C(2080374930),	// MULHD
 1191     UINT64_C(2080374802),	// MULHDU
 1192     UINT64_C(2080374803),	// MULHDUo
 1193     UINT64_C(2080374931),	// MULHDo
 1194     UINT64_C(2080374934),	// MULHW
 1195     UINT64_C(2080374806),	// MULHWU
 1196     UINT64_C(2080374807),	// MULHWUo
 1197     UINT64_C(2080374935),	// MULHWo
 1198     UINT64_C(2080375250),	// MULLD
 1199     UINT64_C(2080375251),	// MULLDo
 1200     UINT64_C(469762048),	// MULLI
 1201     UINT64_C(469762048),	// MULLI8
 1202     UINT64_C(2080375254),	// MULLW
 1203     UINT64_C(2080375255),	// MULLWo
 1204     UINT64_C(0),	// MoveGOTtoLR
 1205     UINT64_C(0),	// MovePCtoLR
 1206     UINT64_C(0),	// MovePCtoLR8
 1207     UINT64_C(2080375736),	// NAND
 1208     UINT64_C(2080375736),	// NAND8
 1209     UINT64_C(2080375737),	// NAND8o
 1210     UINT64_C(2080375737),	// NANDo
 1211     UINT64_C(1275069284),	// NAP
 1212     UINT64_C(2080374992),	// NEG
 1213     UINT64_C(2080374992),	// NEG8
 1214     UINT64_C(2080374993),	// NEG8o
 1215     UINT64_C(2080374993),	// NEGo
 1216     UINT64_C(1610612736),	// NOP
 1217     UINT64_C(1612775424),	// NOP_GT_PWR6
 1218     UINT64_C(1614938112),	// NOP_GT_PWR7
 1219     UINT64_C(2080375032),	// NOR
 1220     UINT64_C(2080375032),	// NOR8
 1221     UINT64_C(2080375033),	// NOR8o
 1222     UINT64_C(2080375033),	// NORo
 1223     UINT64_C(2080375672),	// OR
 1224     UINT64_C(2080375672),	// OR8
 1225     UINT64_C(2080375673),	// OR8o
 1226     UINT64_C(2080375608),	// ORC
 1227     UINT64_C(2080375608),	// ORC8
 1228     UINT64_C(2080375609),	// ORC8o
 1229     UINT64_C(2080375609),	// ORCo
 1230     UINT64_C(1610612736),	// ORI
 1231     UINT64_C(1610612736),	// ORI8
 1232     UINT64_C(1677721600),	// ORIS
 1233     UINT64_C(1677721600),	// ORIS8
 1234     UINT64_C(2080375673),	// ORo
 1235     UINT64_C(2080375028),	// POPCNTB
 1236     UINT64_C(2080375796),	// POPCNTD
 1237     UINT64_C(2080375540),	// POPCNTW
 1238     UINT64_C(0),	// PPC32GOT
 1239     UINT64_C(0),	// PPC32PICGOT
 1240     UINT64_C(268435466),	// QVALIGNI
 1241     UINT64_C(268435466),	// QVALIGNIb
 1242     UINT64_C(268435466),	// QVALIGNIs
 1243     UINT64_C(268435530),	// QVESPLATI
 1244     UINT64_C(268435530),	// QVESPLATIb
 1245     UINT64_C(268435530),	// QVESPLATIs
 1246     UINT64_C(268435984),	// QVFABS
 1247     UINT64_C(268435984),	// QVFABSs
 1248     UINT64_C(268435498),	// QVFADD
 1249     UINT64_C(42),	// QVFADDS
 1250     UINT64_C(42),	// QVFADDSs
 1251     UINT64_C(268437148),	// QVFCFID
 1252     UINT64_C(1692),	// QVFCFIDS
 1253     UINT64_C(268437404),	// QVFCFIDU
 1254     UINT64_C(1948),	// QVFCFIDUS
 1255     UINT64_C(268437148),	// QVFCFIDb
 1256     UINT64_C(268435456),	// QVFCMPEQ
 1257     UINT64_C(268435456),	// QVFCMPEQb
 1258     UINT64_C(268435456),	// QVFCMPEQbs
 1259     UINT64_C(268435520),	// QVFCMPGT
 1260     UINT64_C(268435520),	// QVFCMPGTb
 1261     UINT64_C(268435520),	// QVFCMPGTbs
 1262     UINT64_C(268435648),	// QVFCMPLT
 1263     UINT64_C(268435648),	// QVFCMPLTb
 1264     UINT64_C(268435648),	// QVFCMPLTbs
 1265     UINT64_C(268435472),	// QVFCPSGN
 1266     UINT64_C(268435472),	// QVFCPSGNs
 1267     UINT64_C(268437084),	// QVFCTID
 1268     UINT64_C(268437340),	// QVFCTIDU
 1269     UINT64_C(268437342),	// QVFCTIDUZ
 1270     UINT64_C(268437086),	// QVFCTIDZ
 1271     UINT64_C(268437084),	// QVFCTIDb
 1272     UINT64_C(268435484),	// QVFCTIW
 1273     UINT64_C(268435740),	// QVFCTIWU
 1274     UINT64_C(268435742),	// QVFCTIWUZ
 1275     UINT64_C(268435486),	// QVFCTIWZ
 1276     UINT64_C(268435464),	// QVFLOGICAL
 1277     UINT64_C(268435464),	// QVFLOGICALb
 1278     UINT64_C(268435464),	// QVFLOGICALs
 1279     UINT64_C(268435514),	// QVFMADD
 1280     UINT64_C(58),	// QVFMADDS
 1281     UINT64_C(58),	// QVFMADDSs
 1282     UINT64_C(268435600),	// QVFMR
 1283     UINT64_C(268435600),	// QVFMRb
 1284     UINT64_C(268435600),	// QVFMRs
 1285     UINT64_C(268435512),	// QVFMSUB
 1286     UINT64_C(56),	// QVFMSUBS
 1287     UINT64_C(56),	// QVFMSUBSs
 1288     UINT64_C(268435506),	// QVFMUL
 1289     UINT64_C(50),	// QVFMULS
 1290     UINT64_C(50),	// QVFMULSs
 1291     UINT64_C(268435728),	// QVFNABS
 1292     UINT64_C(268435728),	// QVFNABSs
 1293     UINT64_C(268435536),	// QVFNEG
 1294     UINT64_C(268435536),	// QVFNEGs
 1295     UINT64_C(268435518),	// QVFNMADD
 1296     UINT64_C(62),	// QVFNMADDS
 1297     UINT64_C(62),	// QVFNMADDSs
 1298     UINT64_C(268435516),	// QVFNMSUB
 1299     UINT64_C(60),	// QVFNMSUBS
 1300     UINT64_C(60),	// QVFNMSUBSs
 1301     UINT64_C(268435468),	// QVFPERM
 1302     UINT64_C(268435468),	// QVFPERMs
 1303     UINT64_C(268435504),	// QVFRE
 1304     UINT64_C(48),	// QVFRES
 1305     UINT64_C(48),	// QVFRESs
 1306     UINT64_C(268436432),	// QVFRIM
 1307     UINT64_C(268436432),	// QVFRIMs
 1308     UINT64_C(268436240),	// QVFRIN
 1309     UINT64_C(268436240),	// QVFRINs
 1310     UINT64_C(268436368),	// QVFRIP
 1311     UINT64_C(268436368),	// QVFRIPs
 1312     UINT64_C(268436304),	// QVFRIZ
 1313     UINT64_C(268436304),	// QVFRIZs
 1314     UINT64_C(268435480),	// QVFRSP
 1315     UINT64_C(268435480),	// QVFRSPs
 1316     UINT64_C(268435508),	// QVFRSQRTE
 1317     UINT64_C(52),	// QVFRSQRTES
 1318     UINT64_C(52),	// QVFRSQRTESs
 1319     UINT64_C(268435502),	// QVFSEL
 1320     UINT64_C(268435502),	// QVFSELb
 1321     UINT64_C(268435502),	// QVFSELbb
 1322     UINT64_C(268435502),	// QVFSELbs
 1323     UINT64_C(268435496),	// QVFSUB
 1324     UINT64_C(40),	// QVFSUBS
 1325     UINT64_C(40),	// QVFSUBSs
 1326     UINT64_C(268435584),	// QVFTSTNAN
 1327     UINT64_C(268435584),	// QVFTSTNANb
 1328     UINT64_C(268435584),	// QVFTSTNANbs
 1329     UINT64_C(268435474),	// QVFXMADD
 1330     UINT64_C(18),	// QVFXMADDS
 1331     UINT64_C(268435490),	// QVFXMUL
 1332     UINT64_C(34),	// QVFXMULS
 1333     UINT64_C(268435462),	// QVFXXCPNMADD
 1334     UINT64_C(6),	// QVFXXCPNMADDS
 1335     UINT64_C(268435458),	// QVFXXMADD
 1336     UINT64_C(2),	// QVFXXMADDS
 1337     UINT64_C(268435478),	// QVFXXNPMADD
 1338     UINT64_C(22),	// QVFXXNPMADDS
 1339     UINT64_C(268435722),	// QVGPCI
 1340     UINT64_C(2080374990),	// QVLFCDUX
 1341     UINT64_C(2080374991),	// QVLFCDUXA
 1342     UINT64_C(2080374926),	// QVLFCDX
 1343     UINT64_C(2080374927),	// QVLFCDXA
 1344     UINT64_C(2080374862),	// QVLFCSUX
 1345     UINT64_C(2080374863),	// QVLFCSUXA
 1346     UINT64_C(2080374798),	// QVLFCSX
 1347     UINT64_C(2080374799),	// QVLFCSXA
 1348     UINT64_C(2080374798),	// QVLFCSXs
 1349     UINT64_C(2080376014),	// QVLFDUX
 1350     UINT64_C(2080376015),	// QVLFDUXA
 1351     UINT64_C(2080375950),	// QVLFDX
 1352     UINT64_C(2080375951),	// QVLFDXA
 1353     UINT64_C(2080375950),	// QVLFDXb
 1354     UINT64_C(2080376526),	// QVLFIWAX
 1355     UINT64_C(2080376527),	// QVLFIWAXA
 1356     UINT64_C(2080376462),	// QVLFIWZX
 1357     UINT64_C(2080376463),	// QVLFIWZXA
 1358     UINT64_C(2080375886),	// QVLFSUX
 1359     UINT64_C(2080375887),	// QVLFSUXA
 1360     UINT64_C(2080375822),	// QVLFSX
 1361     UINT64_C(2080375823),	// QVLFSXA
 1362     UINT64_C(2080375822),	// QVLFSXb
 1363     UINT64_C(2080375822),	// QVLFSXs
 1364     UINT64_C(2080375948),	// QVLPCLDX
 1365     UINT64_C(2080375820),	// QVLPCLSX
 1366     UINT64_C(2080375820),	// QVLPCLSXint
 1367     UINT64_C(2080374924),	// QVLPCRDX
 1368     UINT64_C(2080374796),	// QVLPCRSX
 1369     UINT64_C(2080375246),	// QVSTFCDUX
 1370     UINT64_C(2080375247),	// QVSTFCDUXA
 1371     UINT64_C(2080375242),	// QVSTFCDUXI
 1372     UINT64_C(2080375243),	// QVSTFCDUXIA
 1373     UINT64_C(2080375182),	// QVSTFCDX
 1374     UINT64_C(2080375183),	// QVSTFCDXA
 1375     UINT64_C(2080375178),	// QVSTFCDXI
 1376     UINT64_C(2080375179),	// QVSTFCDXIA
 1377     UINT64_C(2080375118),	// QVSTFCSUX
 1378     UINT64_C(2080375119),	// QVSTFCSUXA
 1379     UINT64_C(2080375114),	// QVSTFCSUXI
 1380     UINT64_C(2080375115),	// QVSTFCSUXIA
 1381     UINT64_C(2080375054),	// QVSTFCSX
 1382     UINT64_C(2080375055),	// QVSTFCSXA
 1383     UINT64_C(2080375050),	// QVSTFCSXI
 1384     UINT64_C(2080375051),	// QVSTFCSXIA
 1385     UINT64_C(2080375054),	// QVSTFCSXs
 1386     UINT64_C(2080376270),	// QVSTFDUX
 1387     UINT64_C(2080376271),	// QVSTFDUXA
 1388     UINT64_C(2080376266),	// QVSTFDUXI
 1389     UINT64_C(2080376267),	// QVSTFDUXIA
 1390     UINT64_C(2080376206),	// QVSTFDX
 1391     UINT64_C(2080376207),	// QVSTFDXA
 1392     UINT64_C(2080376202),	// QVSTFDXI
 1393     UINT64_C(2080376203),	// QVSTFDXIA
 1394     UINT64_C(2080376206),	// QVSTFDXb
 1395     UINT64_C(2080376718),	// QVSTFIWX
 1396     UINT64_C(2080376719),	// QVSTFIWXA
 1397     UINT64_C(2080376142),	// QVSTFSUX
 1398     UINT64_C(2080376143),	// QVSTFSUXA
 1399     UINT64_C(2080376138),	// QVSTFSUXI
 1400     UINT64_C(2080376139),	// QVSTFSUXIA
 1401     UINT64_C(2080376142),	// QVSTFSUXs
 1402     UINT64_C(2080376078),	// QVSTFSX
 1403     UINT64_C(2080376079),	// QVSTFSXA
 1404     UINT64_C(2080376074),	// QVSTFSXI
 1405     UINT64_C(2080376075),	// QVSTFSXIA
 1406     UINT64_C(2080376078),	// QVSTFSXs
 1407     UINT64_C(0),	// RESTORE_CR
 1408     UINT64_C(0),	// RESTORE_CRBIT
 1409     UINT64_C(0),	// RESTORE_VRSAVE
 1410     UINT64_C(1275068518),	// RFCI
 1411     UINT64_C(1275068494),	// RFDI
 1412     UINT64_C(1275068708),	// RFEBB
 1413     UINT64_C(1275068516),	// RFI
 1414     UINT64_C(1275068452),	// RFID
 1415     UINT64_C(1275068492),	// RFMCI
 1416     UINT64_C(2013265936),	// RLDCL
 1417     UINT64_C(2013265937),	// RLDCLo
 1418     UINT64_C(2013265938),	// RLDCR
 1419     UINT64_C(2013265939),	// RLDCRo
 1420     UINT64_C(2013265928),	// RLDIC
 1421     UINT64_C(2013265920),	// RLDICL
 1422     UINT64_C(2013265920),	// RLDICL_32
 1423     UINT64_C(2013265920),	// RLDICL_32_64
 1424     UINT64_C(2013265921),	// RLDICL_32o
 1425     UINT64_C(2013265921),	// RLDICLo
 1426     UINT64_C(2013265924),	// RLDICR
 1427     UINT64_C(2013265924),	// RLDICR_32
 1428     UINT64_C(2013265925),	// RLDICRo
 1429     UINT64_C(2013265929),	// RLDICo
 1430     UINT64_C(2013265932),	// RLDIMI
 1431     UINT64_C(2013265933),	// RLDIMIo
 1432     UINT64_C(1342177280),	// RLWIMI
 1433     UINT64_C(1342177280),	// RLWIMI8
 1434     UINT64_C(1342177281),	// RLWIMI8o
 1435     UINT64_C(1342177281),	// RLWIMIo
 1436     UINT64_C(1409286144),	// RLWINM
 1437     UINT64_C(1409286144),	// RLWINM8
 1438     UINT64_C(1409286145),	// RLWINM8o
 1439     UINT64_C(1409286145),	// RLWINMo
 1440     UINT64_C(1543503872),	// RLWNM
 1441     UINT64_C(1543503872),	// RLWNM8
 1442     UINT64_C(1543503873),	// RLWNM8o
 1443     UINT64_C(1543503873),	// RLWNMo
 1444     UINT64_C(0),	// ReadTB
 1445     UINT64_C(1140850690),	// SC
 1446     UINT64_C(0),	// SELECT_CC_F16
 1447     UINT64_C(0),	// SELECT_CC_F4
 1448     UINT64_C(0),	// SELECT_CC_F8
 1449     UINT64_C(0),	// SELECT_CC_I4
 1450     UINT64_C(0),	// SELECT_CC_I8
 1451     UINT64_C(0),	// SELECT_CC_QBRC
 1452     UINT64_C(0),	// SELECT_CC_QFRC
 1453     UINT64_C(0),	// SELECT_CC_QSRC
 1454     UINT64_C(0),	// SELECT_CC_SPE
 1455     UINT64_C(0),	// SELECT_CC_SPE4
 1456     UINT64_C(0),	// SELECT_CC_VRRC
 1457     UINT64_C(0),	// SELECT_CC_VSFRC
 1458     UINT64_C(0),	// SELECT_CC_VSRC
 1459     UINT64_C(0),	// SELECT_CC_VSSRC
 1460     UINT64_C(0),	// SELECT_F16
 1461     UINT64_C(0),	// SELECT_F4
 1462     UINT64_C(0),	// SELECT_F8
 1463     UINT64_C(0),	// SELECT_I4
 1464     UINT64_C(0),	// SELECT_I8
 1465     UINT64_C(0),	// SELECT_QBRC
 1466     UINT64_C(0),	// SELECT_QFRC
 1467     UINT64_C(0),	// SELECT_QSRC
 1468     UINT64_C(0),	// SELECT_SPE
 1469     UINT64_C(0),	// SELECT_SPE4
 1470     UINT64_C(0),	// SELECT_VRRC
 1471     UINT64_C(0),	// SELECT_VSFRC
 1472     UINT64_C(0),	// SELECT_VSRC
 1473     UINT64_C(0),	// SELECT_VSSRC
 1474     UINT64_C(2080375040),	// SETB
 1475     UINT64_C(2080375040),	// SETB8
 1476     UINT64_C(0),	// SETRND
 1477     UINT64_C(0),	// SETRNDi
 1478     UINT64_C(2080376743),	// SLBFEEo
 1479     UINT64_C(2080375780),	// SLBIA
 1480     UINT64_C(2080375652),	// SLBIE
 1481     UINT64_C(2080375716),	// SLBIEG
 1482     UINT64_C(2080376614),	// SLBMFEE
 1483     UINT64_C(2080376486),	// SLBMFEV
 1484     UINT64_C(2080375588),	// SLBMTE
 1485     UINT64_C(2080375460),	// SLBSYNC
 1486     UINT64_C(2080374838),	// SLD
 1487     UINT64_C(2080374839),	// SLDo
 1488     UINT64_C(2080374832),	// SLW
 1489     UINT64_C(2080374832),	// SLW8
 1490     UINT64_C(2080374833),	// SLW8o
 1491     UINT64_C(2080374833),	// SLWo
 1492     UINT64_C(2147483648),	// SPELWZ
 1493     UINT64_C(2080374830),	// SPELWZX
 1494     UINT64_C(2415919104),	// SPESTW
 1495     UINT64_C(2080375086),	// SPESTWX
 1496     UINT64_C(0),	// SPILL_CR
 1497     UINT64_C(0),	// SPILL_CRBIT
 1498     UINT64_C(0),	// SPILL_VRSAVE
 1499     UINT64_C(2080376372),	// SRAD
 1500     UINT64_C(2080376436),	// SRADI
 1501     UINT64_C(2080376436),	// SRADI_32
 1502     UINT64_C(2080376437),	// SRADIo
 1503     UINT64_C(2080376373),	// SRADo
 1504     UINT64_C(2080376368),	// SRAW
 1505     UINT64_C(2080376432),	// SRAWI
 1506     UINT64_C(2080376433),	// SRAWIo
 1507     UINT64_C(2080376369),	// SRAWo
 1508     UINT64_C(2080375862),	// SRD
 1509     UINT64_C(2080375863),	// SRDo
 1510     UINT64_C(2080375856),	// SRW
 1511     UINT64_C(2080375856),	// SRW8
 1512     UINT64_C(2080375857),	// SRW8o
 1513     UINT64_C(2080375857),	// SRWo
 1514     UINT64_C(2550136832),	// STB
 1515     UINT64_C(2550136832),	// STB8
 1516     UINT64_C(2080376746),	// STBCIX
 1517     UINT64_C(2080376173),	// STBCX
 1518     UINT64_C(2080375230),	// STBEPX
 1519     UINT64_C(2617245696),	// STBU
 1520     UINT64_C(2617245696),	// STBU8
 1521     UINT64_C(2080375278),	// STBUX
 1522     UINT64_C(2080375278),	// STBUX8
 1523     UINT64_C(2080375214),	// STBX
 1524     UINT64_C(2080375214),	// STBX8
 1525     UINT64_C(2080375214),	// STBXTLS
 1526     UINT64_C(2080375214),	// STBXTLS_
 1527     UINT64_C(2080375214),	// STBXTLS_32
 1528     UINT64_C(4160749568),	// STD
 1529     UINT64_C(2080376268),	// STDAT
 1530     UINT64_C(2080376104),	// STDBRX
 1531     UINT64_C(2080376810),	// STDCIX
 1532     UINT64_C(2080375213),	// STDCX
 1533     UINT64_C(4160749569),	// STDU
 1534     UINT64_C(2080375146),	// STDUX
 1535     UINT64_C(2080375082),	// STDX
 1536     UINT64_C(2080375082),	// STDXTLS
 1537     UINT64_C(2080375082),	// STDXTLS_
 1538     UINT64_C(3623878656),	// STFD
 1539     UINT64_C(2080376254),	// STFDEPX
 1540     UINT64_C(3690987520),	// STFDU
 1541     UINT64_C(2080376302),	// STFDUX
 1542     UINT64_C(2080376238),	// STFDX
 1543     UINT64_C(2080376750),	// STFIWX
 1544     UINT64_C(3489660928),	// STFS
 1545     UINT64_C(3556769792),	// STFSU
 1546     UINT64_C(2080376174),	// STFSUX
 1547     UINT64_C(2080376110),	// STFSX
 1548     UINT64_C(2952790016),	// STH
 1549     UINT64_C(2952790016),	// STH8
 1550     UINT64_C(2080376620),	// STHBRX
 1551     UINT64_C(2080376682),	// STHCIX
 1552     UINT64_C(2080376237),	// STHCX
 1553     UINT64_C(2080375614),	// STHEPX
 1554     UINT64_C(3019898880),	// STHU
 1555     UINT64_C(3019898880),	// STHU8
 1556     UINT64_C(2080375662),	// STHUX
 1557     UINT64_C(2080375662),	// STHUX8
 1558     UINT64_C(2080375598),	// STHX
 1559     UINT64_C(2080375598),	// STHX8
 1560     UINT64_C(2080375598),	// STHXTLS
 1561     UINT64_C(2080375598),	// STHXTLS_
 1562     UINT64_C(2080375598),	// STHXTLS_32
 1563     UINT64_C(3154116608),	// STMW
 1564     UINT64_C(1275069156),	// STOP
 1565     UINT64_C(2080376234),	// STSWI
 1566     UINT64_C(2080375054),	// STVEBX
 1567     UINT64_C(2080375118),	// STVEHX
 1568     UINT64_C(2080375182),	// STVEWX
 1569     UINT64_C(2080375246),	// STVX
 1570     UINT64_C(2080375758),	// STVXL
 1571     UINT64_C(2415919104),	// STW
 1572     UINT64_C(2415919104),	// STW8
 1573     UINT64_C(2080376204),	// STWAT
 1574     UINT64_C(2080376108),	// STWBRX
 1575     UINT64_C(2080376618),	// STWCIX
 1576     UINT64_C(2080375085),	// STWCX
 1577     UINT64_C(2080375102),	// STWEPX
 1578     UINT64_C(2483027968),	// STWU
 1579     UINT64_C(2483027968),	// STWU8
 1580     UINT64_C(2080375150),	// STWUX
 1581     UINT64_C(2080375150),	// STWUX8
 1582     UINT64_C(2080375086),	// STWX
 1583     UINT64_C(2080375086),	// STWX8
 1584     UINT64_C(2080375086),	// STWXTLS
 1585     UINT64_C(2080375086),	// STWXTLS_
 1586     UINT64_C(2080375086),	// STWXTLS_32
 1587     UINT64_C(4093640706),	// STXSD
 1588     UINT64_C(2080376216),	// STXSDX
 1589     UINT64_C(2080376602),	// STXSIBX
 1590     UINT64_C(2080376602),	// STXSIBXv
 1591     UINT64_C(2080376666),	// STXSIHX
 1592     UINT64_C(2080376666),	// STXSIHXv
 1593     UINT64_C(2080375064),	// STXSIWX
 1594     UINT64_C(4093640707),	// STXSSP
 1595     UINT64_C(2080376088),	// STXSSPX
 1596     UINT64_C(4093640709),	// STXV
 1597     UINT64_C(2080376792),	// STXVB16X
 1598     UINT64_C(2080376728),	// STXVD2X
 1599     UINT64_C(2080376664),	// STXVH8X
 1600     UINT64_C(2080375578),	// STXVL
 1601     UINT64_C(2080375642),	// STXVLL
 1602     UINT64_C(2080376600),	// STXVW4X
 1603     UINT64_C(2080375576),	// STXVX
 1604     UINT64_C(2080374864),	// SUBF
 1605     UINT64_C(2080374864),	// SUBF8
 1606     UINT64_C(2080374865),	// SUBF8o
 1607     UINT64_C(2080374800),	// SUBFC
 1608     UINT64_C(2080374800),	// SUBFC8
 1609     UINT64_C(2080374801),	// SUBFC8o
 1610     UINT64_C(2080374801),	// SUBFCo
 1611     UINT64_C(2080375056),	// SUBFE
 1612     UINT64_C(2080375056),	// SUBFE8
 1613     UINT64_C(2080375057),	// SUBFE8o
 1614     UINT64_C(2080375057),	// SUBFEo
 1615     UINT64_C(536870912),	// SUBFIC
 1616     UINT64_C(536870912),	// SUBFIC8
 1617     UINT64_C(2080375248),	// SUBFME
 1618     UINT64_C(2080375248),	// SUBFME8
 1619     UINT64_C(2080375249),	// SUBFME8o
 1620     UINT64_C(2080375249),	// SUBFMEo
 1621     UINT64_C(2080375184),	// SUBFZE
 1622     UINT64_C(2080375184),	// SUBFZE8
 1623     UINT64_C(2080375185),	// SUBFZE8o
 1624     UINT64_C(2080375185),	// SUBFZEo
 1625     UINT64_C(2080374865),	// SUBFo
 1626     UINT64_C(2080375980),	// SYNC
 1627     UINT64_C(2080376605),	// TABORT
 1628     UINT64_C(2080376413),	// TABORTDC
 1629     UINT64_C(2080376541),	// TABORTDCI
 1630     UINT64_C(2080376349),	// TABORTWC
 1631     UINT64_C(2080376477),	// TABORTWCI
 1632     UINT64_C(1207959552),	// TAILB
 1633     UINT64_C(1207959552),	// TAILB8
 1634     UINT64_C(1207959552),	// TAILBA
 1635     UINT64_C(1207959552),	// TAILBA8
 1636     UINT64_C(1317012512),	// TAILBCTR
 1637     UINT64_C(1317012512),	// TAILBCTR8
 1638     UINT64_C(2080376093),	// TBEGIN
 1639     UINT64_C(0),	// TBEGIN_RET
 1640     UINT64_C(2080376220),	// TCHECK
 1641     UINT64_C(0),	// TCHECK_RET
 1642     UINT64_C(0),	// TCRETURNai
 1643     UINT64_C(0),	// TCRETURNai8
 1644     UINT64_C(0),	// TCRETURNdi
 1645     UINT64_C(0),	// TCRETURNdi8
 1646     UINT64_C(0),	// TCRETURNri
 1647     UINT64_C(0),	// TCRETURNri8
 1648     UINT64_C(2080374920),	// TD
 1649     UINT64_C(134217728),	// TDI
 1650     UINT64_C(2080376157),	// TEND
 1651     UINT64_C(2080375524),	// TLBIA
 1652     UINT64_C(2080375396),	// TLBIE
 1653     UINT64_C(2080375332),	// TLBIEL
 1654     UINT64_C(2080376356),	// TLBIVAX
 1655     UINT64_C(2080376740),	// TLBLD
 1656     UINT64_C(2080376804),	// TLBLI
 1657     UINT64_C(2080376676),	// TLBRE
 1658     UINT64_C(2080376676),	// TLBRE2
 1659     UINT64_C(2080376612),	// TLBSX
 1660     UINT64_C(2080376612),	// TLBSX2
 1661     UINT64_C(2080376613),	// TLBSX2D
 1662     UINT64_C(2080375916),	// TLBSYNC
 1663     UINT64_C(2080376740),	// TLBWE
 1664     UINT64_C(2080376740),	// TLBWE2
 1665     UINT64_C(2145386504),	// TRAP
 1666     UINT64_C(2080376797),	// TRECHKPT
 1667     UINT64_C(2080376669),	// TRECLAIM
 1668     UINT64_C(2080376285),	// TSR
 1669     UINT64_C(2080374792),	// TW
 1670     UINT64_C(201326592),	// TWI
 1671     UINT64_C(0),	// UPDATE_VRSAVE
 1672     UINT64_C(0),	// UpdateGBR
 1673     UINT64_C(268436483),	// VABSDUB
 1674     UINT64_C(268436547),	// VABSDUH
 1675     UINT64_C(268436611),	// VABSDUW
 1676     UINT64_C(268435776),	// VADDCUQ
 1677     UINT64_C(268435840),	// VADDCUW
 1678     UINT64_C(268435517),	// VADDECUQ
 1679     UINT64_C(268435516),	// VADDEUQM
 1680     UINT64_C(268435466),	// VADDFP
 1681     UINT64_C(268436224),	// VADDSBS
 1682     UINT64_C(268436288),	// VADDSHS
 1683     UINT64_C(268436352),	// VADDSWS
 1684     UINT64_C(268435456),	// VADDUBM
 1685     UINT64_C(268435968),	// VADDUBS
 1686     UINT64_C(268435648),	// VADDUDM
 1687     UINT64_C(268435520),	// VADDUHM
 1688     UINT64_C(268436032),	// VADDUHS
 1689     UINT64_C(268435712),	// VADDUQM
 1690     UINT64_C(268435584),	// VADDUWM
 1691     UINT64_C(268436096),	// VADDUWS
 1692     UINT64_C(268436484),	// VAND
 1693     UINT64_C(268436548),	// VANDC
 1694     UINT64_C(268436738),	// VAVGSB
 1695     UINT64_C(268436802),	// VAVGSH
 1696     UINT64_C(268436866),	// VAVGSW
 1697     UINT64_C(268436482),	// VAVGUB
 1698     UINT64_C(268436546),	// VAVGUH
 1699     UINT64_C(268436610),	// VAVGUW
 1700     UINT64_C(268436940),	// VBPERMD
 1701     UINT64_C(268436812),	// VBPERMQ
 1702     UINT64_C(268436298),	// VCFSX
 1703     UINT64_C(268436298),	// VCFSX_0
 1704     UINT64_C(268436234),	// VCFUX
 1705     UINT64_C(268436234),	// VCFUX_0
 1706     UINT64_C(268436744),	// VCIPHER
 1707     UINT64_C(268436745),	// VCIPHERLAST
 1708     UINT64_C(268437250),	// VCLZB
 1709     UINT64_C(268437442),	// VCLZD
 1710     UINT64_C(268437314),	// VCLZH
 1711     UINT64_C(268436994),	// VCLZLSBB
 1712     UINT64_C(268437378),	// VCLZW
 1713     UINT64_C(268436422),	// VCMPBFP
 1714     UINT64_C(268437446),	// VCMPBFPo
 1715     UINT64_C(268435654),	// VCMPEQFP
 1716     UINT64_C(268436678),	// VCMPEQFPo
 1717     UINT64_C(268435462),	// VCMPEQUB
 1718     UINT64_C(268436486),	// VCMPEQUBo
 1719     UINT64_C(268435655),	// VCMPEQUD
 1720     UINT64_C(268436679),	// VCMPEQUDo
 1721     UINT64_C(268435526),	// VCMPEQUH
 1722     UINT64_C(268436550),	// VCMPEQUHo
 1723     UINT64_C(268435590),	// VCMPEQUW
 1724     UINT64_C(268436614),	// VCMPEQUWo
 1725     UINT64_C(268435910),	// VCMPGEFP
 1726     UINT64_C(268436934),	// VCMPGEFPo
 1727     UINT64_C(268436166),	// VCMPGTFP
 1728     UINT64_C(268437190),	// VCMPGTFPo
 1729     UINT64_C(268436230),	// VCMPGTSB
 1730     UINT64_C(268437254),	// VCMPGTSBo
 1731     UINT64_C(268436423),	// VCMPGTSD
 1732     UINT64_C(268437447),	// VCMPGTSDo
 1733     UINT64_C(268436294),	// VCMPGTSH
 1734     UINT64_C(268437318),	// VCMPGTSHo
 1735     UINT64_C(268436358),	// VCMPGTSW
 1736     UINT64_C(268437382),	// VCMPGTSWo
 1737     UINT64_C(268435974),	// VCMPGTUB
 1738     UINT64_C(268436998),	// VCMPGTUBo
 1739     UINT64_C(268436167),	// VCMPGTUD
 1740     UINT64_C(268437191),	// VCMPGTUDo
 1741     UINT64_C(268436038),	// VCMPGTUH
 1742     UINT64_C(268437062),	// VCMPGTUHo
 1743     UINT64_C(268436102),	// VCMPGTUW
 1744     UINT64_C(268437126),	// VCMPGTUWo
 1745     UINT64_C(268435463),	// VCMPNEB
 1746     UINT64_C(268436487),	// VCMPNEBo
 1747     UINT64_C(268435527),	// VCMPNEH
 1748     UINT64_C(268436551),	// VCMPNEHo
 1749     UINT64_C(268435591),	// VCMPNEW
 1750     UINT64_C(268436615),	// VCMPNEWo
 1751     UINT64_C(268435719),	// VCMPNEZB
 1752     UINT64_C(268436743),	// VCMPNEZBo
 1753     UINT64_C(268435783),	// VCMPNEZH
 1754     UINT64_C(268436807),	// VCMPNEZHo
 1755     UINT64_C(268435847),	// VCMPNEZW
 1756     UINT64_C(268436871),	// VCMPNEZWo
 1757     UINT64_C(268436426),	// VCTSXS
 1758     UINT64_C(268436426),	// VCTSXS_0
 1759     UINT64_C(268436362),	// VCTUXS
 1760     UINT64_C(268436362),	// VCTUXS_0
 1761     UINT64_C(270272002),	// VCTZB
 1762     UINT64_C(270468610),	// VCTZD
 1763     UINT64_C(270337538),	// VCTZH
 1764     UINT64_C(268502530),	// VCTZLSBB
 1765     UINT64_C(270403074),	// VCTZW
 1766     UINT64_C(268437124),	// VEQV
 1767     UINT64_C(268435850),	// VEXPTEFP
 1768     UINT64_C(268436173),	// VEXTRACTD
 1769     UINT64_C(268435981),	// VEXTRACTUB
 1770     UINT64_C(268436045),	// VEXTRACTUH
 1771     UINT64_C(268436109),	// VEXTRACTUW
 1772     UINT64_C(270009858),	// VEXTSB2D
 1773     UINT64_C(270009858),	// VEXTSB2Ds
 1774     UINT64_C(269485570),	// VEXTSB2W
 1775     UINT64_C(269485570),	// VEXTSB2Ws
 1776     UINT64_C(270075394),	// VEXTSH2D
 1777     UINT64_C(270075394),	// VEXTSH2Ds
 1778     UINT64_C(269551106),	// VEXTSH2W
 1779     UINT64_C(269551106),	// VEXTSH2Ws
 1780     UINT64_C(270140930),	// VEXTSW2D
 1781     UINT64_C(270140930),	// VEXTSW2Ds
 1782     UINT64_C(268437005),	// VEXTUBLX
 1783     UINT64_C(268437261),	// VEXTUBRX
 1784     UINT64_C(268437069),	// VEXTUHLX
 1785     UINT64_C(268437325),	// VEXTUHRX
 1786     UINT64_C(268437133),	// VEXTUWLX
 1787     UINT64_C(268437389),	// VEXTUWRX
 1788     UINT64_C(268436748),	// VGBBD
 1789     UINT64_C(268436237),	// VINSERTB
 1790     UINT64_C(268436429),	// VINSERTD
 1791     UINT64_C(268436301),	// VINSERTH
 1792     UINT64_C(268436365),	// VINSERTW
 1793     UINT64_C(268435914),	// VLOGEFP
 1794     UINT64_C(268435502),	// VMADDFP
 1795     UINT64_C(268436490),	// VMAXFP
 1796     UINT64_C(268435714),	// VMAXSB
 1797     UINT64_C(268435906),	// VMAXSD
 1798     UINT64_C(268435778),	// VMAXSH
 1799     UINT64_C(268435842),	// VMAXSW
 1800     UINT64_C(268435458),	// VMAXUB
 1801     UINT64_C(268435650),	// VMAXUD
 1802     UINT64_C(268435522),	// VMAXUH
 1803     UINT64_C(268435586),	// VMAXUW
 1804     UINT64_C(268435488),	// VMHADDSHS
 1805     UINT64_C(268435489),	// VMHRADDSHS
 1806     UINT64_C(268436554),	// VMINFP
 1807     UINT64_C(268436226),	// VMINSB
 1808     UINT64_C(268436418),	// VMINSD
 1809     UINT64_C(268436290),	// VMINSH
 1810     UINT64_C(268436354),	// VMINSW
 1811     UINT64_C(268435970),	// VMINUB
 1812     UINT64_C(268436162),	// VMINUD
 1813     UINT64_C(268436034),	// VMINUH
 1814     UINT64_C(268436098),	// VMINUW
 1815     UINT64_C(268435490),	// VMLADDUHM
 1816     UINT64_C(268437388),	// VMRGEW
 1817     UINT64_C(268435468),	// VMRGHB
 1818     UINT64_C(268435532),	// VMRGHH
 1819     UINT64_C(268435596),	// VMRGHW
 1820     UINT64_C(268435724),	// VMRGLB
 1821     UINT64_C(268435788),	// VMRGLH
 1822     UINT64_C(268435852),	// VMRGLW
 1823     UINT64_C(268437132),	// VMRGOW
 1824     UINT64_C(268435493),	// VMSUMMBM
 1825     UINT64_C(268435496),	// VMSUMSHM
 1826     UINT64_C(268435497),	// VMSUMSHS
 1827     UINT64_C(268435492),	// VMSUMUBM
 1828     UINT64_C(268435494),	// VMSUMUHM
 1829     UINT64_C(268435495),	// VMSUMUHS
 1830     UINT64_C(268435457),	// VMUL10CUQ
 1831     UINT64_C(268435521),	// VMUL10ECUQ
 1832     UINT64_C(268436033),	// VMUL10EUQ
 1833     UINT64_C(268435969),	// VMUL10UQ
 1834     UINT64_C(268436232),	// VMULESB
 1835     UINT64_C(268436296),	// VMULESH
 1836     UINT64_C(268436360),	// VMULESW
 1837     UINT64_C(268435976),	// VMULEUB
 1838     UINT64_C(268436040),	// VMULEUH
 1839     UINT64_C(268436104),	// VMULEUW
 1840     UINT64_C(268435720),	// VMULOSB
 1841     UINT64_C(268435784),	// VMULOSH
 1842     UINT64_C(268435848),	// VMULOSW
 1843     UINT64_C(268435464),	// VMULOUB
 1844     UINT64_C(268435528),	// VMULOUH
 1845     UINT64_C(268435592),	// VMULOUW
 1846     UINT64_C(268435593),	// VMULUWM
 1847     UINT64_C(268436868),	// VNAND
 1848     UINT64_C(268436808),	// VNCIPHER
 1849     UINT64_C(268436809),	// VNCIPHERLAST
 1850     UINT64_C(268895746),	// VNEGD
 1851     UINT64_C(268830210),	// VNEGW
 1852     UINT64_C(268435503),	// VNMSUBFP
 1853     UINT64_C(268436740),	// VNOR
 1854     UINT64_C(268436612),	// VOR
 1855     UINT64_C(268436804),	// VORC
 1856     UINT64_C(268435499),	// VPERM
 1857     UINT64_C(268435515),	// VPERMR
 1858     UINT64_C(268435501),	// VPERMXOR
 1859     UINT64_C(268436238),	// VPKPX
 1860     UINT64_C(268436942),	// VPKSDSS
 1861     UINT64_C(268436814),	// VPKSDUS
 1862     UINT64_C(268435854),	// VPKSHSS
 1863     UINT64_C(268435726),	// VPKSHUS
 1864     UINT64_C(268435918),	// VPKSWSS
 1865     UINT64_C(268435790),	// VPKSWUS
 1866     UINT64_C(268436558),	// VPKUDUM
 1867     UINT64_C(268436686),	// VPKUDUS
 1868     UINT64_C(268435470),	// VPKUHUM
 1869     UINT64_C(268435598),	// VPKUHUS
 1870     UINT64_C(268435534),	// VPKUWUM
 1871     UINT64_C(268435662),	// VPKUWUS
 1872     UINT64_C(268436488),	// VPMSUMB
 1873     UINT64_C(268436680),	// VPMSUMD
 1874     UINT64_C(268436552),	// VPMSUMH
 1875     UINT64_C(268436616),	// VPMSUMW
 1876     UINT64_C(268437251),	// VPOPCNTB
 1877     UINT64_C(268437443),	// VPOPCNTD
 1878     UINT64_C(268437315),	// VPOPCNTH
 1879     UINT64_C(268437379),	// VPOPCNTW
 1880     UINT64_C(269026818),	// VPRTYBD
 1881     UINT64_C(269092354),	// VPRTYBQ
 1882     UINT64_C(268961282),	// VPRTYBW
 1883     UINT64_C(268435722),	// VREFP
 1884     UINT64_C(268436170),	// VRFIM
 1885     UINT64_C(268435978),	// VRFIN
 1886     UINT64_C(268436106),	// VRFIP
 1887     UINT64_C(268436042),	// VRFIZ
 1888     UINT64_C(268435460),	// VRLB
 1889     UINT64_C(268435652),	// VRLD
 1890     UINT64_C(268435653),	// VRLDMI
 1891     UINT64_C(268435909),	// VRLDNM
 1892     UINT64_C(268435524),	// VRLH
 1893     UINT64_C(268435588),	// VRLW
 1894     UINT64_C(268435589),	// VRLWMI
 1895     UINT64_C(268435845),	// VRLWNM
 1896     UINT64_C(268435786),	// VRSQRTEFP
 1897     UINT64_C(268436936),	// VSBOX
 1898     UINT64_C(268435498),	// VSEL
 1899     UINT64_C(268437186),	// VSHASIGMAD
 1900     UINT64_C(268437122),	// VSHASIGMAW
 1901     UINT64_C(268435908),	// VSL
 1902     UINT64_C(268435716),	// VSLB
 1903     UINT64_C(268436932),	// VSLD
 1904     UINT64_C(268435500),	// VSLDOI
 1905     UINT64_C(268435780),	// VSLH
 1906     UINT64_C(268436492),	// VSLO
 1907     UINT64_C(268437316),	// VSLV
 1908     UINT64_C(268435844),	// VSLW
 1909     UINT64_C(268435980),	// VSPLTB
 1910     UINT64_C(268435980),	// VSPLTBs
 1911     UINT64_C(268436044),	// VSPLTH
 1912     UINT64_C(268436044),	// VSPLTHs
 1913     UINT64_C(268436236),	// VSPLTISB
 1914     UINT64_C(268436300),	// VSPLTISH
 1915     UINT64_C(268436364),	// VSPLTISW
 1916     UINT64_C(268436108),	// VSPLTW
 1917     UINT64_C(268436164),	// VSR
 1918     UINT64_C(268436228),	// VSRAB
 1919     UINT64_C(268436420),	// VSRAD
 1920     UINT64_C(268436292),	// VSRAH
 1921     UINT64_C(268436356),	// VSRAW
 1922     UINT64_C(268435972),	// VSRB
 1923     UINT64_C(268437188),	// VSRD
 1924     UINT64_C(268436036),	// VSRH
 1925     UINT64_C(268436556),	// VSRO
 1926     UINT64_C(268437252),	// VSRV
 1927     UINT64_C(268436100),	// VSRW
 1928     UINT64_C(268436800),	// VSUBCUQ
 1929     UINT64_C(268436864),	// VSUBCUW
 1930     UINT64_C(268435519),	// VSUBECUQ
 1931     UINT64_C(268435518),	// VSUBEUQM
 1932     UINT64_C(268435530),	// VSUBFP
 1933     UINT64_C(268437248),	// VSUBSBS
 1934     UINT64_C(268437312),	// VSUBSHS
 1935     UINT64_C(268437376),	// VSUBSWS
 1936     UINT64_C(268436480),	// VSUBUBM
 1937     UINT64_C(268436992),	// VSUBUBS
 1938     UINT64_C(268436672),	// VSUBUDM
 1939     UINT64_C(268436544),	// VSUBUHM
 1940     UINT64_C(268437056),	// VSUBUHS
 1941     UINT64_C(268436736),	// VSUBUQM
 1942     UINT64_C(268436608),	// VSUBUWM
 1943     UINT64_C(268437120),	// VSUBUWS
 1944     UINT64_C(268437128),	// VSUM2SWS
 1945     UINT64_C(268437256),	// VSUM4SBS
 1946     UINT64_C(268437064),	// VSUM4SHS
 1947     UINT64_C(268437000),	// VSUM4UBS
 1948     UINT64_C(268437384),	// VSUMSWS
 1949     UINT64_C(268436302),	// VUPKHPX
 1950     UINT64_C(268435982),	// VUPKHSB
 1951     UINT64_C(268436046),	// VUPKHSH
 1952     UINT64_C(268437070),	// VUPKHSW
 1953     UINT64_C(268436430),	// VUPKLPX
 1954     UINT64_C(268436110),	// VUPKLSB
 1955     UINT64_C(268436174),	// VUPKLSH
 1956     UINT64_C(268437198),	// VUPKLSW
 1957     UINT64_C(268436676),	// VXOR
 1958     UINT64_C(268436676),	// V_SET0
 1959     UINT64_C(268436676),	// V_SET0B
 1960     UINT64_C(268436676),	// V_SET0H
 1961     UINT64_C(270467980),	// V_SETALLONES
 1962     UINT64_C(270467980),	// V_SETALLONESB
 1963     UINT64_C(270467980),	// V_SETALLONESH
 1964     UINT64_C(2080374844),	// WAIT
 1965     UINT64_C(2080375046),	// WRTEE
 1966     UINT64_C(2080375110),	// WRTEEI
 1967     UINT64_C(2080375416),	// XOR
 1968     UINT64_C(2080375416),	// XOR8
 1969     UINT64_C(2080375417),	// XOR8o
 1970     UINT64_C(1744830464),	// XORI
 1971     UINT64_C(1744830464),	// XORI8
 1972     UINT64_C(1811939328),	// XORIS
 1973     UINT64_C(1811939328),	// XORIS8
 1974     UINT64_C(2080375417),	// XORo
 1975     UINT64_C(4026533220),	// XSABSDP
 1976     UINT64_C(4227860040),	// XSABSQP
 1977     UINT64_C(4026532096),	// XSADDDP
 1978     UINT64_C(4227858440),	// XSADDQP
 1979     UINT64_C(4227858441),	// XSADDQPO
 1980     UINT64_C(4026531840),	// XSADDSP
 1981     UINT64_C(4026531864),	// XSCMPEQDP
 1982     UINT64_C(4026532312),	// XSCMPEXPDP
 1983     UINT64_C(4227858760),	// XSCMPEXPQP
 1984     UINT64_C(4026531992),	// XSCMPGEDP
 1985     UINT64_C(4026531928),	// XSCMPGTDP
 1986     UINT64_C(4026532184),	// XSCMPODP
 1987     UINT64_C(4227858696),	// XSCMPOQP
 1988     UINT64_C(4026532120),	// XSCMPUDP
 1989     UINT64_C(4227859720),	// XSCMPUQP
 1990     UINT64_C(4026533248),	// XSCPSGNDP
 1991     UINT64_C(4227858632),	// XSCPSGNQP
 1992     UINT64_C(4027647340),	// XSCVDPHP
 1993     UINT64_C(4229301896),	// XSCVDPQP
 1994     UINT64_C(4026532900),	// XSCVDPSP
 1995     UINT64_C(4026532908),	// XSCVDPSPN
 1996     UINT64_C(4026533216),	// XSCVDPSXDS
 1997     UINT64_C(4026533216),	// XSCVDPSXDSs
 1998     UINT64_C(4026532192),	// XSCVDPSXWS
 1999     UINT64_C(4026532192),	// XSCVDPSXWSs
 2000     UINT64_C(4026533152),	// XSCVDPUXDS
 2001     UINT64_C(4026533152),	// XSCVDPUXDSs
 2002     UINT64_C(4026532128),	// XSCVDPUXWS
 2003     UINT64_C(4026532128),	// XSCVDPUXWSs
 2004     UINT64_C(4027581804),	// XSCVHPDP
 2005     UINT64_C(4229170824),	// XSCVQPDP
 2006     UINT64_C(4229170825),	// XSCVQPDPO
 2007     UINT64_C(4229498504),	// XSCVQPSDZ
 2008     UINT64_C(4228449928),	// XSCVQPSWZ
 2009     UINT64_C(4228974216),	// XSCVQPUDZ
 2010     UINT64_C(4227925640),	// XSCVQPUWZ
 2011     UINT64_C(4228515464),	// XSCVSDQP
 2012     UINT64_C(4026533156),	// XSCVSPDP
 2013     UINT64_C(4026533164),	// XSCVSPDPN
 2014     UINT64_C(4026533344),	// XSCVSXDDP
 2015     UINT64_C(4026533088),	// XSCVSXDSP
 2016     UINT64_C(4227991176),	// XSCVUDQP
 2017     UINT64_C(4026533280),	// XSCVUXDDP
 2018     UINT64_C(4026533024),	// XSCVUXDSP
 2019     UINT64_C(4026532288),	// XSDIVDP
 2020     UINT64_C(4227859528),	// XSDIVQP
 2021     UINT64_C(4227859529),	// XSDIVQPO
 2022     UINT64_C(4026532032),	// XSDIVSP
 2023     UINT64_C(4026533676),	// XSIEXPDP
 2024     UINT64_C(4227860168),	// XSIEXPQP
 2025     UINT64_C(4026532104),	// XSMADDADP
 2026     UINT64_C(4026531848),	// XSMADDASP
 2027     UINT64_C(4026532168),	// XSMADDMDP
 2028     UINT64_C(4026531912),	// XSMADDMSP
 2029     UINT64_C(4227859208),	// XSMADDQP
 2030     UINT64_C(4227859209),	// XSMADDQPO
 2031     UINT64_C(4026532864),	// XSMAXCDP
 2032     UINT64_C(4026533120),	// XSMAXDP
 2033     UINT64_C(4026532992),	// XSMAXJDP
 2034     UINT64_C(4026532928),	// XSMINCDP
 2035     UINT64_C(4026533184),	// XSMINDP
 2036     UINT64_C(4026533056),	// XSMINJDP
 2037     UINT64_C(4026532232),	// XSMSUBADP
 2038     UINT64_C(4026531976),	// XSMSUBASP
 2039     UINT64_C(4026532296),	// XSMSUBMDP
 2040     UINT64_C(4026532040),	// XSMSUBMSP
 2041     UINT64_C(4227859272),	// XSMSUBQP
 2042     UINT64_C(4227859273),	// XSMSUBQPO
 2043     UINT64_C(4026532224),	// XSMULDP
 2044     UINT64_C(4227858504),	// XSMULQP
 2045     UINT64_C(4227858505),	// XSMULQPO
 2046     UINT64_C(4026531968),	// XSMULSP
 2047     UINT64_C(4026533284),	// XSNABSDP
 2048     UINT64_C(4228384328),	// XSNABSQP
 2049     UINT64_C(4026533348),	// XSNEGDP
 2050     UINT64_C(4228908616),	// XSNEGQP
 2051     UINT64_C(4026533128),	// XSNMADDADP
 2052     UINT64_C(4026532872),	// XSNMADDASP
 2053     UINT64_C(4026533192),	// XSNMADDMDP
 2054     UINT64_C(4026532936),	// XSNMADDMSP
 2055     UINT64_C(4227859336),	// XSNMADDQP
 2056     UINT64_C(4227859337),	// XSNMADDQPO
 2057     UINT64_C(4026533256),	// XSNMSUBADP
 2058     UINT64_C(4026533000),	// XSNMSUBASP
 2059     UINT64_C(4026533320),	// XSNMSUBMDP
 2060     UINT64_C(4026533064),	// XSNMSUBMSP
 2061     UINT64_C(4227859400),	// XSNMSUBQP
 2062     UINT64_C(4227859401),	// XSNMSUBQPO
 2063     UINT64_C(4026532132),	// XSRDPI
 2064     UINT64_C(4026532268),	// XSRDPIC
 2065     UINT64_C(4026532324),	// XSRDPIM
 2066     UINT64_C(4026532260),	// XSRDPIP
 2067     UINT64_C(4026532196),	// XSRDPIZ
 2068     UINT64_C(4026532200),	// XSREDP
 2069     UINT64_C(4026531944),	// XSRESP
 2070     UINT64_C(4227858442),	// XSRQPI
 2071     UINT64_C(4227858443),	// XSRQPIX
 2072     UINT64_C(4227858506),	// XSRQPXP
 2073     UINT64_C(4026532964),	// XSRSP
 2074     UINT64_C(4026532136),	// XSRSQRTEDP
 2075     UINT64_C(4026531880),	// XSRSQRTESP
 2076     UINT64_C(4026532140),	// XSSQRTDP
 2077     UINT64_C(4229629512),	// XSSQRTQP
 2078     UINT64_C(4229629513),	// XSSQRTQPO
 2079     UINT64_C(4026531884),	// XSSQRTSP
 2080     UINT64_C(4026532160),	// XSSUBDP
 2081     UINT64_C(4227859464),	// XSSUBQP
 2082     UINT64_C(4227859465),	// XSSUBQPO
 2083     UINT64_C(4026531904),	// XSSUBSP
 2084     UINT64_C(4026532328),	// XSTDIVDP
 2085     UINT64_C(4026532264),	// XSTSQRTDP
 2086     UINT64_C(4026533288),	// XSTSTDCDP
 2087     UINT64_C(4227859848),	// XSTSTDCQP
 2088     UINT64_C(4026533032),	// XSTSTDCSP
 2089     UINT64_C(4026533228),	// XSXEXPDP
 2090     UINT64_C(4227991112),	// XSXEXPQP
 2091     UINT64_C(4026598764),	// XSXSIGDP
 2092     UINT64_C(4229039688),	// XSXSIGQP
 2093     UINT64_C(4026533732),	// XVABSDP
 2094     UINT64_C(4026533476),	// XVABSSP
 2095     UINT64_C(4026532608),	// XVADDDP
 2096     UINT64_C(4026532352),	// XVADDSP
 2097     UINT64_C(4026532632),	// XVCMPEQDP
 2098     UINT64_C(4026533656),	// XVCMPEQDPo
 2099     UINT64_C(4026532376),	// XVCMPEQSP
 2100     UINT64_C(4026533400),	// XVCMPEQSPo
 2101     UINT64_C(4026532760),	// XVCMPGEDP
 2102     UINT64_C(4026533784),	// XVCMPGEDPo
 2103     UINT64_C(4026532504),	// XVCMPGESP
 2104     UINT64_C(4026533528),	// XVCMPGESPo
 2105     UINT64_C(4026532696),	// XVCMPGTDP
 2106     UINT64_C(4026533720),	// XVCMPGTDPo
 2107     UINT64_C(4026532440),	// XVCMPGTSP
 2108     UINT64_C(4026533464),	// XVCMPGTSPo
 2109     UINT64_C(4026533760),	// XVCPSGNDP
 2110     UINT64_C(4026533504),	// XVCPSGNSP
 2111     UINT64_C(4026533412),	// XVCVDPSP
 2112     UINT64_C(4026533728),	// XVCVDPSXDS
 2113     UINT64_C(4026532704),	// XVCVDPSXWS
 2114     UINT64_C(4026533664),	// XVCVDPUXDS
 2115     UINT64_C(4026532640),	// XVCVDPUXWS
 2116     UINT64_C(4028106604),	// XVCVHPSP
 2117     UINT64_C(4026533668),	// XVCVSPDP
 2118     UINT64_C(4028172140),	// XVCVSPHP
 2119     UINT64_C(4026533472),	// XVCVSPSXDS
 2120     UINT64_C(4026532448),	// XVCVSPSXWS
 2121     UINT64_C(4026533408),	// XVCVSPUXDS
 2122     UINT64_C(4026532384),	// XVCVSPUXWS
 2123     UINT64_C(4026533856),	// XVCVSXDDP
 2124     UINT64_C(4026533600),	// XVCVSXDSP
 2125     UINT64_C(4026532832),	// XVCVSXWDP
 2126     UINT64_C(4026532576),	// XVCVSXWSP
 2127     UINT64_C(4026533792),	// XVCVUXDDP
 2128     UINT64_C(4026533536),	// XVCVUXDSP
 2129     UINT64_C(4026532768),	// XVCVUXWDP
 2130     UINT64_C(4026532512),	// XVCVUXWSP
 2131     UINT64_C(4026532800),	// XVDIVDP
 2132     UINT64_C(4026532544),	// XVDIVSP
 2133     UINT64_C(4026533824),	// XVIEXPDP
 2134     UINT64_C(4026533568),	// XVIEXPSP
 2135     UINT64_C(4026532616),	// XVMADDADP
 2136     UINT64_C(4026532360),	// XVMADDASP
 2137     UINT64_C(4026532680),	// XVMADDMDP
 2138     UINT64_C(4026532424),	// XVMADDMSP
 2139     UINT64_C(4026533632),	// XVMAXDP
 2140     UINT64_C(4026533376),	// XVMAXSP
 2141     UINT64_C(4026533696),	// XVMINDP
 2142     UINT64_C(4026533440),	// XVMINSP
 2143     UINT64_C(4026532744),	// XVMSUBADP
 2144     UINT64_C(4026532488),	// XVMSUBASP
 2145     UINT64_C(4026532808),	// XVMSUBMDP
 2146     UINT64_C(4026532552),	// XVMSUBMSP
 2147     UINT64_C(4026532736),	// XVMULDP
 2148     UINT64_C(4026532480),	// XVMULSP
 2149     UINT64_C(4026533796),	// XVNABSDP
 2150     UINT64_C(4026533540),	// XVNABSSP
 2151     UINT64_C(4026533860),	// XVNEGDP
 2152     UINT64_C(4026533604),	// XVNEGSP
 2153     UINT64_C(4026533640),	// XVNMADDADP
 2154     UINT64_C(4026533384),	// XVNMADDASP
 2155     UINT64_C(4026533704),	// XVNMADDMDP
 2156     UINT64_C(4026533448),	// XVNMADDMSP
 2157     UINT64_C(4026533768),	// XVNMSUBADP
 2158     UINT64_C(4026533512),	// XVNMSUBASP
 2159     UINT64_C(4026533832),	// XVNMSUBMDP
 2160     UINT64_C(4026533576),	// XVNMSUBMSP
 2161     UINT64_C(4026532644),	// XVRDPI
 2162     UINT64_C(4026532780),	// XVRDPIC
 2163     UINT64_C(4026532836),	// XVRDPIM
 2164     UINT64_C(4026532772),	// XVRDPIP
 2165     UINT64_C(4026532708),	// XVRDPIZ
 2166     UINT64_C(4026532712),	// XVREDP
 2167     UINT64_C(4026532456),	// XVRESP
 2168     UINT64_C(4026532388),	// XVRSPI
 2169     UINT64_C(4026532524),	// XVRSPIC
 2170     UINT64_C(4026532580),	// XVRSPIM
 2171     UINT64_C(4026532516),	// XVRSPIP
 2172     UINT64_C(4026532452),	// XVRSPIZ
 2173     UINT64_C(4026532648),	// XVRSQRTEDP
 2174     UINT64_C(4026532392),	// XVRSQRTESP
 2175     UINT64_C(4026532652),	// XVSQRTDP
 2176     UINT64_C(4026532396),	// XVSQRTSP
 2177     UINT64_C(4026532672),	// XVSUBDP
 2178     UINT64_C(4026532416),	// XVSUBSP
 2179     UINT64_C(4026532840),	// XVTDIVDP
 2180     UINT64_C(4026532584),	// XVTDIVSP
 2181     UINT64_C(4026532776),	// XVTSQRTDP
 2182     UINT64_C(4026532520),	// XVTSQRTSP
 2183     UINT64_C(4026533800),	// XVTSTDCDP
 2184     UINT64_C(4026533544),	// XVTSTDCSP
 2185     UINT64_C(4026533740),	// XVXEXPDP
 2186     UINT64_C(4027058028),	// XVXEXPSP
 2187     UINT64_C(4026599276),	// XVXSIGDP
 2188     UINT64_C(4027123564),	// XVXSIGSP
 2189     UINT64_C(4028041068),	// XXBRD
 2190     UINT64_C(4026992492),	// XXBRH
 2191     UINT64_C(4028565356),	// XXBRQ
 2192     UINT64_C(4027516780),	// XXBRW
 2193     UINT64_C(4026532500),	// XXEXTRACTUW
 2194     UINT64_C(4026532564),	// XXINSERTW
 2195     UINT64_C(4026532880),	// XXLAND
 2196     UINT64_C(4026532944),	// XXLANDC
 2197     UINT64_C(4026533328),	// XXLEQV
 2198     UINT64_C(4026533328),	// XXLEQVOnes
 2199     UINT64_C(4026533264),	// XXLNAND
 2200     UINT64_C(4026533136),	// XXLNOR
 2201     UINT64_C(4026533008),	// XXLOR
 2202     UINT64_C(4026533200),	// XXLORC
 2203     UINT64_C(4026533008),	// XXLORf
 2204     UINT64_C(4026533072),	// XXLXOR
 2205     UINT64_C(4026533072),	// XXLXORdpz
 2206     UINT64_C(4026533072),	// XXLXORspz
 2207     UINT64_C(4026533072),	// XXLXORz
 2208     UINT64_C(4026531984),	// XXMRGHW
 2209     UINT64_C(4026532240),	// XXMRGLW
 2210     UINT64_C(4026532048),	// XXPERM
 2211     UINT64_C(4026531920),	// XXPERMDI
 2212     UINT64_C(4026531920),	// XXPERMDIs
 2213     UINT64_C(4026532304),	// XXPERMR
 2214     UINT64_C(4026531888),	// XXSEL
 2215     UINT64_C(4026531856),	// XXSLDWI
 2216     UINT64_C(4026531856),	// XXSLDWIs
 2217     UINT64_C(4026532560),	// XXSPLTIB
 2218     UINT64_C(4026532496),	// XXSPLTW
 2219     UINT64_C(4026532496),	// XXSPLTWs
 2220     UINT64_C(1073741824),	// gBC
 2221     UINT64_C(1073741826),	// gBCA
 2222     UINT64_C(1073741826),	// gBCAat
 2223     UINT64_C(1275069472),	// gBCCTR
 2224     UINT64_C(1275069473),	// gBCCTRL
 2225     UINT64_C(1073741825),	// gBCL
 2226     UINT64_C(1073741827),	// gBCLA
 2227     UINT64_C(1073741827),	// gBCLAat
 2228     UINT64_C(1275068448),	// gBCLR
 2229     UINT64_C(1275068449),	// gBCLRL
 2230     UINT64_C(1073741825),	// gBCLat
 2231     UINT64_C(1073741824),	// gBCat
 2232     UINT64_C(0)
 2443       op &= UINT64_C(1);
 2452       op &= UINT64_C(31);
 2474       op &= UINT64_C(31);
 2479       op &= UINT64_C(31);
 2493       op &= UINT64_C(31);
 2498       op &= UINT64_C(31);
 2503       Value |= (op & UINT64_C(31)) << 11;
 2504       Value |= (op & UINT64_C(32)) >> 4;
 2540       op &= UINT64_C(31);
 2545       op &= UINT64_C(31);
 2605       op &= UINT64_C(31);
 2610       op &= UINT64_C(31);
 2615       op &= UINT64_C(31);
 2644       op &= UINT64_C(31);
 2649       op &= UINT64_C(2097151);
 2665       op &= UINT64_C(31);
 2670       op &= UINT64_C(2097151);
 2680       op &= UINT64_C(31);
 2685       op &= UINT64_C(65535);
 2705       op &= UINT64_C(31);
 2710       op &= UINT64_C(31);
 2715       op &= UINT64_C(65535);
 2729       op &= UINT64_C(31);
 2734       op &= UINT64_C(2097151);
 2744       op &= UINT64_C(31);
 2763       op &= UINT64_C(31);
 2768       op &= UINT64_C(31);
 2773       op &= UINT64_C(65535);
 2791       op &= UINT64_C(16383);
 2813       op &= UINT64_C(16383);
 2822       op &= UINT64_C(7);
 2831       op &= UINT64_C(7);
 2836       op &= UINT64_C(7);
 2844       op &= UINT64_C(7);
 2849       op &= UINT64_C(127);
 2854       op &= UINT64_C(31);
 2863       op &= UINT64_C(7);
 2868       op &= UINT64_C(127);
 2873       Value |= (op & UINT64_C(31)) << 11;
 2874       Value |= (op & UINT64_C(32)) >> 4;
 2885       op &= UINT64_C(7);
 2890       op &= UINT64_C(31);
 2895       op &= UINT64_C(31);
 2903       op &= UINT64_C(7);
 2908       op &= UINT64_C(31);
 2917       op &= UINT64_C(7);
 2922       op &= UINT64_C(1);
 2927       op &= UINT64_C(31);
 2932       op &= UINT64_C(31);
 2943       op &= UINT64_C(7);
 2948       op &= UINT64_C(31);
 2953       op &= UINT64_C(65535);
 2964       op &= UINT64_C(7);
 2969       op &= UINT64_C(31);
 2974       op &= UINT64_C(31);
 2983       op &= UINT64_C(7);
 2988       op &= UINT64_C(1);
 2993       op &= UINT64_C(15);
 3012       op &= UINT64_C(31);
 3023       op &= UINT64_C(31);
 3028       op &= UINT64_C(16383);
 3041       Value |= (op & UINT64_C(31)) << 21;
 3042       Value |= (op & UINT64_C(96)) << 11;
 3045       op &= UINT64_C(7);
 3054       Value |= (op & UINT64_C(31)) << 21;
 3055       Value |= (op & UINT64_C(96)) << 11;
 3058       op &= UINT64_C(7);
 3063       op &= UINT64_C(16383);
 3073       Value |= (op & UINT64_C(31)) << 21;
 3074       Value |= (op & UINT64_C(96)) << 11;
 3077       op &= UINT64_C(7);
 3082       op &= UINT64_C(16383);
 3091       op &= UINT64_C(28);
 3096       op &= UINT64_C(3);
 3101       op &= UINT64_C(31);
 3106       op &= UINT64_C(16383);
 3115       op &= UINT64_C(28);
 3120       op &= UINT64_C(3);
 3125       op &= UINT64_C(31);
 3130       op &= UINT64_C(16383);
 3139       op &= UINT64_C(31);
 3144       op &= UINT64_C(31);
 3149       op &= UINT64_C(16383);
 3158       op &= UINT64_C(31);
 3163       op &= UINT64_C(31);
 3168       op &= UINT64_C(16383);
 3179       op &= UINT64_C(31);
 3184       op &= UINT64_C(31);
 3189       op &= UINT64_C(3);
 3202       op &= UINT64_C(7);
 3207       Value |= (op & UINT64_C(31)) << 16;
 3208       Value |= (op & UINT64_C(32)) >> 3;
 3211       Value |= (op & UINT64_C(31)) << 11;
 3212       Value |= (op & UINT64_C(32)) >> 4;
 3220       op &= UINT64_C(7);
 3225       Value |= (op & UINT64_C(31)) << 11;
 3226       Value |= (op & UINT64_C(32)) >> 4;
 3233       Value |= (op & UINT64_C(31)) << 21;
 3234       Value |= (op & UINT64_C(31)) << 16;
 3235       Value |= (op & UINT64_C(31)) << 11;
 3248       op &= UINT64_C(31);
 3253       op &= UINT64_C(31);
 3258       op &= UINT64_C(31);
 3269       op &= UINT64_C(15);
 3274       op &= UINT64_C(31);
 3279       op &= UINT64_C(31);
 3287       op &= UINT64_C(524287);
 3295       op &= UINT64_C(1);
 3303       op &= UINT64_C(255);
 3308       op &= UINT64_C(31);
 3317       op &= UINT64_C(31);
 3357       op &= UINT64_C(31);
 3362       op &= UINT64_C(31);
 3367       op &= UINT64_C(31);
 3377       op &= UINT64_C(31);
 3382       op &= UINT64_C(31);
 3387       op &= UINT64_C(31);
 3392       op &= UINT64_C(3);
 3402       op &= UINT64_C(31);
 3407       op &= UINT64_C(31);
 3412       op &= UINT64_C(31);
 3417       op &= UINT64_C(15);
 3433       op &= UINT64_C(31);
 3438       op &= UINT64_C(31);
 3443       op &= UINT64_C(31);
 3470       op &= UINT64_C(31);
 3475       op &= UINT64_C(31);
 3480       op &= UINT64_C(31);
 3485       op &= UINT64_C(31);
 3518       op &= UINT64_C(31);
 3523       op &= UINT64_C(31);
 3528       op &= UINT64_C(31);
 3533       op &= UINT64_C(31);
 3543       op &= UINT64_C(31);
 3548       op &= UINT64_C(31);
 3553       op &= UINT64_C(3);
 3599       op &= UINT64_C(31);
 3604       op &= UINT64_C(31);
 3612       op &= UINT64_C(31);
 3617       op &= UINT64_C(4095);
 3626       op &= UINT64_C(255);
 3631       op &= UINT64_C(31);
 3639       op &= UINT64_C(1);
 3648       op &= UINT64_C(3);
 3661       op &= UINT64_C(1);
 3666       op &= UINT64_C(31);
 3671       op &= UINT64_C(31);
 3680       op &= UINT64_C(1);
 3685       op &= UINT64_C(255);
 3690       op &= UINT64_C(1);
 3695       op &= UINT64_C(31);
 3703       op &= UINT64_C(127);
 3715       op &= UINT64_C(16777215);
 3723       op &= UINT64_C(16777215);
 3735       op &= UINT64_C(16777215);
 3744       op &= UINT64_C(16777215);
 3754       op &= UINT64_C(16777215);
 3762       op &= UINT64_C(16777215);
 3770       op &= UINT64_C(31);
 3778       op &= UINT64_C(1);
 3793       op &= UINT64_C(31);
 3798       op &= UINT64_C(31);
 3803       op &= UINT64_C(31);
 3808       op &= UINT64_C(31);
 3813       op &= UINT64_C(31);
 3824       op &= UINT64_C(31);
 3829       op &= UINT64_C(31);
 3834       op &= UINT64_C(31);
 3839       Value |= (op & UINT64_C(31)) << 6;
 3840       Value |= (op & UINT64_C(32));
 3855       op &= UINT64_C(31);
 3860       op &= UINT64_C(31);
 3865       Value |= (op & UINT64_C(31)) << 11;
 3866       Value |= (op & UINT64_C(32)) >> 4;
 3869       Value |= (op & UINT64_C(31)) << 6;
 3870       Value |= (op & UINT64_C(32));
 3879       op &= UINT64_C(31);
 3884       op &= UINT64_C(31);
 3889       op &= UINT64_C(31);
 3894       op &= UINT64_C(31);
 3899       op &= UINT64_C(31);
 3908       op &= UINT64_C(31);
 3913       op &= UINT64_C(31);
 3918       Value |= (op & UINT64_C(31)) << 11;
 3919       Value |= (op & UINT64_C(32)) >> 4;
 3922       Value |= (op & UINT64_C(31)) << 6;
 3923       Value |= (op & UINT64_C(32));
 3949       op &= UINT64_C(31);
 3954       op &= UINT64_C(31);
 3962       op &= UINT64_C(31);
 3971       op &= UINT64_C(31);
 3976       op &= UINT64_C(1);
 3985       op &= UINT64_C(31);
 3990       op &= UINT64_C(31);
 3999       op &= UINT64_C(31);
 4004       op &= UINT64_C(15);
 4016       op &= UINT64_C(31);
 4191       op &= UINT64_C(31);
 4196       op &= UINT64_C(31);
 4201       op &= UINT64_C(31);
 4230       op &= UINT64_C(31);
 4235       op &= UINT64_C(31);
 4240       op &= UINT64_C(31);
 4249       op &= UINT64_C(31);
 4254       op &= UINT64_C(31);
 4259       op &= UINT64_C(1);
 4288       op &= UINT64_C(31);
 4293       op &= UINT64_C(31);
 4298       op &= UINT64_C(31);
 4394       op &= UINT64_C(31);
 4399       op &= UINT64_C(31);
 4407       op &= UINT64_C(31);
 4412       op &= UINT64_C(7);
 4427       op &= UINT64_C(31);
 4432       op &= UINT64_C(524287);
 4440       op &= UINT64_C(31);
 4445       op &= UINT64_C(524287);
 4454       op &= UINT64_C(31);
 4459       op &= UINT64_C(31);
 4467       op &= UINT64_C(31);
 4472       op &= UINT64_C(3);
 4491       op &= UINT64_C(31);
 4496       op &= UINT64_C(31);
 4501       op &= UINT64_C(31);
 4509       op &= UINT64_C(31);
 4514       op &= UINT64_C(524287);
 4538       op &= UINT64_C(31);
 4547       op &= UINT64_C(31);
 4552       op &= UINT64_C(7);
 4560       op &= UINT64_C(31);
 4565       Value |= (op & UINT64_C(62)) << 15;
 4566       Value |= (op & UINT64_C(65472));
 4567       Value |= (op & UINT64_C(1));
 4575       op &= UINT64_C(31);
 4580       Value |= (op & UINT64_C(1)) << 20;
 4581       Value |= (op & UINT64_C(2)) << 18;
 4582       Value |= (op & UINT64_C(4)) << 16;
 4583       Value |= (op & UINT64_C(8)) << 14;
 4584       Value |= (op & UINT64_C(16)) << 12;
 4585       Value |= (op & UINT64_C(32)) << 10;
 4586       Value |= (op & UINT64_C(64)) << 8;
 4587       Value |= (op & UINT64_C(128)) << 6;
 4588       Value |= (op & UINT64_C(256)) << 4;
 4589       Value |= (op & UINT64_C(512)) << 2;
 4603       op &= UINT64_C(31);
 4608       Value |= (op & UINT64_C(1)) << 20;
 4609       Value |= (op & UINT64_C(2)) << 18;
 4610       Value |= (op & UINT64_C(4)) << 16;
 4611       Value |= (op & UINT64_C(8)) << 14;
 4612       Value |= (op & UINT64_C(16)) << 12;
 4613       Value |= (op & UINT64_C(32)) << 10;
 4614       Value |= (op & UINT64_C(64)) << 8;
 4615       Value |= (op & UINT64_C(128)) << 6;
 4616       Value |= (op & UINT64_C(256)) << 4;
 4617       Value |= (op & UINT64_C(512)) << 2;
 4628       op &= UINT64_C(31);
 4633       Value |= (op & UINT64_C(1)) << 20;
 4634       Value |= (op & UINT64_C(2)) << 18;
 4635       Value |= (op & UINT64_C(4)) << 16;
 4636       Value |= (op & UINT64_C(8)) << 14;
 4637       Value |= (op & UINT64_C(16)) << 12;
 4638       Value |= (op & UINT64_C(32)) << 10;
 4639       Value |= (op & UINT64_C(64)) << 8;
 4640       Value |= (op & UINT64_C(128)) << 6;
 4641       Value |= (op & UINT64_C(256)) << 4;
 4642       Value |= (op & UINT64_C(512)) << 2;
 4648       op &= UINT64_C(31);
 4653       op &= UINT64_C(1023);
 4661       op &= UINT64_C(31);
 4666       op &= UINT64_C(3);
 4720       op &= UINT64_C(31);
 4725       op &= UINT64_C(31);
 4927       op &= UINT64_C(31);
 4932       op &= UINT64_C(31);
 4937       op &= UINT64_C(31);
 4946       op &= UINT64_C(31);
 4951       op &= UINT64_C(31);
 4956       op &= UINT64_C(31);
 4961       op &= UINT64_C(31);
 4971       op &= UINT64_C(31);
 4976       op &= UINT64_C(31);
 4981       op &= UINT64_C(31);
 5025       op &= UINT64_C(31);
 5030       op &= UINT64_C(31);
 5043       op &= UINT64_C(31);
 5048       Value |= (op & UINT64_C(31)) << 16;
 5049       Value |= (op & UINT64_C(992)) << 6;
 5056       op &= UINT64_C(31);
 5061       Value |= (op & UINT64_C(31)) << 11;
 5062       Value |= (op & UINT64_C(32)) >> 4;
 5070       op &= UINT64_C(31);
 5075       Value |= (op & UINT64_C(31)) << 16;
 5076       Value |= (op & UINT64_C(992)) << 6;
 5082       op &= UINT64_C(1);
 5091       op &= UINT64_C(31);
 5096       op &= UINT64_C(255);
 5105       op &= UINT64_C(31);
 5110       op &= UINT64_C(255);
 5118       op &= UINT64_C(3);
 5133       op &= UINT64_C(3);
 5138       op &= UINT64_C(31);
 5143       op &= UINT64_C(31);
 5153       op &= UINT64_C(31);
 5158       op &= UINT64_C(31);
 5163       op &= UINT64_C(31);
 5172       op &= UINT64_C(31);
 5177       op &= UINT64_C(31);
 5182       op &= UINT64_C(31);
 5190       op &= UINT64_C(31);
 5200       Value |= (op & UINT64_C(31)) << 21;
 5201       Value |= (op & UINT64_C(31)) << 16;
 5202       Value |= (op & UINT64_C(31)) << 11;
 5211       op &= UINT64_C(31);
 5221       op &= UINT64_C(31);
 5226       op &= UINT64_C(31);
 5236       op &= UINT64_C(31);
 5241       op &= UINT64_C(31);
 5250       op &= UINT64_C(31);
 5255       op &= UINT64_C(31);
 5260       op &= UINT64_C(1);
 5265       op &= UINT64_C(15);
 5480       op &= UINT64_C(31);
 5485       op &= UINT64_C(31);
 5490       op &= UINT64_C(31);
 5500       op &= UINT64_C(31);
 5505       op &= UINT64_C(31);
 5510       op &= UINT64_C(31);
 5515       op &= UINT64_C(1);
 5523       op &= UINT64_C(31);
 5528       op &= UINT64_C(31);
 5533       op &= UINT64_C(31);
 5538       op &= UINT64_C(15);
 5566       op &= UINT64_C(31);
 5571       op &= UINT64_C(31);
 5576       op &= UINT64_C(31);
 5581       op &= UINT64_C(31);
 5590       op &= UINT64_C(31);
 5595       op &= UINT64_C(31);
 5600       op &= UINT64_C(31);
 5605       op &= UINT64_C(31);
 5614       op &= UINT64_C(31);
 5619       op &= UINT64_C(31);
 5624       op &= UINT64_C(31);
 5662       op &= UINT64_C(31);
 5667       op &= UINT64_C(31);
 5679       op &= UINT64_C(31);
 5684       op &= UINT64_C(31);
 5689       op &= UINT64_C(1);
 5699       op &= UINT64_C(31);
 5704       op &= UINT64_C(1);
 5709       op &= UINT64_C(31);
 5714       op &= UINT64_C(3);
 5725       Value |= (op & UINT64_C(31)) << 21;
 5726       Value |= (op & UINT64_C(31)) << 16;
 5727       Value |= (op & UINT64_C(31)) << 11;
 5728       Value |= (op & UINT64_C(32)) >> 3;
 5729       Value |= (op & UINT64_C(32)) >> 4;
 5730       Value |= (op & UINT64_C(32)) >> 5;
 5737       Value |= (op & UINT64_C(31)) << 21;
 5738       Value |= (op & UINT64_C(32)) >> 2;
 5741       op &= UINT64_C(131071);
 5755       Value |= (op & UINT64_C(31)) << 21;
 5756       Value |= (op & UINT64_C(32)) >> 5;
 5759       op &= UINT64_C(31);
 5797       Value |= (op & UINT64_C(31)) << 21;
 5798       Value |= (op & UINT64_C(32)) >> 5;
 5801       op &= UINT64_C(31);
 5806       op &= UINT64_C(31);
 5815       Value |= (op & UINT64_C(31)) << 21;
 5816       Value |= (op & UINT64_C(32)) >> 5;
 5819       Value |= (op & UINT64_C(31)) << 16;
 5820       Value |= (op & UINT64_C(64));
 5821       Value |= (op & UINT64_C(32)) >> 3;
 5824       Value |= (op & UINT64_C(31)) << 11;
 5825       Value |= (op & UINT64_C(32)) >> 4;
 5831       Value |= (op & UINT64_C(31)) << 21;
 5832       Value |= (op & UINT64_C(32)) >> 5;
 5835       op &= UINT64_C(255);
 5844       Value |= (op & UINT64_C(31)) << 21;
 5845       Value |= (op & UINT64_C(32)) >> 5;
 5848       Value |= (op & UINT64_C(31)) << 16;
 5849       Value |= (op & UINT64_C(31)) << 11;
 5850       Value |= (op & UINT64_C(32)) >> 3;
 5851       Value |= (op & UINT64_C(32)) >> 4;
 5854       op &= UINT64_C(3);
 5920       Value |= (op & UINT64_C(31)) << 21;
 5921       Value |= (op & UINT64_C(32)) >> 5;
 5924       Value |= (op & UINT64_C(31)) << 16;
 5925       Value |= (op & UINT64_C(32)) >> 3;
 5928       Value |= (op & UINT64_C(31)) << 11;
 5929       Value |= (op & UINT64_C(32)) >> 4;
 5936       Value |= (op & UINT64_C(31)) << 21;
 5937       Value |= (op & UINT64_C(32)) >> 5;
 5940       Value |= (op & UINT64_C(31)) << 16;
 5941       Value |= (op & UINT64_C(32)) >> 3;
 5944       Value |= (op & UINT64_C(31)) << 11;
 5945       Value |= (op & UINT64_C(32)) >> 4;
 5948       op &= UINT64_C(3);
 5956       Value |= (op & UINT64_C(31)) << 21;
 5957       Value |= (op & UINT64_C(32)) >> 5;
 5960       Value |= (op & UINT64_C(31)) << 16;
 5961       Value |= (op & UINT64_C(32)) >> 3;
 5964       Value |= (op & UINT64_C(31)) << 11;
 5965       Value |= (op & UINT64_C(32)) >> 4;
 5968       Value |= (op & UINT64_C(31)) << 6;
 5969       Value |= (op & UINT64_C(32)) >> 2;
 6006       Value |= (op & UINT64_C(31)) << 21;
 6007       Value |= (op & UINT64_C(32)) >> 5;
 6010       Value |= (op & UINT64_C(31)) << 16;
 6011       Value |= (op & UINT64_C(32)) >> 3;
 6014       Value |= (op & UINT64_C(31)) << 11;
 6015       Value |= (op & UINT64_C(32)) >> 4;
 6103       Value |= (op & UINT64_C(31)) << 21;
 6104       Value |= (op & UINT64_C(32)) >> 5;
 6107       Value |= (op & UINT64_C(31)) << 11;
 6108       Value |= (op & UINT64_C(32)) >> 4;
 6115       Value |= (op & UINT64_C(31)) << 21;
 6116       Value |= (op & UINT64_C(32)) >> 5;
 6119       Value |= (op & UINT64_C(31)) << 11;
 6120       Value |= (op & UINT64_C(32)) >> 4;
 6123       op &= UINT64_C(3);
 6131       Value |= (op & UINT64_C(31)) << 21;
 6132       Value |= (op & UINT64_C(32)) >> 5;
 6135       Value |= (op & UINT64_C(31)) << 11;
 6136       Value |= (op & UINT64_C(32)) >> 4;
 6139       op &= UINT64_C(31);
 6147       Value |= (op & UINT64_C(31)) << 21;
 6148       Value |= (op & UINT64_C(32)) >> 5;
 6151       Value |= (op & UINT64_C(31)) << 11;
 6152       Value |= (op & UINT64_C(32)) >> 4;
 6155       op &= UINT64_C(31);
 6167       Value |= (op & UINT64_C(31)) << 21;
 6168       Value |= (op & UINT64_C(32)) >> 5;
 6171       op &= UINT64_C(31);
 6201       op &= UINT64_C(7);
 6206       op &= UINT64_C(31);
 6211       op &= UINT64_C(31);
 6219       op &= UINT64_C(7);
 6223       op &= UINT64_C(31);
 6228       op &= UINT64_C(31);
 6233       op &= UINT64_C(31);
gen/lib/Target/RISCV/RISCVGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(51),	// ADD
  238     UINT64_C(19),	// ADDI
  239     UINT64_C(27),	// ADDIW
  240     UINT64_C(59),	// ADDW
  241     UINT64_C(12335),	// AMOADD_D
  242     UINT64_C(67121199),	// AMOADD_D_AQ
  243     UINT64_C(100675631),	// AMOADD_D_AQ_RL
  244     UINT64_C(33566767),	// AMOADD_D_RL
  245     UINT64_C(8239),	// AMOADD_W
  246     UINT64_C(67117103),	// AMOADD_W_AQ
  247     UINT64_C(100671535),	// AMOADD_W_AQ_RL
  248     UINT64_C(33562671),	// AMOADD_W_RL
  249     UINT64_C(1610625071),	// AMOAND_D
  250     UINT64_C(1677733935),	// AMOAND_D_AQ
  251     UINT64_C(1711288367),	// AMOAND_D_AQ_RL
  252     UINT64_C(1644179503),	// AMOAND_D_RL
  253     UINT64_C(1610620975),	// AMOAND_W
  254     UINT64_C(1677729839),	// AMOAND_W_AQ
  255     UINT64_C(1711284271),	// AMOAND_W_AQ_RL
  256     UINT64_C(1644175407),	// AMOAND_W_RL
  257     UINT64_C(3758108719),	// AMOMAXU_D
  258     UINT64_C(3825217583),	// AMOMAXU_D_AQ
  259     UINT64_C(3858772015),	// AMOMAXU_D_AQ_RL
  260     UINT64_C(3791663151),	// AMOMAXU_D_RL
  261     UINT64_C(3758104623),	// AMOMAXU_W
  262     UINT64_C(3825213487),	// AMOMAXU_W_AQ
  263     UINT64_C(3858767919),	// AMOMAXU_W_AQ_RL
  264     UINT64_C(3791659055),	// AMOMAXU_W_RL
  265     UINT64_C(2684366895),	// AMOMAX_D
  266     UINT64_C(2751475759),	// AMOMAX_D_AQ
  267     UINT64_C(2785030191),	// AMOMAX_D_AQ_RL
  268     UINT64_C(2717921327),	// AMOMAX_D_RL
  269     UINT64_C(2684362799),	// AMOMAX_W
  270     UINT64_C(2751471663),	// AMOMAX_W_AQ
  271     UINT64_C(2785026095),	// AMOMAX_W_AQ_RL
  272     UINT64_C(2717917231),	// AMOMAX_W_RL
  273     UINT64_C(3221237807),	// AMOMINU_D
  274     UINT64_C(3288346671),	// AMOMINU_D_AQ
  275     UINT64_C(3321901103),	// AMOMINU_D_AQ_RL
  276     UINT64_C(3254792239),	// AMOMINU_D_RL
  277     UINT64_C(3221233711),	// AMOMINU_W
  278     UINT64_C(3288342575),	// AMOMINU_W_AQ
  279     UINT64_C(3321897007),	// AMOMINU_W_AQ_RL
  280     UINT64_C(3254788143),	// AMOMINU_W_RL
  281     UINT64_C(2147495983),	// AMOMIN_D
  282     UINT64_C(2214604847),	// AMOMIN_D_AQ
  283     UINT64_C(2248159279),	// AMOMIN_D_AQ_RL
  284     UINT64_C(2181050415),	// AMOMIN_D_RL
  285     UINT64_C(2147491887),	// AMOMIN_W
  286     UINT64_C(2214600751),	// AMOMIN_W_AQ
  287     UINT64_C(2248155183),	// AMOMIN_W_AQ_RL
  288     UINT64_C(2181046319),	// AMOMIN_W_RL
  289     UINT64_C(1073754159),	// AMOOR_D
  290     UINT64_C(1140863023),	// AMOOR_D_AQ
  291     UINT64_C(1174417455),	// AMOOR_D_AQ_RL
  292     UINT64_C(1107308591),	// AMOOR_D_RL
  293     UINT64_C(1073750063),	// AMOOR_W
  294     UINT64_C(1140858927),	// AMOOR_W_AQ
  295     UINT64_C(1174413359),	// AMOOR_W_AQ_RL
  296     UINT64_C(1107304495),	// AMOOR_W_RL
  297     UINT64_C(134230063),	// AMOSWAP_D
  298     UINT64_C(201338927),	// AMOSWAP_D_AQ
  299     UINT64_C(234893359),	// AMOSWAP_D_AQ_RL
  300     UINT64_C(167784495),	// AMOSWAP_D_RL
  301     UINT64_C(134225967),	// AMOSWAP_W
  302     UINT64_C(201334831),	// AMOSWAP_W_AQ
  303     UINT64_C(234889263),	// AMOSWAP_W_AQ_RL
  304     UINT64_C(167780399),	// AMOSWAP_W_RL
  305     UINT64_C(536883247),	// AMOXOR_D
  306     UINT64_C(603992111),	// AMOXOR_D_AQ
  307     UINT64_C(637546543),	// AMOXOR_D_AQ_RL
  308     UINT64_C(570437679),	// AMOXOR_D_RL
  309     UINT64_C(536879151),	// AMOXOR_W
  310     UINT64_C(603988015),	// AMOXOR_W_AQ
  311     UINT64_C(637542447),	// AMOXOR_W_AQ_RL
  312     UINT64_C(570433583),	// AMOXOR_W_RL
  313     UINT64_C(28723),	// AND
  314     UINT64_C(28691),	// ANDI
  315     UINT64_C(23),	// AUIPC
  316     UINT64_C(99),	// BEQ
  317     UINT64_C(20579),	// BGE
  318     UINT64_C(28771),	// BGEU
  319     UINT64_C(16483),	// BLT
  320     UINT64_C(24675),	// BLTU
  321     UINT64_C(4195),	// BNE
  322     UINT64_C(12403),	// CSRRC
  323     UINT64_C(28787),	// CSRRCI
  324     UINT64_C(8307),	// CSRRS
  325     UINT64_C(24691),	// CSRRSI
  326     UINT64_C(4211),	// CSRRW
  327     UINT64_C(20595),	// CSRRWI
  328     UINT64_C(36866),	// C_ADD
  329     UINT64_C(1),	// C_ADDI
  330     UINT64_C(24833),	// C_ADDI16SP
  331     UINT64_C(0),	// C_ADDI4SPN
  332     UINT64_C(8193),	// C_ADDIW
  333     UINT64_C(1),	// C_ADDI_HINT_IMM_ZERO
  334     UINT64_C(1),	// C_ADDI_HINT_X0
  335     UINT64_C(1),	// C_ADDI_NOP
  336     UINT64_C(39969),	// C_ADDW
  337     UINT64_C(36866),	// C_ADD_HINT
  338     UINT64_C(35937),	// C_AND
  339     UINT64_C(34817),	// C_ANDI
  340     UINT64_C(49153),	// C_BEQZ
  341     UINT64_C(57345),	// C_BNEZ
  342     UINT64_C(36866),	// C_EBREAK
  343     UINT64_C(8192),	// C_FLD
  344     UINT64_C(8194),	// C_FLDSP
  345     UINT64_C(24576),	// C_FLW
  346     UINT64_C(24578),	// C_FLWSP
  347     UINT64_C(40960),	// C_FSD
  348     UINT64_C(40962),	// C_FSDSP
  349     UINT64_C(57344),	// C_FSW
  350     UINT64_C(57346),	// C_FSWSP
  351     UINT64_C(40961),	// C_J
  352     UINT64_C(8193),	// C_JAL
  353     UINT64_C(36866),	// C_JALR
  354     UINT64_C(32770),	// C_JR
  355     UINT64_C(24576),	// C_LD
  356     UINT64_C(24578),	// C_LDSP
  357     UINT64_C(16385),	// C_LI
  358     UINT64_C(16385),	// C_LI_HINT
  359     UINT64_C(24577),	// C_LUI
  360     UINT64_C(24577),	// C_LUI_HINT
  361     UINT64_C(16384),	// C_LW
  362     UINT64_C(16386),	// C_LWSP
  363     UINT64_C(32770),	// C_MV
  364     UINT64_C(32770),	// C_MV_HINT
  365     UINT64_C(1),	// C_NOP
  366     UINT64_C(1),	// C_NOP_HINT
  367     UINT64_C(35905),	// C_OR
  368     UINT64_C(57344),	// C_SD
  369     UINT64_C(57346),	// C_SDSP
  370     UINT64_C(2),	// C_SLLI
  371     UINT64_C(2),	// C_SLLI64_HINT
  372     UINT64_C(2),	// C_SLLI_HINT
  373     UINT64_C(33793),	// C_SRAI
  374     UINT64_C(33793),	// C_SRAI64_HINT
  375     UINT64_C(32769),	// C_SRLI
  376     UINT64_C(32769),	// C_SRLI64_HINT
  377     UINT64_C(35841),	// C_SUB
  378     UINT64_C(39937),	// C_SUBW
  379     UINT64_C(49152),	// C_SW
  380     UINT64_C(49154),	// C_SWSP
  381     UINT64_C(0),	// C_UNIMP
  382     UINT64_C(35873),	// C_XOR
  383     UINT64_C(33570867),	// DIV
  384     UINT64_C(33574963),	// DIVU
  385     UINT64_C(33574971),	// DIVUW
  386     UINT64_C(33570875),	// DIVW
  387     UINT64_C(1048691),	// EBREAK
  388     UINT64_C(115),	// ECALL
  389     UINT64_C(33554515),	// FADD_D
  390     UINT64_C(83),	// FADD_S
  391     UINT64_C(3791654995),	// FCLASS_D
  392     UINT64_C(3758100563),	// FCLASS_S
  393     UINT64_C(3525312595),	// FCVT_D_L
  394     UINT64_C(3526361171),	// FCVT_D_LU
  395     UINT64_C(1107296339),	// FCVT_D_S
  396     UINT64_C(3523215443),	// FCVT_D_W
  397     UINT64_C(3524264019),	// FCVT_D_WU
  398     UINT64_C(3257925715),	// FCVT_LU_D
  399     UINT64_C(3224371283),	// FCVT_LU_S
  400     UINT64_C(3256877139),	// FCVT_L_D
  401     UINT64_C(3223322707),	// FCVT_L_S
  402     UINT64_C(1074790483),	// FCVT_S_D
  403     UINT64_C(3491758163),	// FCVT_S_L
  404     UINT64_C(3492806739),	// FCVT_S_LU
  405     UINT64_C(3489661011),	// FCVT_S_W
  406     UINT64_C(3490709587),	// FCVT_S_WU
  407     UINT64_C(3255828563),	// FCVT_WU_D
  408     UINT64_C(3222274131),	// FCVT_WU_S
  409     UINT64_C(3254779987),	// FCVT_W_D
  410     UINT64_C(3221225555),	// FCVT_W_S
  411     UINT64_C(436207699),	// FDIV_D
  412     UINT64_C(402653267),	// FDIV_S
  413     UINT64_C(15),	// FENCE
  414     UINT64_C(4111),	// FENCE_I
  415     UINT64_C(2200961039),	// FENCE_TSO
  416     UINT64_C(2717917267),	// FEQ_D
  417     UINT64_C(2684362835),	// FEQ_S
  418     UINT64_C(12295),	// FLD
  419     UINT64_C(2717909075),	// FLE_D
  420     UINT64_C(2684354643),	// FLE_S
  421     UINT64_C(2717913171),	// FLT_D
  422     UINT64_C(2684358739),	// FLT_S
  423     UINT64_C(8199),	// FLW
  424     UINT64_C(33554499),	// FMADD_D
  425     UINT64_C(67),	// FMADD_S
  426     UINT64_C(704647251),	// FMAX_D
  427     UINT64_C(671092819),	// FMAX_S
  428     UINT64_C(704643155),	// FMIN_D
  429     UINT64_C(671088723),	// FMIN_S
  430     UINT64_C(33554503),	// FMSUB_D
  431     UINT64_C(71),	// FMSUB_S
  432     UINT64_C(301989971),	// FMUL_D
  433     UINT64_C(268435539),	// FMUL_S
  434     UINT64_C(4060086355),	// FMV_D_X
  435     UINT64_C(4026531923),	// FMV_W_X
  436     UINT64_C(3791650899),	// FMV_X_D
  437     UINT64_C(3758096467),	// FMV_X_W
  438     UINT64_C(33554511),	// FNMADD_D
  439     UINT64_C(79),	// FNMADD_S
  440     UINT64_C(33554507),	// FNMSUB_D
  441     UINT64_C(75),	// FNMSUB_S
  442     UINT64_C(12327),	// FSD
  443     UINT64_C(570429523),	// FSGNJN_D
  444     UINT64_C(536875091),	// FSGNJN_S
  445     UINT64_C(570433619),	// FSGNJX_D
  446     UINT64_C(536879187),	// FSGNJX_S
  447     UINT64_C(570425427),	// FSGNJ_D
  448     UINT64_C(536870995),	// FSGNJ_S
  449     UINT64_C(1509949523),	// FSQRT_D
  450     UINT64_C(1476395091),	// FSQRT_S
  451     UINT64_C(167772243),	// FSUB_D
  452     UINT64_C(134217811),	// FSUB_S
  453     UINT64_C(8231),	// FSW
  454     UINT64_C(111),	// JAL
  455     UINT64_C(103),	// JALR
  456     UINT64_C(3),	// LB
  457     UINT64_C(16387),	// LBU
  458     UINT64_C(12291),	// LD
  459     UINT64_C(4099),	// LH
  460     UINT64_C(20483),	// LHU
  461     UINT64_C(268447791),	// LR_D
  462     UINT64_C(335556655),	// LR_D_AQ
  463     UINT64_C(369111087),	// LR_D_AQ_RL
  464     UINT64_C(302002223),	// LR_D_RL
  465     UINT64_C(268443695),	// LR_W
  466     UINT64_C(335552559),	// LR_W_AQ
  467     UINT64_C(369106991),	// LR_W_AQ_RL
  468     UINT64_C(301998127),	// LR_W_RL
  469     UINT64_C(55),	// LUI
  470     UINT64_C(8195),	// LW
  471     UINT64_C(24579),	// LWU
  472     UINT64_C(807403635),	// MRET
  473     UINT64_C(33554483),	// MUL
  474     UINT64_C(33558579),	// MULH
  475     UINT64_C(33562675),	// MULHSU
  476     UINT64_C(33566771),	// MULHU
  477     UINT64_C(33554491),	// MULW
  478     UINT64_C(24627),	// OR
  479     UINT64_C(24595),	// ORI
  480     UINT64_C(33579059),	// REM
  481     UINT64_C(33583155),	// REMU
  482     UINT64_C(33583163),	// REMUW
  483     UINT64_C(33579067),	// REMW
  484     UINT64_C(35),	// SB
  485     UINT64_C(402665519),	// SC_D
  486     UINT64_C(469774383),	// SC_D_AQ
  487     UINT64_C(503328815),	// SC_D_AQ_RL
  488     UINT64_C(436219951),	// SC_D_RL
  489     UINT64_C(402661423),	// SC_W
  490     UINT64_C(469770287),	// SC_W_AQ
  491     UINT64_C(503324719),	// SC_W_AQ_RL
  492     UINT64_C(436215855),	// SC_W_RL
  493     UINT64_C(12323),	// SD
  494     UINT64_C(301990003),	// SFENCE_VMA
  495     UINT64_C(4131),	// SH
  496     UINT64_C(4147),	// SLL
  497     UINT64_C(4115),	// SLLI
  498     UINT64_C(4123),	// SLLIW
  499     UINT64_C(4155),	// SLLW
  500     UINT64_C(8243),	// SLT
  501     UINT64_C(8211),	// SLTI
  502     UINT64_C(12307),	// SLTIU
  503     UINT64_C(12339),	// SLTU
  504     UINT64_C(1073762355),	// SRA
  505     UINT64_C(1073762323),	// SRAI
  506     UINT64_C(1073762331),	// SRAIW
  507     UINT64_C(1073762363),	// SRAW
  508     UINT64_C(270532723),	// SRET
  509     UINT64_C(20531),	// SRL
  510     UINT64_C(20499),	// SRLI
  511     UINT64_C(20507),	// SRLIW
  512     UINT64_C(20539),	// SRLW
  513     UINT64_C(1073741875),	// SUB
  514     UINT64_C(1073741883),	// SUBW
  515     UINT64_C(8227),	// SW
  516     UINT64_C(3221229683),	// UNIMP
  517     UINT64_C(2097267),	// URET
  518     UINT64_C(273678451),	// WFI
  519     UINT64_C(16435),	// XOR
  520     UINT64_C(16403),	// XORI
  521     UINT64_C(0)
  545       Value |= (op & UINT64_C(32)) << 7;
  546       Value |= (op & UINT64_C(31)) << 2;
  553       Value |= (op & UINT64_C(32)) << 7;
  554       Value |= (op & UINT64_C(31)) << 2;
  561       Value |= (op & UINT64_C(32)) << 7;
  562       Value |= (op & UINT64_C(31)) << 2;
  565       op &= UINT64_C(31);
  574       Value |= (op & UINT64_C(32)) << 7;
  575       Value |= (op & UINT64_C(24)) << 2;
  576       Value |= (op & UINT64_C(448)) >> 4;
  579       op &= UINT64_C(31);
  588       Value |= (op & UINT64_C(32)) << 7;
  589       Value |= (op & UINT64_C(28)) << 2;
  590       Value |= (op & UINT64_C(192)) >> 4;
  593       op &= UINT64_C(31);
  603       Value |= (op & UINT64_C(32)) << 7;
  604       Value |= (op & UINT64_C(31)) << 2;
  607       op &= UINT64_C(31);
  615       Value |= (op & UINT64_C(32)) << 7;
  616       Value |= (op & UINT64_C(31)) << 2;
  619       op &= UINT64_C(7);
  627       Value |= (op & UINT64_C(48)) << 7;
  628       Value |= (op & UINT64_C(960)) << 1;
  629       Value |= (op & UINT64_C(4)) << 4;
  630       Value |= (op & UINT64_C(8)) << 2;
  633       op &= UINT64_C(7);
  641       Value |= (op & UINT64_C(512)) << 3;
  642       Value |= (op & UINT64_C(16)) << 2;
  643       Value |= (op & UINT64_C(64)) >> 1;
  644       Value |= (op & UINT64_C(384)) >> 4;
  645       Value |= (op & UINT64_C(32)) >> 3;
  652       Value |= (op & UINT64_C(56)) << 7;
  653       Value |= (op & UINT64_C(448)) << 1;
  656       op &= UINT64_C(31);
  665       Value |= (op & UINT64_C(60)) << 7;
  666       Value |= (op & UINT64_C(192)) << 1;
  669       op &= UINT64_C(31);
  678       Value |= (op & UINT64_C(128)) << 5;
  679       Value |= (op & UINT64_C(12)) << 8;
  680       Value |= (op & UINT64_C(96));
  681       Value |= (op & UINT64_C(3)) << 3;
  682       Value |= (op & UINT64_C(16)) >> 2;
  685       op &= UINT64_C(7);
  693       Value |= (op & UINT64_C(32)) << 7;
  694       Value |= (op & UINT64_C(31)) << 2;
  700       Value |= (op & UINT64_C(32)) << 7;
  701       Value |= (op & UINT64_C(31)) << 2;
  704       op &= UINT64_C(31);
  713       Value |= (op & UINT64_C(32)) << 7;
  714       Value |= (op & UINT64_C(31)) << 2;
  717       op &= UINT64_C(7);
  726       op &= UINT64_C(32);
  731       op &= UINT64_C(31);
  744       Value |= (op & UINT64_C(4064)) << 20;
  745       Value |= (op & UINT64_C(31)) << 7;
  748       op &= UINT64_C(31);
  753       op &= UINT64_C(31);
  777       op &= UINT64_C(4095);
  782       op &= UINT64_C(31);
  787       op &= UINT64_C(31);
  800       Value |= (op & UINT64_C(2048)) << 20;
  801       Value |= (op & UINT64_C(1008)) << 21;
  802       Value |= (op & UINT64_C(15)) << 8;
  803       Value |= (op & UINT64_C(1024)) >> 3;
  806       op &= UINT64_C(31);
  811       op &= UINT64_C(31);
  824       op &= UINT64_C(4095);
  829       op &= UINT64_C(31);
  834       op &= UINT64_C(31);
  843       op &= UINT64_C(1048575);
  848       op &= UINT64_C(31);
  856       Value |= (op & UINT64_C(524288)) << 12;
  857       Value |= (op & UINT64_C(1023)) << 21;
  858       Value |= (op & UINT64_C(1024)) << 10;
  859       Value |= (op & UINT64_C(522240)) << 1;
  862       op &= UINT64_C(31);
  871       Value |= (op & UINT64_C(1024)) << 2;
  872       Value |= (op & UINT64_C(8)) << 8;
  873       Value |= (op & UINT64_C(384)) << 2;
  874       Value |= (op & UINT64_C(512)) >> 1;
  875       Value |= (op & UINT64_C(32)) << 2;
  876       Value |= (op & UINT64_C(64));
  877       Value |= (op & UINT64_C(7)) << 3;
  878       Value |= (op & UINT64_C(16)) >> 2;
  884       op &= UINT64_C(15);
  889       op &= UINT64_C(15);
  898       op &= UINT64_C(7);
  903       op &= UINT64_C(7);
  908       Value |= (op & UINT64_C(56)) << 7;
  909       Value |= (op & UINT64_C(192)) >> 1;
  916       op &= UINT64_C(7);
  921       op &= UINT64_C(7);
  926       Value |= (op & UINT64_C(56)) << 7;
  927       Value |= (op & UINT64_C(4)) << 4;
  928       Value |= (op & UINT64_C(64)) >> 1;
  934       op &= UINT64_C(31);
  943       op &= UINT64_C(7);
  952       op &= UINT64_C(31);
  960       op &= UINT64_C(31);
  965       op &= UINT64_C(31);
  989       op &= UINT64_C(31);
  994       op &= UINT64_C(7);
  999       op &= UINT64_C(31);
 1023       op &= UINT64_C(31);
 1028       op &= UINT64_C(31);
 1036       op &= UINT64_C(31);
 1041       op &= UINT64_C(31);
 1050       op &= UINT64_C(7);
 1055       op &= UINT64_C(7);
 1060       Value |= (op & UINT64_C(56)) << 7;
 1061       Value |= (op & UINT64_C(192)) >> 1;
 1068       op &= UINT64_C(7);
 1073       op &= UINT64_C(7);
 1078       Value |= (op & UINT64_C(56)) << 7;
 1079       Value |= (op & UINT64_C(4)) << 4;
 1080       Value |= (op & UINT64_C(64)) >> 1;
 1086       op &= UINT64_C(31);
 1091       op &= UINT64_C(31);
 1099       op &= UINT64_C(31);
 1114       op &= UINT64_C(31);
 1119       op &= UINT64_C(31);
 1124       op &= UINT64_C(7);
 1129       op &= UINT64_C(31);
 1260       op &= UINT64_C(31);
 1265       op &= UINT64_C(31);
 1270       op &= UINT64_C(31);
 1278       op &= UINT64_C(31);
 1291       op &= UINT64_C(7);
 1296       op &= UINT64_C(7);
 1311       op &= UINT64_C(31);
 1316       op &= UINT64_C(31);
 1321       op &= UINT64_C(31);
 1326       op &= UINT64_C(7);
 1331       op &= UINT64_C(31);
 1341       op &= UINT64_C(31);
 1346       op &= UINT64_C(31);
 1351       op &= UINT64_C(31);
 1361       op &= UINT64_C(63);
 1366       op &= UINT64_C(31);
 1371       op &= UINT64_C(31);
gen/lib/Target/Sparc/SparcGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(2155880448),	// ADDCCri
  200     UINT64_C(2155872256),	// ADDCCrr
  201     UINT64_C(2151686144),	// ADDCri
  202     UINT64_C(2151677952),	// ADDCrr
  203     UINT64_C(2160074752),	// ADDEri
  204     UINT64_C(2160066560),	// ADDErr
  205     UINT64_C(2175795744),	// ADDXC
  206     UINT64_C(2175795808),	// ADDXCCC
  207     UINT64_C(2147491840),	// ADDXri
  208     UINT64_C(2147483648),	// ADDXrr
  209     UINT64_C(2147491840),	// ADDri
  210     UINT64_C(2147483648),	// ADDrr
  211     UINT64_C(2175795968),	// ALIGNADDR
  212     UINT64_C(2175796032),	// ALIGNADDRL
  213     UINT64_C(2156404736),	// ANDCCri
  214     UINT64_C(2156396544),	// ANDCCrr
  215     UINT64_C(2158501888),	// ANDNCCri
  216     UINT64_C(2158493696),	// ANDNCCrr
  217     UINT64_C(2150113280),	// ANDNri
  218     UINT64_C(2150105088),	// ANDNrr
  219     UINT64_C(2150105088),	// ANDXNrr
  220     UINT64_C(2148016128),	// ANDXri
  221     UINT64_C(2148007936),	// ANDXrr
  222     UINT64_C(2148016128),	// ANDri
  223     UINT64_C(2148007936),	// ANDrr
  224     UINT64_C(2175795776),	// ARRAY16
  225     UINT64_C(2175795840),	// ARRAY32
  226     UINT64_C(2175795712),	// ARRAY8
  227     UINT64_C(276824064),	// BA
  228     UINT64_C(8388608),	// BCOND
  229     UINT64_C(545259520),	// BCONDA
  230     UINT64_C(2176851968),	// BINDri
  231     UINT64_C(2176843776),	// BINDrr
  232     UINT64_C(2175796000),	// BMASK
  233     UINT64_C(21495808),	// BPFCC
  234     UINT64_C(558366720),	// BPFCCA
  235     UINT64_C(557842432),	// BPFCCANT
  236     UINT64_C(20971520),	// BPFCCNT
  237     UINT64_C(784334848),	// BPGEZapn
  238     UINT64_C(784859136),	// BPGEZapt
  239     UINT64_C(247463936),	// BPGEZnapn
  240     UINT64_C(247988224),	// BPGEZnapt
  241     UINT64_C(750780416),	// BPGZapn
  242     UINT64_C(751304704),	// BPGZapt
  243     UINT64_C(213909504),	// BPGZnapn
  244     UINT64_C(214433792),	// BPGZnapt
  245     UINT64_C(4718592),	// BPICC
  246     UINT64_C(541589504),	// BPICCA
  247     UINT64_C(541065216),	// BPICCANT
  248     UINT64_C(4194304),	// BPICCNT
  249     UINT64_C(616562688),	// BPLEZapn
  250     UINT64_C(617086976),	// BPLEZapt
  251     UINT64_C(79691776),	// BPLEZnapn
  252     UINT64_C(80216064),	// BPLEZnapt
  253     UINT64_C(650117120),	// BPLZapn
  254     UINT64_C(650641408),	// BPLZapt
  255     UINT64_C(113246208),	// BPLZnapn
  256     UINT64_C(113770496),	// BPLZnapt
  257     UINT64_C(717225984),	// BPNZapn
  258     UINT64_C(717750272),	// BPNZapt
  259     UINT64_C(180355072),	// BPNZnapn
  260     UINT64_C(180879360),	// BPNZnapt
  261     UINT64_C(6815744),	// BPXCC
  262     UINT64_C(543686656),	// BPXCCA
  263     UINT64_C(543162368),	// BPXCCANT
  264     UINT64_C(6291456),	// BPXCCNT
  265     UINT64_C(583008256),	// BPZapn
  266     UINT64_C(583532544),	// BPZapt
  267     UINT64_C(46137344),	// BPZnapn
  268     UINT64_C(46661632),	// BPZnapt
  269     UINT64_C(2175796096),	// BSHUFFLE
  270     UINT64_C(1073741824),	// CALL
  271     UINT64_C(2680168448),	// CALLri
  272     UINT64_C(2680160256),	// CALLrr
  273     UINT64_C(3252683072),	// CASAasi10
  274     UINT64_C(3252682752),	// CASArr
  275     UINT64_C(3253735424),	// CASXrr
  276     UINT64_C(3252686848),	// CASrr
  277     UINT64_C(29360128),	// CBCOND
  278     UINT64_C(566231040),	// CBCONDA
  279     UINT64_C(2175796128),	// CMASK16
  280     UINT64_C(2175796192),	// CMASK32
  281     UINT64_C(2175796064),	// CMASK8
  282     UINT64_C(2157977600),	// CMPri
  283     UINT64_C(2157969408),	// CMPrr
  284     UINT64_C(2175795328),	// EDGE16
  285     UINT64_C(2175795392),	// EDGE16L
  286     UINT64_C(2175795424),	// EDGE16LN
  287     UINT64_C(2175795360),	// EDGE16N
  288     UINT64_C(2175795456),	// EDGE32
  289     UINT64_C(2175795520),	// EDGE32L
  290     UINT64_C(2175795552),	// EDGE32LN
  291     UINT64_C(2175795488),	// EDGE32N
  292     UINT64_C(2175795200),	// EDGE8
  293     UINT64_C(2175795264),	// EDGE8L
  294     UINT64_C(2175795296),	// EDGE8LN
  295     UINT64_C(2175795232),	// EDGE8N
  296     UINT64_C(2174746944),	// FABSD
  297     UINT64_C(2174746976),	// FABSQ
  298     UINT64_C(2174746912),	// FABSS
  299     UINT64_C(2174748736),	// FADDD
  300     UINT64_C(2174748768),	// FADDQ
  301     UINT64_C(2174748704),	// FADDS
  302     UINT64_C(2175797504),	// FALIGNADATA
  303     UINT64_C(2175798784),	// FAND
  304     UINT64_C(2175798528),	// FANDNOT1
  305     UINT64_C(2175798560),	// FANDNOT1S
  306     UINT64_C(2175798400),	// FANDNOT2
  307     UINT64_C(2175798432),	// FANDNOT2S
  308     UINT64_C(2175798816),	// FANDS
  309     UINT64_C(25165824),	// FBCOND
  310     UINT64_C(562036736),	// FBCONDA
  311     UINT64_C(2175797376),	// FCHKSM16
  312     UINT64_C(2175273536),	// FCMPD
  313     UINT64_C(2175796544),	// FCMPEQ16
  314     UINT64_C(2175796672),	// FCMPEQ32
  315     UINT64_C(2175796480),	// FCMPGT16
  316     UINT64_C(2175796608),	// FCMPGT32
  317     UINT64_C(2175796224),	// FCMPLE16
  318     UINT64_C(2175796352),	// FCMPLE32
  319     UINT64_C(2175796288),	// FCMPNE16
  320     UINT64_C(2175796416),	// FCMPNE32
  321     UINT64_C(2175273568),	// FCMPQ
  322     UINT64_C(2175273504),	// FCMPS
  323     UINT64_C(2174749120),	// FDIVD
  324     UINT64_C(2174749152),	// FDIVQ
  325     UINT64_C(2174749088),	// FDIVS
  326     UINT64_C(2174750144),	// FDMULQ
  327     UINT64_C(2174753344),	// FDTOI
  328     UINT64_C(2174753216),	// FDTOQ
  329     UINT64_C(2174752960),	// FDTOS
  330     UINT64_C(2174750784),	// FDTOX
  331     UINT64_C(2175797664),	// FEXPAND
  332     UINT64_C(2174749760),	// FHADDD
  333     UINT64_C(2174749728),	// FHADDS
  334     UINT64_C(2174749888),	// FHSUBD
  335     UINT64_C(2174749856),	// FHSUBS
  336     UINT64_C(2174753024),	// FITOD
  337     UINT64_C(2174753152),	// FITOQ
  338     UINT64_C(2174752896),	// FITOS
  339     UINT64_C(2175806016),	// FLCMPD
  340     UINT64_C(2175805984),	// FLCMPS
  341     UINT64_C(2178416640),	// FLUSH
  342     UINT64_C(2170028032),	// FLUSHW
  343     UINT64_C(2178424832),	// FLUSHri
  344     UINT64_C(2178416640),	// FLUSHrr
  345     UINT64_C(2175797248),	// FMEAN16
  346     UINT64_C(2174746688),	// FMOVD
  347     UINT64_C(2175270976),	// FMOVD_FCC
  348     UINT64_C(2175279168),	// FMOVD_ICC
  349     UINT64_C(2175283264),	// FMOVD_XCC
  350     UINT64_C(2174746720),	// FMOVQ
  351     UINT64_C(2175271008),	// FMOVQ_FCC
  352     UINT64_C(2175279200),	// FMOVQ_ICC
  353     UINT64_C(2175283296),	// FMOVQ_XCC
  354     UINT64_C(2175278272),	// FMOVRGEZD
  355     UINT64_C(2175278304),	// FMOVRGEZQ
  356     UINT64_C(2175278240),	// FMOVRGEZS
  357     UINT64_C(2175277248),	// FMOVRGZD
  358     UINT64_C(2175277280),	// FMOVRGZQ
  359     UINT64_C(2175277216),	// FMOVRGZS
  360     UINT64_C(2175273152),	// FMOVRLEZD
  361     UINT64_C(2175273184),	// FMOVRLEZQ
  362     UINT64_C(2175273120),	// FMOVRLEZS
  363     UINT64_C(2175274176),	// FMOVRLZD
  364     UINT64_C(2175274208),	// FMOVRLZQ
  365     UINT64_C(2175274144),	// FMOVRLZS
  366     UINT64_C(2175276224),	// FMOVRNZD
  367     UINT64_C(2175276256),	// FMOVRNZQ
  368     UINT64_C(2175276192),	// FMOVRNZS
  369     UINT64_C(2175272128),	// FMOVRZD
  370     UINT64_C(2175272160),	// FMOVRZQ
  371     UINT64_C(2175272096),	// FMOVRZS
  372     UINT64_C(2174746656),	// FMOVS
  373     UINT64_C(2175270944),	// FMOVS_FCC
  374     UINT64_C(2175279136),	// FMOVS_ICC
  375     UINT64_C(2175283232),	// FMOVS_XCC
  376     UINT64_C(2175796928),	// FMUL8SUX16
  377     UINT64_C(2175796960),	// FMUL8ULX16
  378     UINT64_C(2175796768),	// FMUL8X16
  379     UINT64_C(2175796896),	// FMUL8X16AL
  380     UINT64_C(2175796832),	// FMUL8X16AU
  381     UINT64_C(2174748992),	// FMULD
  382     UINT64_C(2175796992),	// FMULD8SUX16
  383     UINT64_C(2175797024),	// FMULD8ULX16
  384     UINT64_C(2174749024),	// FMULQ
  385     UINT64_C(2174748960),	// FMULS
  386     UINT64_C(2174749248),	// FNADDD
  387     UINT64_C(2174749216),	// FNADDS
  388     UINT64_C(2175798720),	// FNAND
  389     UINT64_C(2175798752),	// FNANDS
  390     UINT64_C(2174746816),	// FNEGD
  391     UINT64_C(2174746848),	// FNEGQ
  392     UINT64_C(2174746784),	// FNEGS
  393     UINT64_C(2174750272),	// FNHADDD
  394     UINT64_C(2174750240),	// FNHADDS
  395     UINT64_C(2174749504),	// FNMULD
  396     UINT64_C(2174749472),	// FNMULS
  397     UINT64_C(2175798336),	// FNOR
  398     UINT64_C(2175798368),	// FNORS
  399     UINT64_C(2175798592),	// FNOT1
  400     UINT64_C(2175798624),	// FNOT1S
  401     UINT64_C(2175798464),	// FNOT2
  402     UINT64_C(2175798496),	// FNOT2S
  403     UINT64_C(2174750496),	// FNSMULD
  404     UINT64_C(2175799232),	// FONE
  405     UINT64_C(2175799264),	// FONES
  406     UINT64_C(2175799168),	// FOR
  407     UINT64_C(2175799104),	// FORNOT1
  408     UINT64_C(2175799136),	// FORNOT1S
  409     UINT64_C(2175798976),	// FORNOT2
  410     UINT64_C(2175799008),	// FORNOT2S
  411     UINT64_C(2175799200),	// FORS
  412     UINT64_C(2175797088),	// FPACK16
  413     UINT64_C(2175797056),	// FPACK32
  414     UINT64_C(2175797152),	// FPACKFIX
  415     UINT64_C(2175797760),	// FPADD16
  416     UINT64_C(2175797792),	// FPADD16S
  417     UINT64_C(2175797824),	// FPADD32
  418     UINT64_C(2175797856),	// FPADD32S
  419     UINT64_C(2175797312),	// FPADD64
  420     UINT64_C(2175797600),	// FPMERGE
  421     UINT64_C(2175797888),	// FPSUB16
  422     UINT64_C(2175797920),	// FPSUB16S
  423     UINT64_C(2175797952),	// FPSUB32
  424     UINT64_C(2175797984),	// FPSUB32S
  425     UINT64_C(2174753120),	// FQTOD
  426     UINT64_C(2174753376),	// FQTOI
  427     UINT64_C(2174752992),	// FQTOS
  428     UINT64_C(2174750816),	// FQTOX
  429     UINT64_C(2175796512),	// FSLAS16
  430     UINT64_C(2175796640),	// FSLAS32
  431     UINT64_C(2175796256),	// FSLL16
  432     UINT64_C(2175796384),	// FSLL32
  433     UINT64_C(2174749984),	// FSMULD
  434     UINT64_C(2174747968),	// FSQRTD
  435     UINT64_C(2174748000),	// FSQRTQ
  436     UINT64_C(2174747936),	// FSQRTS
  437     UINT64_C(2175796576),	// FSRA16
  438     UINT64_C(2175796704),	// FSRA32
  439     UINT64_C(2175798912),	// FSRC1
  440     UINT64_C(2175798944),	// FSRC1S
  441     UINT64_C(2175799040),	// FSRC2
  442     UINT64_C(2175799072),	// FSRC2S
  443     UINT64_C(2175796320),	// FSRL16
  444     UINT64_C(2175796448),	// FSRL32
  445     UINT64_C(2174753056),	// FSTOD
  446     UINT64_C(2174753312),	// FSTOI
  447     UINT64_C(2174753184),	// FSTOQ
  448     UINT64_C(2174750752),	// FSTOX
  449     UINT64_C(2174748864),	// FSUBD
  450     UINT64_C(2174748896),	// FSUBQ
  451     UINT64_C(2174748832),	// FSUBS
  452     UINT64_C(2175798848),	// FXNOR
  453     UINT64_C(2175798880),	// FXNORS
  454     UINT64_C(2175798656),	// FXOR
  455     UINT64_C(2175798688),	// FXORS
  456     UINT64_C(2174750976),	// FXTOD
  457     UINT64_C(2174751104),	// FXTOQ
  458     UINT64_C(2174750848),	// FXTOS
  459     UINT64_C(2175798272),	// FZERO
  460     UINT64_C(2175798304),	// FZEROS
  461     UINT64_C(2176851968),	// JMPLri
  462     UINT64_C(2176843776),	// JMPLrr
  463     UINT64_C(3229614080),	// LDArr
  464     UINT64_C(3246923776),	// LDCSRri
  465     UINT64_C(3246915584),	// LDCSRrr
  466     UINT64_C(3246399488),	// LDCri
  467     UINT64_C(3246391296),	// LDCrr
  468     UINT64_C(3231186944),	// LDDArr
  469     UINT64_C(3247972352),	// LDDCri
  470     UINT64_C(3247964160),	// LDDCrr
  471     UINT64_C(3247964160),	// LDDFArr
  472     UINT64_C(3239583744),	// LDDFri
  473     UINT64_C(3239575552),	// LDDFrr
  474     UINT64_C(3222806528),	// LDDri
  475     UINT64_C(3222798336),	// LDDrr
  476     UINT64_C(3246391296),	// LDFArr
  477     UINT64_C(3238535168),	// LDFSRri
  478     UINT64_C(3238526976),	// LDFSRrr
  479     UINT64_C(3238010880),	// LDFri
  480     UINT64_C(3238002688),	// LDFrr
  481     UINT64_C(3247439872),	// LDQFArr
  482     UINT64_C(3239059456),	// LDQFri
  483     UINT64_C(3239051264),	// LDQFrr
  484     UINT64_C(3234332672),	// LDSBArr
  485     UINT64_C(3225952256),	// LDSBri
  486     UINT64_C(3225944064),	// LDSBrr
  487     UINT64_C(3234856960),	// LDSHArr
  488     UINT64_C(3226476544),	// LDSHri
  489     UINT64_C(3226468352),	// LDSHrr
  490     UINT64_C(3236429824),	// LDSTUBArr
  491     UINT64_C(3228049408),	// LDSTUBri
  492     UINT64_C(3228041216),	// LDSTUBrr
  493     UINT64_C(3225427968),	// LDSWri
  494     UINT64_C(3225419776),	// LDSWrr
  495     UINT64_C(3230138368),	// LDUBArr
  496     UINT64_C(3221757952),	// LDUBri
  497     UINT64_C(3221749760),	// LDUBrr
  498     UINT64_C(3230662656),	// LDUHArr
  499     UINT64_C(3222282240),	// LDUHri
  500     UINT64_C(3222274048),	// LDUHrr
  501     UINT64_C(3272089600),	// LDXFSRri
  502     UINT64_C(3272081408),	// LDXFSRrr
  503     UINT64_C(3227000832),	// LDXri
  504     UINT64_C(3226992640),	// LDXrr
  505     UINT64_C(3221233664),	// LDri
  506     UINT64_C(3221225472),	// LDrr
  507     UINT64_C(2147491840),	// LEAX_ADDri
  508     UINT64_C(2147491840),	// LEA_ADDri
  509     UINT64_C(2175795936),	// LZCNT
  510     UINT64_C(2168709120),	// MEMBARi
  511     UINT64_C(2175803904),	// MOVDTOX
  512     UINT64_C(2170560512),	// MOVFCCri
  513     UINT64_C(2170552320),	// MOVFCCrr
  514     UINT64_C(2170822656),	// MOVICCri
  515     UINT64_C(2170814464),	// MOVICCrr
  516     UINT64_C(2172140544),	// MOVRGEZri
  517     UINT64_C(2172132352),	// MOVRGEZrr
  518     UINT64_C(2172139520),	// MOVRGZri
  519     UINT64_C(2172131328),	// MOVRGZrr
  520     UINT64_C(2172135424),	// MOVRLEZri
  521     UINT64_C(2172127232),	// MOVRLEZrr
  522     UINT64_C(2172136448),	// MOVRLZri
  523     UINT64_C(2172128256),	// MOVRLZrr
  524     UINT64_C(2172138496),	// MOVRNZri
  525     UINT64_C(2172130304),	// MOVRNZrr
  526     UINT64_C(2172134400),	// MOVRRZri
  527     UINT64_C(2172126208),	// MOVRRZrr
  528     UINT64_C(2175804000),	// MOVSTOSW
  529     UINT64_C(2175803936),	// MOVSTOUW
  530     UINT64_C(2175804192),	// MOVWTOS
  531     UINT64_C(2170826752),	// MOVXCCri
  532     UINT64_C(2170818560),	// MOVXCCrr
  533     UINT64_C(2175804160),	// MOVXTOD
  534     UINT64_C(2166366208),	// MULSCCri
  535     UINT64_C(2166358016),	// MULSCCrr
  536     UINT64_C(2152210432),	// MULXri
  537     UINT64_C(2152202240),	// MULXrr
  538     UINT64_C(16777216),	// NOP
  539     UINT64_C(2156929024),	// ORCCri
  540     UINT64_C(2156920832),	// ORCCrr
  541     UINT64_C(2159026176),	// ORNCCri
  542     UINT64_C(2159017984),	// ORNCCrr
  543     UINT64_C(2150637568),	// ORNri
  544     UINT64_C(2150629376),	// ORNrr
  545     UINT64_C(2150629376),	// ORXNrr
  546     UINT64_C(2148540416),	// ORXri
  547     UINT64_C(2148532224),	// ORXrr
  548     UINT64_C(2148540416),	// ORri
  549     UINT64_C(2148532224),	// ORrr
  550     UINT64_C(2175797184),	// PDIST
  551     UINT64_C(2175797216),	// PDISTN
  552     UINT64_C(2171600896),	// POPCrr
  553     UINT64_C(2206736384),	// PWRPSRri
  554     UINT64_C(2206728192),	// PWRPSRrr
  555     UINT64_C(2168455168),	// RDASR
  556     UINT64_C(2169503744),	// RDPR
  557     UINT64_C(2168979456),	// RDPSR
  558     UINT64_C(2170028032),	// RDTBR
  559     UINT64_C(2169503744),	// RDWIM
  560     UINT64_C(2179473408),	// RESTOREri
  561     UINT64_C(2179465216),	// RESTORErr
  562     UINT64_C(2177359872),	// RET
  563     UINT64_C(2177097728),	// RETL
  564     UINT64_C(2177376256),	// RETTri
  565     UINT64_C(2177368064),	// RETTrr
  566     UINT64_C(2178949120),	// SAVEri
  567     UINT64_C(2178940928),	// SAVErr
  568     UINT64_C(2163744768),	// SDIVCCri
  569     UINT64_C(2163736576),	// SDIVCCrr
  570     UINT64_C(2171084800),	// SDIVXri
  571     UINT64_C(2171076608),	// SDIVXrr
  572     UINT64_C(2155356160),	// SDIVri
  573     UINT64_C(2155347968),	// SDIVrr
  574     UINT64_C(16777216),	// SETHIXi
  575     UINT64_C(16777216),	// SETHIi
  576     UINT64_C(2175799296),	// SHUTDOWN
  577     UINT64_C(2175799328),	// SIAM
  578     UINT64_C(2166894592),	// SLLXri
  579     UINT64_C(2166886400),	// SLLXrr
  580     UINT64_C(2166890496),	// SLLri
  581     UINT64_C(2166882304),	// SLLrr
  582     UINT64_C(2180521984),	// SMACri
  583     UINT64_C(2180513792),	// SMACrr
  584     UINT64_C(2161647616),	// SMULCCri
  585     UINT64_C(2161639424),	// SMULCCrr
  586     UINT64_C(2153259008),	// SMULri
  587     UINT64_C(2153250816),	// SMULrr
  588     UINT64_C(2167943168),	// SRAXri
  589     UINT64_C(2167934976),	// SRAXrr
  590     UINT64_C(2167939072),	// SRAri
  591     UINT64_C(2167930880),	// SRArr
  592     UINT64_C(2167418880),	// SRLXri
  593     UINT64_C(2167410688),	// SRLXrr
  594     UINT64_C(2167414784),	// SRLri
  595     UINT64_C(2167406592),	// SRLrr
  596     UINT64_C(3231711232),	// STArr
  597     UINT64_C(2168700928),	// STBAR
  598     UINT64_C(3232235520),	// STBArr
  599     UINT64_C(3223855104),	// STBri
  600     UINT64_C(3223846912),	// STBrr
  601     UINT64_C(3249020928),	// STCSRri
  602     UINT64_C(3249012736),	// STCSRrr
  603     UINT64_C(3248496640),	// STCri
  604     UINT64_C(3248488448),	// STCrr
  605     UINT64_C(3233284096),	// STDArr
  606     UINT64_C(3249545216),	// STDCQri
  607     UINT64_C(3249537024),	// STDCQrr
  608     UINT64_C(3250069504),	// STDCri
  609     UINT64_C(3250061312),	// STDCrr
  610     UINT64_C(3250061312),	// STDFArr
  611     UINT64_C(3241156608),	// STDFQri
  612     UINT64_C(3241148416),	// STDFQrr
  613     UINT64_C(3241680896),	// STDFri
  614     UINT64_C(3241672704),	// STDFrr
  615     UINT64_C(3224903680),	// STDri
  616     UINT64_C(3224895488),	// STDrr
  617     UINT64_C(3248488448),	// STFArr
  618     UINT64_C(3240632320),	// STFSRri
  619     UINT64_C(3240624128),	// STFSRrr
  620     UINT64_C(3240108032),	// STFri
  621     UINT64_C(3240099840),	// STFrr
  622     UINT64_C(3232759808),	// STHArr
  623     UINT64_C(3224379392),	// STHri
  624     UINT64_C(3224371200),	// STHrr
  625     UINT64_C(3249537024),	// STQFArr
  626     UINT64_C(3241156608),	// STQFri
  627     UINT64_C(3241148416),	// STQFrr
  628     UINT64_C(3274186752),	// STXFSRri
  629     UINT64_C(3274178560),	// STXFSRrr
  630     UINT64_C(3228573696),	// STXri
  631     UINT64_C(3228565504),	// STXrr
  632     UINT64_C(3223330816),	// STri
  633     UINT64_C(3223322624),	// STrr
  634     UINT64_C(2157977600),	// SUBCCri
  635     UINT64_C(2157969408),	// SUBCCrr
  636     UINT64_C(2153783296),	// SUBCri
  637     UINT64_C(2153775104),	// SUBCrr
  638     UINT64_C(2162171904),	// SUBEri
  639     UINT64_C(2162163712),	// SUBErr
  640     UINT64_C(2149588992),	// SUBXri
  641     UINT64_C(2149580800),	// SUBXrr
  642     UINT64_C(2149588992),	// SUBri
  643     UINT64_C(2149580800),	// SUBrr
  644     UINT64_C(3237478400),	// SWAPArr
  645     UINT64_C(3229097984),	// SWAPri
  646     UINT64_C(3229089792),	// SWAPrr
  647     UINT64_C(2446336001),	// TA1
  648     UINT64_C(2446336003),	// TA3
  649     UINT64_C(2446336005),	// TA5
  650     UINT64_C(2165317632),	// TADDCCTVri
  651     UINT64_C(2165309440),	// TADDCCTVrr
  652     UINT64_C(2164269056),	// TADDCCri
  653     UINT64_C(2164260864),	// TADDCCrr
  654     UINT64_C(2177900544),	// TICCri
  655     UINT64_C(2177892352),	// TICCrr
  656     UINT64_C(2147483648),	// TLS_ADDXrr
  657     UINT64_C(2147483648),	// TLS_ADDrr
  658     UINT64_C(1073741824),	// TLS_CALL
  659     UINT64_C(3226992640),	// TLS_LDXrr
  660     UINT64_C(3221225472),	// TLS_LDrr
  661     UINT64_C(2177900544),	// TRAPri
  662     UINT64_C(2177892352),	// TRAPrr
  663     UINT64_C(2165841920),	// TSUBCCTVri
  664     UINT64_C(2165833728),	// TSUBCCTVrr
  665     UINT64_C(2164793344),	// TSUBCCri
  666     UINT64_C(2164785152),	// TSUBCCrr
  667     UINT64_C(2177904640),	// TXCCri
  668     UINT64_C(2177896448),	// TXCCrr
  669     UINT64_C(2163220480),	// UDIVCCri
  670     UINT64_C(2163212288),	// UDIVCCrr
  671     UINT64_C(2154307584),	// UDIVXri
  672     UINT64_C(2154299392),	// UDIVXrr
  673     UINT64_C(2154831872),	// UDIVri
  674     UINT64_C(2154823680),	// UDIVrr
  675     UINT64_C(2179997696),	// UMACri
  676     UINT64_C(2179989504),	// UMACrr
  677     UINT64_C(2161123328),	// UMULCCri
  678     UINT64_C(2161115136),	// UMULCCrr
  679     UINT64_C(2175795904),	// UMULXHI
  680     UINT64_C(2152734720),	// UMULri
  681     UINT64_C(2152726528),	// UMULrr
  682     UINT64_C(0),	// UNIMP
  683     UINT64_C(2175273536),	// V9FCMPD
  684     UINT64_C(2175273664),	// V9FCMPED
  685     UINT64_C(2175273696),	// V9FCMPEQ
  686     UINT64_C(2175273632),	// V9FCMPES
  687     UINT64_C(2175273568),	// V9FCMPQ
  688     UINT64_C(2175273504),	// V9FCMPS
  689     UINT64_C(2175270976),	// V9FMOVD_FCC
  690     UINT64_C(2175271008),	// V9FMOVQ_FCC
  691     UINT64_C(2175270944),	// V9FMOVS_FCC
  692     UINT64_C(2170560512),	// V9MOVFCCri
  693     UINT64_C(2170552320),	// V9MOVFCCrr
  694     UINT64_C(2172657664),	// WRASRri
  695     UINT64_C(2172649472),	// WRASRrr
  696     UINT64_C(2173706240),	// WRPRri
  697     UINT64_C(2173698048),	// WRPRrr
  698     UINT64_C(2173181952),	// WRPSRri
  699     UINT64_C(2173173760),	// WRPSRrr
  700     UINT64_C(2174230528),	// WRTBRri
  701     UINT64_C(2174222336),	// WRTBRrr
  702     UINT64_C(2173706240),	// WRWIMri
  703     UINT64_C(2173698048),	// WRWIMrr
  704     UINT64_C(2175804064),	// XMULX
  705     UINT64_C(2175804128),	// XMULXHI
  706     UINT64_C(2159550464),	// XNORCCri
  707     UINT64_C(2159542272),	// XNORCCrr
  708     UINT64_C(2151153664),	// XNORXrr
  709     UINT64_C(2151161856),	// XNORri
  710     UINT64_C(2151153664),	// XNORrr
  711     UINT64_C(2157453312),	// XORCCri
  712     UINT64_C(2157445120),	// XORCCrr
  713     UINT64_C(2149064704),	// XORXri
  714     UINT64_C(2149056512),	// XORXrr
  715     UINT64_C(2149064704),	// XORri
  716     UINT64_C(2149056512),	// XORrr
  717     UINT64_C(0)
  741       op &= UINT64_C(3);
  746       op &= UINT64_C(15);
  751       op &= UINT64_C(524287);
  765       op &= UINT64_C(15);
  770       op &= UINT64_C(524287);
  778       op &= UINT64_C(1073741823);
  808       Value |= (op & UINT64_C(49152)) << 6;
  809       Value |= (op & UINT64_C(16383));
  812       op &= UINT64_C(31);
  820       op &= UINT64_C(4194303);
  832       op &= UINT64_C(4194303);
  836       op &= UINT64_C(15);
  844       op &= UINT64_C(4194303);
  852       op &= UINT64_C(4194303);
  856       op &= UINT64_C(31);
  870       op &= UINT64_C(31);
  878       op &= UINT64_C(31);
  883       op &= UINT64_C(3);
  888       op &= UINT64_C(15);
  893       op &= UINT64_C(31);
  900       op &= UINT64_C(31);
  905       op &= UINT64_C(3);
  910       op &= UINT64_C(15);
  915       op &= UINT64_C(2047);
  933       op &= UINT64_C(31);
  938       op &= UINT64_C(15);
  943       op &= UINT64_C(31);
  952       op &= UINT64_C(31);
  957       op &= UINT64_C(15);
  962       op &= UINT64_C(2047);
  971       op &= UINT64_C(31);
  976       op &= UINT64_C(15);
  981       op &= UINT64_C(3);
  986       op &= UINT64_C(31);
  998       op &= UINT64_C(31);
 1003       op &= UINT64_C(31);
 1021       op &= UINT64_C(31);
 1026       op &= UINT64_C(31);
 1031       op &= UINT64_C(255);
 1036       op &= UINT64_C(31);
 1043       op &= UINT64_C(31);
 1048       op &= UINT64_C(31);
 1053       op &= UINT64_C(255);
 1058       op &= UINT64_C(31);
 1283       op &= UINT64_C(31);
 1288       op &= UINT64_C(31);
 1293       op &= UINT64_C(31);
 1302       op &= UINT64_C(31);
 1307       op &= UINT64_C(31);
 1312       op &= UINT64_C(63);
 1324       op &= UINT64_C(31);
 1329       op &= UINT64_C(31);
 1334       op &= UINT64_C(1023);
 1408       op &= UINT64_C(31);
 1413       op &= UINT64_C(31);
 1418       op &= UINT64_C(8191);
 1468       op &= UINT64_C(31);
 1473       op &= UINT64_C(31);
 1486       op &= UINT64_C(31);
 1491       op &= UINT64_C(31);
 1496       op &= UINT64_C(255);
 1501       op &= UINT64_C(31);
 1517       op &= UINT64_C(31);
 1522       op &= UINT64_C(31);
 1527       op &= UINT64_C(31);
 1543       op &= UINT64_C(31);
 1548       op &= UINT64_C(31);
 1553       op &= UINT64_C(8191);
 1562       op &= UINT64_C(31);
 1567       op &= UINT64_C(15);
 1572       op &= UINT64_C(255);
 1581       op &= UINT64_C(31);
 1586       op &= UINT64_C(15);
 1591       op &= UINT64_C(31);
 1617       op &= UINT64_C(31);
 1622       op &= UINT64_C(31);
 1645       op &= UINT64_C(31);
 1650       op &= UINT64_C(8191);
 1659       op &= UINT64_C(31);
 1668       op &= UINT64_C(8191);
gen/lib/Target/SystemZ/SystemZGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(0),
  196     UINT64_C(0),
  197     UINT64_C(0),
  198     UINT64_C(0),
  199     UINT64_C(0),
  200     UINT64_C(0),
  201     UINT64_C(0),
  202     UINT64_C(0),
  203     UINT64_C(0),
  204     UINT64_C(0),
  205     UINT64_C(0),
  206     UINT64_C(0),
  207     UINT64_C(0),
  208     UINT64_C(0),
  209     UINT64_C(0),
  210     UINT64_C(0),
  211     UINT64_C(0),
  212     UINT64_C(0),
  213     UINT64_C(0),
  214     UINT64_C(0),
  215     UINT64_C(0),
  216     UINT64_C(0),
  217     UINT64_C(0),
  218     UINT64_C(0),
  219     UINT64_C(0),
  220     UINT64_C(0),
  221     UINT64_C(0),
  222     UINT64_C(0),
  223     UINT64_C(0),
  224     UINT64_C(0),
  225     UINT64_C(0),
  226     UINT64_C(0),
  227     UINT64_C(0),
  228     UINT64_C(0),
  229     UINT64_C(0),
  230     UINT64_C(0),
  231     UINT64_C(0),
  232     UINT64_C(0),
  233     UINT64_C(0),
  234     UINT64_C(0),
  235     UINT64_C(0),
  236     UINT64_C(0),
  237     UINT64_C(0),
  238     UINT64_C(0),
  239     UINT64_C(0),
  240     UINT64_C(0),
  241     UINT64_C(0),
  242     UINT64_C(0),
  243     UINT64_C(0),
  244     UINT64_C(0),
  245     UINT64_C(0),
  246     UINT64_C(0),
  247     UINT64_C(0),
  248     UINT64_C(0),
  249     UINT64_C(0),
  250     UINT64_C(0),
  251     UINT64_C(0),
  252     UINT64_C(0),
  253     UINT64_C(0),
  254     UINT64_C(0),
  255     UINT64_C(0),
  256     UINT64_C(0),
  257     UINT64_C(0),
  258     UINT64_C(0),
  259     UINT64_C(0),
  260     UINT64_C(0),
  261     UINT64_C(0),
  262     UINT64_C(0),
  263     UINT64_C(0),
  264     UINT64_C(0),
  265     UINT64_C(0),
  266     UINT64_C(0),
  267     UINT64_C(0),
  268     UINT64_C(0),
  269     UINT64_C(0),
  270     UINT64_C(0),
  271     UINT64_C(0),
  272     UINT64_C(0),
  273     UINT64_C(0),
  274     UINT64_C(0),
  275     UINT64_C(0),
  276     UINT64_C(0),
  277     UINT64_C(0),
  278     UINT64_C(0),
  279     UINT64_C(0),
  280     UINT64_C(0),
  281     UINT64_C(0),
  282     UINT64_C(0),
  283     UINT64_C(0),
  284     UINT64_C(0),
  285     UINT64_C(0),
  286     UINT64_C(0),
  287     UINT64_C(0),
  288     UINT64_C(0),
  289     UINT64_C(0),
  290     UINT64_C(0),
  291     UINT64_C(0),
  292     UINT64_C(0),
  293     UINT64_C(0),
  294     UINT64_C(0),
  295     UINT64_C(0),
  296     UINT64_C(0),
  297     UINT64_C(0),
  298     UINT64_C(0),
  299     UINT64_C(0),
  300     UINT64_C(0),
  301     UINT64_C(0),
  302     UINT64_C(0),
  303     UINT64_C(0),
  304     UINT64_C(0),
  305     UINT64_C(0),
  306     UINT64_C(0),
  307     UINT64_C(0),
  308     UINT64_C(0),
  309     UINT64_C(0),
  310     UINT64_C(0),
  311     UINT64_C(0),
  312     UINT64_C(0),
  313     UINT64_C(0),
  314     UINT64_C(0),
  315     UINT64_C(0),
  316     UINT64_C(0),
  317     UINT64_C(0),
  318     UINT64_C(0),
  319     UINT64_C(0),
  320     UINT64_C(0),
  321     UINT64_C(0),
  322     UINT64_C(0),
  323     UINT64_C(0),
  324     UINT64_C(0),
  325     UINT64_C(0),
  326     UINT64_C(0),
  327     UINT64_C(0),
  328     UINT64_C(0),
  329     UINT64_C(0),
  330     UINT64_C(0),
  331     UINT64_C(0),
  332     UINT64_C(0),
  333     UINT64_C(0),
  334     UINT64_C(0),
  335     UINT64_C(0),
  336     UINT64_C(0),
  337     UINT64_C(0),
  338     UINT64_C(0),
  339     UINT64_C(0),
  340     UINT64_C(0),
  341     UINT64_C(0),
  342     UINT64_C(0),
  343     UINT64_C(0),
  344     UINT64_C(0),
  345     UINT64_C(0),
  346     UINT64_C(0),
  347     UINT64_C(0),
  348     UINT64_C(0),
  349     UINT64_C(0),
  350     UINT64_C(0),
  351     UINT64_C(0),
  352     UINT64_C(0),
  353     UINT64_C(0),
  354     UINT64_C(0),
  355     UINT64_C(0),
  356     UINT64_C(0),
  357     UINT64_C(0),
  358     UINT64_C(0),
  359     UINT64_C(0),
  360     UINT64_C(0),
  361     UINT64_C(0),
  362     UINT64_C(0),
  363     UINT64_C(0),
  364     UINT64_C(0),
  365     UINT64_C(0),
  366     UINT64_C(0),
  367     UINT64_C(0),
  368     UINT64_C(0),
  369     UINT64_C(0),
  370     UINT64_C(0),
  371     UINT64_C(0),
  372     UINT64_C(0),
  373     UINT64_C(0),
  374     UINT64_C(0),
  375     UINT64_C(0),
  376     UINT64_C(0),
  377     UINT64_C(0),
  378     UINT64_C(0),
  379     UINT64_C(0),
  380     UINT64_C(0),
  381     UINT64_C(0),
  382     UINT64_C(0),
  383     UINT64_C(0),
  384     UINT64_C(0),
  385     UINT64_C(0),
  386     UINT64_C(0),
  387     UINT64_C(0),
  388     UINT64_C(0),
  389     UINT64_C(0),
  390     UINT64_C(0),
  391     UINT64_C(0),
  392     UINT64_C(0),
  393     UINT64_C(0),
  394     UINT64_C(0),
  395     UINT64_C(0),
  396     UINT64_C(0),
  397     UINT64_C(0),
  398     UINT64_C(0),
  399     UINT64_C(0),
  400     UINT64_C(0),
  401     UINT64_C(0),
  402     UINT64_C(0),
  403     UINT64_C(0),
  404     UINT64_C(0),
  405     UINT64_C(0),
  406     UINT64_C(0),
  407     UINT64_C(0),
  408     UINT64_C(0),
  409     UINT64_C(0),
  410     UINT64_C(0),
  411     UINT64_C(0),
  412     UINT64_C(0),
  413     UINT64_C(0),
  414     UINT64_C(0),
  415     UINT64_C(0),
  416     UINT64_C(0),
  417     UINT64_C(0),
  418     UINT64_C(0),
  419     UINT64_C(0),
  420     UINT64_C(0),
  421     UINT64_C(0),
  422     UINT64_C(0),
  423     UINT64_C(0),
  424     UINT64_C(0),
  425     UINT64_C(0),
  426     UINT64_C(0),
  427     UINT64_C(0),
  428     UINT64_C(0),
  429     UINT64_C(0),
  430     UINT64_C(0),
  431     UINT64_C(0),
  432     UINT64_C(1509949440),	// A
  433     UINT64_C(1778384896),	// AD
  434     UINT64_C(260584255782938),	// ADB
  435     UINT64_C(3004825600),	// ADBR
  436     UINT64_C(10752),	// ADR
  437     UINT64_C(3016884224),	// ADTR
  438     UINT64_C(3016884224),	// ADTRA
  439     UINT64_C(2046820352),	// AE
  440     UINT64_C(260584255782922),	// AEB
  441     UINT64_C(3003777024),	// AEBR
  442     UINT64_C(14848),	// AER
  443     UINT64_C(213343910494208),	// AFI
  444     UINT64_C(249589139505160),	// AG
  445     UINT64_C(249589139505176),	// AGF
  446     UINT64_C(213339615526912),	// AGFI
  447     UINT64_C(3105357824),	// AGFR
  448     UINT64_C(249589139505208),	// AGH
  449     UINT64_C(2802515968),	// AGHI
  450     UINT64_C(259484744155353),	// AGHIK
  451     UINT64_C(3104309248),	// AGR
  452     UINT64_C(3118989312),	// AGRK
  453     UINT64_C(258385232527482),	// AGSI
  454     UINT64_C(1241513984),	// AH
  455     UINT64_C(3116892160),	// AHHHR
  456     UINT64_C(3117940736),	// AHHLR
  457     UINT64_C(2802450432),	// AHI
  458     UINT64_C(259484744155352),	// AHIK
  459     UINT64_C(249589139505274),	// AHY
  460     UINT64_C(224334731804672),	// AIH
  461     UINT64_C(1577058304),	// AL
  462     UINT64_C(249589139505304),	// ALC
  463     UINT64_C(249589139505288),	// ALCG
  464     UINT64_C(3112697856),	// ALCGR
  465     UINT64_C(3113746432),	// ALCR
  466     UINT64_C(213352500428800),	// ALFI
  467     UINT64_C(249589139505162),	// ALG
  468     UINT64_C(249589139505178),	// ALGF
  469     UINT64_C(213348205461504),	// ALGFI
  470     UINT64_C(3105488896),	// ALGFR
  471     UINT64_C(259484744155355),	// ALGHSIK
  472     UINT64_C(3104440320),	// ALGR
  473     UINT64_C(3119120384),	// ALGRK
  474     UINT64_C(258385232527486),	// ALGSI
  475     UINT64_C(3117023232),	// ALHHHR
  476     UINT64_C(3118071808),	// ALHHLR
  477     UINT64_C(259484744155354),	// ALHSIK
  478     UINT64_C(7680),	// ALR
  479     UINT64_C(3120168960),	// ALRK
  480     UINT64_C(258385232527470),	// ALSI
  481     UINT64_C(224343321739264),	// ALSIH
  482     UINT64_C(224347616706560),	// ALSIHN
  483     UINT64_C(249589139505246),	// ALY
  484     UINT64_C(274877906944000),	// AP
  485     UINT64_C(6656),	// AR
  486     UINT64_C(3120037888),	// ARK
  487     UINT64_C(258385232527466),	// ASI
  488     UINT64_C(2113929216),	// AU
  489     UINT64_C(15872),	// AUR
  490     UINT64_C(1845493760),	// AW
  491     UINT64_C(11776),	// AWR
  492     UINT64_C(3007971328),	// AXBR
  493     UINT64_C(13824),	// AXR
  494     UINT64_C(3017408512),	// AXTR
  495     UINT64_C(3017408512),	// AXTRA
  496     UINT64_C(249589139505242),	// AY
  497     UINT64_C(1206910976),	// B
  498     UINT64_C(2990538752),	// BAKR
  499     UINT64_C(1157627904),	// BAL
  500     UINT64_C(1280),	// BALR
  501     UINT64_C(1291845632),	// BAS
  502     UINT64_C(3328),	// BASR
  503     UINT64_C(3072),	// BASSM
  504     UINT64_C(1199570944),	// BAsmE
  505     UINT64_C(1193279488),	// BAsmH
  506     UINT64_C(1201668096),	// BAsmHE
  507     UINT64_C(1195376640),	// BAsmL
  508     UINT64_C(1203765248),	// BAsmLE
  509     UINT64_C(1197473792),	// BAsmLH
  510     UINT64_C(1195376640),	// BAsmM
  511     UINT64_C(1198522368),	// BAsmNE
  512     UINT64_C(1204813824),	// BAsmNH
  513     UINT64_C(1196425216),	// BAsmNHE
  514     UINT64_C(1202716672),	// BAsmNL
  515     UINT64_C(1194328064),	// BAsmNLE
  516     UINT64_C(1200619520),	// BAsmNLH
  517     UINT64_C(1202716672),	// BAsmNM
  518     UINT64_C(1205862400),	// BAsmNO
  519     UINT64_C(1204813824),	// BAsmNP
  520     UINT64_C(1198522368),	// BAsmNZ
  521     UINT64_C(1192230912),	// BAsmO
  522     UINT64_C(1193279488),	// BAsmP
  523     UINT64_C(1199570944),	// BAsmZ
  524     UINT64_C(1191182336),	// BC
  525     UINT64_C(1191182336),	// BCAsm
  526     UINT64_C(1792),	// BCR
  527     UINT64_C(1792),	// BCRAsm
  528     UINT64_C(1174405120),	// BCT
  529     UINT64_C(249589139505222),	// BCTG
  530     UINT64_C(3108372480),	// BCTGR
  531     UINT64_C(1536),	// BCTR
  532     UINT64_C(250619931656263),	// BI
  533     UINT64_C(250138895319111),	// BIAsmE
  534     UINT64_C(249726578458695),	// BIAsmH
  535     UINT64_C(250276334272583),	// BIAsmHE
  536     UINT64_C(249864017412167),	// BIAsmL
  537     UINT64_C(250413773226055),	// BIAsmLE
  538     UINT64_C(250001456365639),	// BIAsmLH
  539     UINT64_C(249864017412167),	// BIAsmM
  540     UINT64_C(250070175842375),	// BIAsmNE
  541     UINT64_C(250482492702791),	// BIAsmNH
  542     UINT64_C(249932736888903),	// BIAsmNHE
  543     UINT64_C(250345053749319),	// BIAsmNL
  544     UINT64_C(249795297935431),	// BIAsmNLE
  545     UINT64_C(250207614795847),	// BIAsmNLH
  546     UINT64_C(250345053749319),	// BIAsmNM
  547     UINT64_C(250551212179527),	// BIAsmNO
  548     UINT64_C(250482492702791),	// BIAsmNP
  549     UINT64_C(250070175842375),	// BIAsmNZ
  550     UINT64_C(249657858981959),	// BIAsmO
  551     UINT64_C(249726578458695),	// BIAsmP
  552     UINT64_C(250138895319111),	// BIAsmZ
  553     UINT64_C(249589139505223),	// BIC
  554     UINT64_C(249589139505223),	// BICAsm
  555     UINT64_C(218802813927424),	// BPP
  556     UINT64_C(216603790671872),	// BPRP
  557     UINT64_C(2032),	// BR
  558     UINT64_C(2802122752),	// BRAS
  559     UINT64_C(211127707369472),	// BRASL
  560     UINT64_C(1920),	// BRAsmE
  561     UINT64_C(1824),	// BRAsmH
  562     UINT64_C(1952),	// BRAsmHE
  563     UINT64_C(1856),	// BRAsmL
  564     UINT64_C(1984),	// BRAsmLE
  565     UINT64_C(1888),	// BRAsmLH
  566     UINT64_C(1856),	// BRAsmM
  567     UINT64_C(1904),	// BRAsmNE
  568     UINT64_C(2000),	// BRAsmNH
  569     UINT64_C(1872),	// BRAsmNHE
  570     UINT64_C(1968),	// BRAsmNL
  571     UINT64_C(1840),	// BRAsmNLE
  572     UINT64_C(1936),	// BRAsmNLH
  573     UINT64_C(1968),	// BRAsmNM
  574     UINT64_C(2016),	// BRAsmNO
  575     UINT64_C(2000),	// BRAsmNP
  576     UINT64_C(1904),	// BRAsmNZ
  577     UINT64_C(1808),	// BRAsmO
  578     UINT64_C(1824),	// BRAsmP
  579     UINT64_C(1920),	// BRAsmZ
  580     UINT64_C(2802057216),	// BRC
  581     UINT64_C(2802057216),	// BRCAsm
  582     UINT64_C(211123412402176),	// BRCL
  583     UINT64_C(211123412402176),	// BRCLAsm
  584     UINT64_C(2802188288),	// BRCT
  585     UINT64_C(2802253824),	// BRCTG
  586     UINT64_C(224326141870080),	// BRCTH
  587     UINT64_C(2214592512),	// BRXH
  588     UINT64_C(259484744155204),	// BRXHG
  589     UINT64_C(2231369728),	// BRXLE
  590     UINT64_C(259484744155205),	// BRXLG
  591     UINT64_C(2992242688),	// BSA
  592     UINT64_C(2992111616),	// BSG
  593     UINT64_C(2816),	// BSM
  594     UINT64_C(2248146944),	// BXH
  595     UINT64_C(258385232527428),	// BXHG
  596     UINT64_C(2264924160),	// BXLE
  597     UINT64_C(258385232527429),	// BXLEG
  598     UINT64_C(1493172224),	// C
  599     UINT64_C(1761607680),	// CD
  600     UINT64_C(260584255782937),	// CDB
  601     UINT64_C(3004760064),	// CDBR
  602     UINT64_C(3012886528),	// CDFBR
  603     UINT64_C(3012886528),	// CDFBRA
  604     UINT64_C(3014983680),	// CDFR
  605     UINT64_C(3109093376),	// CDFTR
  606     UINT64_C(3013935104),	// CDGBR
  607     UINT64_C(3013935104),	// CDGBRA
  608     UINT64_C(3016032256),	// CDGR
  609     UINT64_C(3018915840),	// CDGTR
  610     UINT64_C(3018915840),	// CDGTRA
  611     UINT64_C(3012624384),	// CDLFBR
  612     UINT64_C(3109224448),	// CDLFTR
  613     UINT64_C(3013672960),	// CDLGBR
  614     UINT64_C(3109158912),	// CDLGTR
  615     UINT64_C(260584255783086),	// CDPT
  616     UINT64_C(10496),	// CDR
  617     UINT64_C(3137339392),	// CDS
  618     UINT64_C(258385232527422),	// CDSG
  619     UINT64_C(3019046912),	// CDSTR
  620     UINT64_C(258385232527409),	// CDSY
  621     UINT64_C(3018063872),	// CDTR
  622     UINT64_C(3018981376),	// CDUTR
  623     UINT64_C(260584255783082),	// CDZT
  624     UINT64_C(2030043136),	// CE
  625     UINT64_C(260584255782921),	// CEB
  626     UINT64_C(3003711488),	// CEBR
  627     UINT64_C(3019112448),	// CEDTR
  628     UINT64_C(3012820992),	// CEFBR
  629     UINT64_C(3012820992),	// CEFBRA
  630     UINT64_C(3014918144),	// CEFR
  631     UINT64_C(3013869568),	// CEGBR
  632     UINT64_C(3013869568),	// CEGBRA
  633     UINT64_C(3015966720),	// CEGR
  634     UINT64_C(3012558848),	// CELFBR
  635     UINT64_C(3013607424),	// CELGBR
  636     UINT64_C(14592),	// CER
  637     UINT64_C(3019636736),	// CEXTR
  638     UINT64_C(2988048384),	// CFC
  639     UINT64_C(3013148672),	// CFDBR
  640     UINT64_C(3013148672),	// CFDBRA
  641     UINT64_C(3015245824),	// CFDR
  642     UINT64_C(3108044800),	// CFDTR
  643     UINT64_C(3013083136),	// CFEBR
  644     UINT64_C(3013083136),	// CFEBRA
  645     UINT64_C(3015180288),	// CFER
  646     UINT64_C(213361090363392),	// CFI
  647     UINT64_C(3013214208),	// CFXBR
  648     UINT64_C(3013214208),	// CFXBRA
  649     UINT64_C(3015311360),	// CFXR
  650     UINT64_C(3108569088),	// CFXTR
  651     UINT64_C(249589139505184),	// CG
  652     UINT64_C(3014197248),	// CGDBR
  653     UINT64_C(3014197248),	// CGDBRA
  654     UINT64_C(3016294400),	// CGDR
  655     UINT64_C(3017867264),	// CGDTR
  656     UINT64_C(3017867264),	// CGDTRA
  657     UINT64_C(3014131712),	// CGEBR
  658     UINT64_C(3014131712),	// CGEBRA
  659     UINT64_C(3016228864),	// CGER
  660     UINT64_C(249589139505200),	// CGF
  661     UINT64_C(213356795396096),	// CGFI
  662     UINT64_C(3106930688),	// CGFR
  663     UINT64_C(217754841907200),	// CGFRL
  664     UINT64_C(249589139505204),	// CGH
  665     UINT64_C(2802778112),	// CGHI
  666     UINT64_C(217720482168832),	// CGHRL
  667     UINT64_C(252166119882752),	// CGHSI
  668     UINT64_C(259484744155388),	// CGIB
  669     UINT64_C(259484744155388),	// CGIBAsm
  670     UINT64_C(259519103893756),	// CGIBAsmE
  671     UINT64_C(259493334089980),	// CGIBAsmH
  672     UINT64_C(259527693828348),	// CGIBAsmHE
  673     UINT64_C(259501924024572),	// CGIBAsmL
  674     UINT64_C(259536283762940),	// CGIBAsmLE
  675     UINT64_C(259510513959164),	// CGIBAsmLH
  676     UINT64_C(259510513959164),	// CGIBAsmNE
  677     UINT64_C(259536283762940),	// CGIBAsmNH
  678     UINT64_C(259501924024572),	// CGIBAsmNHE
  679     UINT64_C(259527693828348),	// CGIBAsmNL
  680     UINT64_C(259493334089980),	// CGIBAsmNLE
  681     UINT64_C(259519103893756),	// CGIBAsmNLH
  682     UINT64_C(259484744155260),	// CGIJ
  683     UINT64_C(259484744155260),	// CGIJAsm
  684     UINT64_C(259519103893628),	// CGIJAsmE
  685     UINT64_C(259493334089852),	// CGIJAsmH
  686     UINT64_C(259527693828220),	// CGIJAsmHE
  687     UINT64_C(259501924024444),	// CGIJAsmL
  688     UINT64_C(259536283762812),	// CGIJAsmLE
  689     UINT64_C(259510513959036),	// CGIJAsmLH
  690     UINT64_C(259510513959036),	// CGIJAsmNE
  691     UINT64_C(259536283762812),	// CGIJAsmNH
  692     UINT64_C(259501924024444),	// CGIJAsmNHE
  693     UINT64_C(259527693828220),	// CGIJAsmNL
  694     UINT64_C(259493334089852),	// CGIJAsmNLE
  695     UINT64_C(259519103893628),	// CGIJAsmNLH
  696     UINT64_C(259484744155248),	// CGIT
  697     UINT64_C(259484744155248),	// CGITAsm
  698     UINT64_C(259484744188016),	// CGITAsmE
  699     UINT64_C(259484744163440),	// CGITAsmH
  700     UINT64_C(259484744196208),	// CGITAsmHE
  701     UINT64_C(259484744171632),	// CGITAsmL
  702     UINT64_C(259484744204400),	// CGITAsmLE
  703     UINT64_C(259484744179824),	// CGITAsmLH
  704     UINT64_C(259484744179824),	// CGITAsmNE
  705     UINT64_C(259484744204400),	// CGITAsmNH
  706     UINT64_C(259484744171632),	// CGITAsmNHE
  707     UINT64_C(259484744196208),	// CGITAsmNL
  708     UINT64_C(259484744163440),	// CGITAsmNLE
  709     UINT64_C(259484744188016),	// CGITAsmNLH
  710     UINT64_C(3105882112),	// CGR
  711     UINT64_C(259484744155364),	// CGRB
  712     UINT64_C(259484744155364),	// CGRBAsm
  713     UINT64_C(259484744188132),	// CGRBAsmE
  714     UINT64_C(259484744163556),	// CGRBAsmH
  715     UINT64_C(259484744196324),	// CGRBAsmHE
  716     UINT64_C(259484744171748),	// CGRBAsmL
  717     UINT64_C(259484744204516),	// CGRBAsmLE
  718     UINT64_C(259484744179940),	// CGRBAsmLH
  719     UINT64_C(259484744179940),	// CGRBAsmNE
  720     UINT64_C(259484744204516),	// CGRBAsmNH
  721     UINT64_C(259484744171748),	// CGRBAsmNHE
  722     UINT64_C(259484744196324),	// CGRBAsmNL
  723     UINT64_C(259484744163556),	// CGRBAsmNLE
  724     UINT64_C(259484744188132),	// CGRBAsmNLH
  725     UINT64_C(259484744155236),	// CGRJ
  726     UINT64_C(259484744155236),	// CGRJAsm
  727     UINT64_C(259484744188004),	// CGRJAsmE
  728     UINT64_C(259484744163428),	// CGRJAsmH
  729     UINT64_C(259484744196196),	// CGRJAsmHE
  730     UINT64_C(259484744171620),	// CGRJAsmL
  731     UINT64_C(259484744204388),	// CGRJAsmLE
  732     UINT64_C(259484744179812),	// CGRJAsmLH
  733     UINT64_C(259484744179812),	// CGRJAsmNE
  734     UINT64_C(259484744204388),	// CGRJAsmNH
  735     UINT64_C(259484744171620),	// CGRJAsmNHE
  736     UINT64_C(259484744196196),	// CGRJAsmNL
  737     UINT64_C(259484744163428),	// CGRJAsmNLE
  738     UINT64_C(259484744188004),	// CGRJAsmNLH
  739     UINT64_C(217737662038016),	// CGRL
  740     UINT64_C(3110076416),	// CGRT
  741     UINT64_C(3110076416),	// CGRTAsm
  742     UINT64_C(3110109184),	// CGRTAsmE
  743     UINT64_C(3110084608),	// CGRTAsmH
  744     UINT64_C(3110117376),	// CGRTAsmHE
  745     UINT64_C(3110092800),	// CGRTAsmL
  746     UINT64_C(3110125568),	// CGRTAsmLE
  747     UINT64_C(3110100992),	// CGRTAsmLH
  748     UINT64_C(3110100992),	// CGRTAsmNE
  749     UINT64_C(3110125568),	// CGRTAsmNH
  750     UINT64_C(3110092800),	// CGRTAsmNHE
  751     UINT64_C(3110117376),	// CGRTAsmNL
  752     UINT64_C(3110084608),	// CGRTAsmNLE
  753     UINT64_C(3110109184),	// CGRTAsmNLH
  754     UINT64_C(3014262784),	// CGXBR
  755     UINT64_C(3014262784),	// CGXBRA
  756     UINT64_C(3016359936),	// CGXR
  757     UINT64_C(3018391552),	// CGXTR
  758     UINT64_C(3018391552),	// CGXTRA
  759     UINT64_C(1224736768),	// CH
  760     UINT64_C(249589139505357),	// CHF
  761     UINT64_C(3117219840),	// CHHR
  762     UINT64_C(252148940013568),	// CHHSI
  763     UINT64_C(2802712576),	// CHI
  764     UINT64_C(3118268416),	// CHLR
  765     UINT64_C(217724777136128),	// CHRL
  766     UINT64_C(252183299751936),	// CHSI
  767     UINT64_C(249589139505273),	// CHY
  768     UINT64_C(259484744155390),	// CIB
  769     UINT64_C(259484744155390),	// CIBAsm
  770     UINT64_C(259519103893758),	// CIBAsmE
  771     UINT64_C(259493334089982),	// CIBAsmH
  772     UINT64_C(259527693828350),	// CIBAsmHE
  773     UINT64_C(259501924024574),	// CIBAsmL
  774     UINT64_C(259536283762942),	// CIBAsmLE
  775     UINT64_C(259510513959166),	// CIBAsmLH
  776     UINT64_C(259510513959166),	// CIBAsmNE
  777     UINT64_C(259536283762942),	// CIBAsmNH
  778     UINT64_C(259501924024574),	// CIBAsmNHE
  779     UINT64_C(259527693828350),	// CIBAsmNL
  780     UINT64_C(259493334089982),	// CIBAsmNLE
  781     UINT64_C(259519103893758),	// CIBAsmNLH
  782     UINT64_C(224356206641152),	// CIH
  783     UINT64_C(259484744155262),	// CIJ
  784     UINT64_C(259484744155262),	// CIJAsm
  785     UINT64_C(259519103893630),	// CIJAsmE
  786     UINT64_C(259493334089854),	// CIJAsmH
  787     UINT64_C(259527693828222),	// CIJAsmHE
  788     UINT64_C(259501924024446),	// CIJAsmL
  789     UINT64_C(259536283762814),	// CIJAsmLE
  790     UINT64_C(259510513959038),	// CIJAsmLH
  791     UINT64_C(259510513959038),	// CIJAsmNE
  792     UINT64_C(259536283762814),	// CIJAsmNH
  793     UINT64_C(259501924024446),	// CIJAsmNHE
  794     UINT64_C(259527693828222),	// CIJAsmNL
  795     UINT64_C(259493334089854),	// CIJAsmNLE
  796     UINT64_C(259519103893630),	// CIJAsmNLH
  797     UINT64_C(259484744155250),	// CIT
  798     UINT64_C(259484744155250),	// CITAsm
  799     UINT64_C(259484744188018),	// CITAsmE
  800     UINT64_C(259484744163442),	// CITAsmH
  801     UINT64_C(259484744196210),	// CITAsmHE
  802     UINT64_C(259484744171634),	// CITAsmL
  803     UINT64_C(259484744204402),	// CITAsmLE
  804     UINT64_C(259484744179826),	// CITAsmLH
  805     UINT64_C(259484744179826),	// CITAsmNE
  806     UINT64_C(259484744204402),	// CITAsmNH
  807     UINT64_C(259484744171634),	// CITAsmNHE
  808     UINT64_C(259484744196210),	// CITAsmNL
  809     UINT64_C(259484744163442),	// CITAsmNLE
  810     UINT64_C(259484744188018),	// CITAsmNLH
  811     UINT64_C(2990604288),	// CKSM
  812     UINT64_C(1426063360),	// CL
  813     UINT64_C(234195976716288),	// CLC
  814     UINT64_C(3840),	// CLCL
  815     UINT64_C(2835349504),	// CLCLE
  816     UINT64_C(258385232527503),	// CLCLU
  817     UINT64_C(3013410816),	// CLFDBR
  818     UINT64_C(3108175872),	// CLFDTR
  819     UINT64_C(3013345280),	// CLFEBR
  820     UINT64_C(252187594719232),	// CLFHSI
  821     UINT64_C(213369680297984),	// CLFI
  822     UINT64_C(259484744155251),	// CLFIT
  823     UINT64_C(259484744155251),	// CLFITAsm
  824     UINT64_C(259484744188019),	// CLFITAsmE
  825     UINT64_C(259484744163443),	// CLFITAsmH
  826     UINT64_C(259484744196211),	// CLFITAsmHE
  827     UINT64_C(259484744171635),	// CLFITAsmL
  828     UINT64_C(259484744204403),	// CLFITAsmLE
  829     UINT64_C(259484744179827),	// CLFITAsmLH
  830     UINT64_C(259484744179827),	// CLFITAsmNE
  831     UINT64_C(259484744204403),	// CLFITAsmNH
  832     UINT64_C(259484744171635),	// CLFITAsmNHE
  833     UINT64_C(259484744196211),	// CLFITAsmNL
  834     UINT64_C(259484744163443),	// CLFITAsmNLE
  835     UINT64_C(259484744188019),	// CLFITAsmNLH
  836     UINT64_C(3013476352),	// CLFXBR
  837     UINT64_C(3108700160),	// CLFXTR
  838     UINT64_C(249589139505185),	// CLG
  839     UINT64_C(3014459392),	// CLGDBR
  840     UINT64_C(3108110336),	// CLGDTR
  841     UINT64_C(3014393856),	// CLGEBR
  842     UINT64_C(249589139505201),	// CLGF
  843     UINT64_C(213365385330688),	// CLGFI
  844     UINT64_C(3106996224),	// CLGFR
  845     UINT64_C(217763431841792),	// CLGFRL
  846     UINT64_C(217729072103424),	// CLGHRL
  847     UINT64_C(252170414850048),	// CLGHSI
  848     UINT64_C(259484744155389),	// CLGIB
  849     UINT64_C(259484744155389),	// CLGIBAsm
  850     UINT64_C(259519103893757),	// CLGIBAsmE
  851     UINT64_C(259493334089981),	// CLGIBAsmH
  852     UINT64_C(259527693828349),	// CLGIBAsmHE
  853     UINT64_C(259501924024573),	// CLGIBAsmL
  854     UINT64_C(259536283762941),	// CLGIBAsmLE
  855     UINT64_C(259510513959165),	// CLGIBAsmLH
  856     UINT64_C(259510513959165),	// CLGIBAsmNE
  857     UINT64_C(259536283762941),	// CLGIBAsmNH
  858     UINT64_C(259501924024573),	// CLGIBAsmNHE
  859     UINT64_C(259527693828349),	// CLGIBAsmNL
  860     UINT64_C(259493334089981),	// CLGIBAsmNLE
  861     UINT64_C(259519103893757),	// CLGIBAsmNLH
  862     UINT64_C(259484744155261),	// CLGIJ
  863     UINT64_C(259484744155261),	// CLGIJAsm
  864     UINT64_C(259519103893629),	// CLGIJAsmE
  865     UINT64_C(259493334089853),	// CLGIJAsmH
  866     UINT64_C(259527693828221),	// CLGIJAsmHE
  867     UINT64_C(259501924024445),	// CLGIJAsmL
  868     UINT64_C(259536283762813),	// CLGIJAsmLE
  869     UINT64_C(259510513959037),	// CLGIJAsmLH
  870     UINT64_C(259510513959037),	// CLGIJAsmNE
  871     UINT64_C(259536283762813),	// CLGIJAsmNH
  872     UINT64_C(259501924024445),	// CLGIJAsmNHE
  873     UINT64_C(259527693828221),	// CLGIJAsmNL
  874     UINT64_C(259493334089853),	// CLGIJAsmNLE
  875     UINT64_C(259519103893629),	// CLGIJAsmNLH
  876     UINT64_C(259484744155249),	// CLGIT
  877     UINT64_C(259484744155249),	// CLGITAsm
  878     UINT64_C(259484744188017),	// CLGITAsmE
  879     UINT64_C(259484744163441),	// CLGITAsmH
  880     UINT64_C(259484744196209),	// CLGITAsmHE
  881     UINT64_C(259484744171633),	// CLGITAsmL
  882     UINT64_C(259484744204401),	// CLGITAsmLE
  883     UINT64_C(259484744179825),	// CLGITAsmLH
  884     UINT64_C(259484744179825),	// CLGITAsmNE
  885     UINT64_C(259484744204401),	// CLGITAsmNH
  886     UINT64_C(259484744171633),	// CLGITAsmNHE
  887     UINT64_C(259484744196209),	// CLGITAsmNL
  888     UINT64_C(259484744163441),	// CLGITAsmNLE
  889     UINT64_C(259484744188017),	// CLGITAsmNLH
  890     UINT64_C(3105947648),	// CLGR
  891     UINT64_C(259484744155365),	// CLGRB
  892     UINT64_C(259484744155365),	// CLGRBAsm
  893     UINT64_C(259484744188133),	// CLGRBAsmE
  894     UINT64_C(259484744163557),	// CLGRBAsmH
  895     UINT64_C(259484744196325),	// CLGRBAsmHE
  896     UINT64_C(259484744171749),	// CLGRBAsmL
  897     UINT64_C(259484744204517),	// CLGRBAsmLE
  898     UINT64_C(259484744179941),	// CLGRBAsmLH
  899     UINT64_C(259484744179941),	// CLGRBAsmNE
  900     UINT64_C(259484744204517),	// CLGRBAsmNH
  901     UINT64_C(259484744171749),	// CLGRBAsmNHE
  902     UINT64_C(259484744196325),	// CLGRBAsmNL
  903     UINT64_C(259484744163557),	// CLGRBAsmNLE
  904     UINT64_C(259484744188133),	// CLGRBAsmNLH
  905     UINT64_C(259484744155237),	// CLGRJ
  906     UINT64_C(259484744155237),	// CLGRJAsm
  907     UINT64_C(259484744188005),	// CLGRJAsmE
  908     UINT64_C(259484744163429),	// CLGRJAsmH
  909     UINT64_C(259484744196197),	// CLGRJAsmHE
  910     UINT64_C(259484744171621),	// CLGRJAsmL
  911     UINT64_C(259484744204389),	// CLGRJAsmLE
  912     UINT64_C(259484744179813),	// CLGRJAsmLH
  913     UINT64_C(259484744179813),	// CLGRJAsmNE
  914     UINT64_C(259484744204389),	// CLGRJAsmNH
  915     UINT64_C(259484744171621),	// CLGRJAsmNHE
  916     UINT64_C(259484744196197),	// CLGRJAsmNL
  917     UINT64_C(259484744163429),	// CLGRJAsmNLE
  918     UINT64_C(259484744188005),	// CLGRJAsmNLH
  919     UINT64_C(217746251972608),	// CLGRL
  920     UINT64_C(3110141952),	// CLGRT
  921     UINT64_C(3110141952),	// CLGRTAsm
  922     UINT64_C(3110174720),	// CLGRTAsmE
  923     UINT64_C(3110150144),	// CLGRTAsmH
  924     UINT64_C(3110182912),	// CLGRTAsmHE
  925     UINT64_C(3110158336),	// CLGRTAsmL
  926     UINT64_C(3110191104),	// CLGRTAsmLE
  927     UINT64_C(3110166528),	// CLGRTAsmLH
  928     UINT64_C(3110166528),	// CLGRTAsmNE
  929     UINT64_C(3110191104),	// CLGRTAsmNH
  930     UINT64_C(3110158336),	// CLGRTAsmNHE
  931     UINT64_C(3110182912),	// CLGRTAsmNL
  932     UINT64_C(3110150144),	// CLGRTAsmNLE
  933     UINT64_C(3110174720),	// CLGRTAsmNLH
  934     UINT64_C(258385232527403),	// CLGT
  935     UINT64_C(258385232527403),	// CLGTAsm
  936     UINT64_C(258419592265771),	// CLGTAsmE
  937     UINT64_C(258393822461995),	// CLGTAsmH
  938     UINT64_C(258428182200363),	// CLGTAsmHE
  939     UINT64_C(258402412396587),	// CLGTAsmL
  940     UINT64_C(258436772134955),	// CLGTAsmLE
  941     UINT64_C(258411002331179),	// CLGTAsmLH
  942     UINT64_C(258411002331179),	// CLGTAsmNE
  943     UINT64_C(258436772134955),	// CLGTAsmNH
  944     UINT64_C(258402412396587),	// CLGTAsmNHE
  945     UINT64_C(258428182200363),	// CLGTAsmNL
  946     UINT64_C(258393822461995),	// CLGTAsmNLE
  947     UINT64_C(258419592265771),	// CLGTAsmNLH
  948     UINT64_C(3014524928),	// CLGXBR
  949     UINT64_C(3108634624),	// CLGXTR
  950     UINT64_C(249589139505359),	// CLHF
  951     UINT64_C(3117350912),	// CLHHR
  952     UINT64_C(252153234980864),	// CLHHSI
  953     UINT64_C(3118399488),	// CLHLR
  954     UINT64_C(217733367070720),	// CLHRL
  955     UINT64_C(2499805184),	// CLI
  956     UINT64_C(259484744155391),	// CLIB
  957     UINT64_C(259484744155391),	// CLIBAsm
  958     UINT64_C(259519103893759),	// CLIBAsmE
  959     UINT64_C(259493334089983),	// CLIBAsmH
  960     UINT64_C(259527693828351),	// CLIBAsmHE
  961     UINT64_C(259501924024575),	// CLIBAsmL
  962     UINT64_C(259536283762943),	// CLIBAsmLE
  963     UINT64_C(259510513959167),	// CLIBAsmLH
  964     UINT64_C(259510513959167),	// CLIBAsmNE
  965     UINT64_C(259536283762943),	// CLIBAsmNH
  966     UINT64_C(259501924024575),	// CLIBAsmNHE
  967     UINT64_C(259527693828351),	// CLIBAsmNL
  968     UINT64_C(259493334089983),	// CLIBAsmNLE
  969     UINT64_C(259519103893759),	// CLIBAsmNLH
  970     UINT64_C(224364796575744),	// CLIH
  971     UINT64_C(259484744155263),	// CLIJ
  972     UINT64_C(259484744155263),	// CLIJAsm
  973     UINT64_C(259519103893631),	// CLIJAsmE
  974     UINT64_C(259493334089855),	// CLIJAsmH
  975     UINT64_C(259527693828223),	// CLIJAsmHE
  976     UINT64_C(259501924024447),	// CLIJAsmL
  977     UINT64_C(259536283762815),	// CLIJAsmLE
  978     UINT64_C(259510513959039),	// CLIJAsmLH
  979     UINT64_C(259510513959039),	// CLIJAsmNE
  980     UINT64_C(259536283762815),	// CLIJAsmNH
  981     UINT64_C(259501924024447),	// CLIJAsmNHE
  982     UINT64_C(259527693828223),	// CLIJAsmNL
  983     UINT64_C(259493334089855),	// CLIJAsmNLE
  984     UINT64_C(259519103893631),	// CLIJAsmNLH
  985     UINT64_C(258385232527445),	// CLIY
  986     UINT64_C(3170893824),	// CLM
  987     UINT64_C(258385232527392),	// CLMH
  988     UINT64_C(258385232527393),	// CLMY
  989     UINT64_C(5376),	// CLR
  990     UINT64_C(259484744155383),	// CLRB
  991     UINT64_C(259484744155383),	// CLRBAsm
  992     UINT64_C(259484744188151),	// CLRBAsmE
  993     UINT64_C(259484744163575),	// CLRBAsmH
  994     UINT64_C(259484744196343),	// CLRBAsmHE
  995     UINT64_C(259484744171767),	// CLRBAsmL
  996     UINT64_C(259484744204535),	// CLRBAsmLE
  997     UINT64_C(259484744179959),	// CLRBAsmLH
  998     UINT64_C(259484744179959),	// CLRBAsmNE
  999     UINT64_C(259484744204535),	// CLRBAsmNH
 1000     UINT64_C(259484744171767),	// CLRBAsmNHE
 1001     UINT64_C(259484744196343),	// CLRBAsmNL
 1002     UINT64_C(259484744163575),	// CLRBAsmNLE
 1003     UINT64_C(259484744188151),	// CLRBAsmNLH
 1004     UINT64_C(259484744155255),	// CLRJ
 1005     UINT64_C(259484744155255),	// CLRJAsm
 1006     UINT64_C(259484744188023),	// CLRJAsmE
 1007     UINT64_C(259484744163447),	// CLRJAsmH
 1008     UINT64_C(259484744196215),	// CLRJAsmHE
 1009     UINT64_C(259484744171639),	// CLRJAsmL
 1010     UINT64_C(259484744204407),	// CLRJAsmLE
 1011     UINT64_C(259484744179831),	// CLRJAsmLH
 1012     UINT64_C(259484744179831),	// CLRJAsmNE
 1013     UINT64_C(259484744204407),	// CLRJAsmNH
 1014     UINT64_C(259484744171639),	// CLRJAsmNHE
 1015     UINT64_C(259484744196215),	// CLRJAsmNL
 1016     UINT64_C(259484744163447),	// CLRJAsmNLE
 1017     UINT64_C(259484744188023),	// CLRJAsmNLH
 1018     UINT64_C(217767726809088),	// CLRL
 1019     UINT64_C(3111321600),	// CLRT
 1020     UINT64_C(3111321600),	// CLRTAsm
 1021     UINT64_C(3111354368),	// CLRTAsmE
 1022     UINT64_C(3111329792),	// CLRTAsmH
 1023     UINT64_C(3111362560),	// CLRTAsmHE
 1024     UINT64_C(3111337984),	// CLRTAsmL
 1025     UINT64_C(3111370752),	// CLRTAsmLE
 1026     UINT64_C(3111346176),	// CLRTAsmLH
 1027     UINT64_C(3111346176),	// CLRTAsmNE
 1028     UINT64_C(3111370752),	// CLRTAsmNH
 1029     UINT64_C(3111337984),	// CLRTAsmNHE
 1030     UINT64_C(3111362560),	// CLRTAsmNL
 1031     UINT64_C(3111329792),	// CLRTAsmNLE
 1032     UINT64_C(3111354368),	// CLRTAsmNLH
 1033     UINT64_C(2992439296),	// CLST
 1034     UINT64_C(258385232527395),	// CLT
 1035     UINT64_C(258385232527395),	// CLTAsm
 1036     UINT64_C(258419592265763),	// CLTAsmE
 1037     UINT64_C(258393822461987),	// CLTAsmH
 1038     UINT64_C(258428182200355),	// CLTAsmHE
 1039     UINT64_C(258402412396579),	// CLTAsmL
 1040     UINT64_C(258436772134947),	// CLTAsmLE
 1041     UINT64_C(258411002331171),	// CLTAsmLH
 1042     UINT64_C(258411002331171),	// CLTAsmNE
 1043     UINT64_C(258436772134947),	// CLTAsmNH
 1044     UINT64_C(258402412396579),	// CLTAsmNHE
 1045     UINT64_C(258428182200355),	// CLTAsmNL
 1046     UINT64_C(258393822461987),	// CLTAsmNLE
 1047     UINT64_C(258419592265763),	// CLTAsmNLH
 1048     UINT64_C(249589139505237),	// CLY
 1049     UINT64_C(2992832512),	// CMPSC
 1050     UINT64_C(273778395316224),	// CP
 1051     UINT64_C(260584255783084),	// CPDT
 1052     UINT64_C(3010592768),	// CPSDRdd
 1053     UINT64_C(3010592768),	// CPSDRds
 1054     UINT64_C(3010592768),	// CPSDRsd
 1055     UINT64_C(3010592768),	// CPSDRss
 1056     UINT64_C(260584255783085),	// CPXT
 1057     UINT64_C(2991390720),	// CPYA
 1058     UINT64_C(6400),	// CR
 1059     UINT64_C(259484744155382),	// CRB
 1060     UINT64_C(259484744155382),	// CRBAsm
 1061     UINT64_C(259484744188150),	// CRBAsmE
 1062     UINT64_C(259484744163574),	// CRBAsmH
 1063     UINT64_C(259484744196342),	// CRBAsmHE
 1064     UINT64_C(259484744171766),	// CRBAsmL
 1065     UINT64_C(259484744204534),	// CRBAsmLE
 1066     UINT64_C(259484744179958),	// CRBAsmLH
 1067     UINT64_C(259484744179958),	// CRBAsmNE
 1068     UINT64_C(259484744204534),	// CRBAsmNH
 1069     UINT64_C(259484744171766),	// CRBAsmNHE
 1070     UINT64_C(259484744196342),	// CRBAsmNL
 1071     UINT64_C(259484744163574),	// CRBAsmNLE
 1072     UINT64_C(259484744188150),	// CRBAsmNLH
 1073     UINT64_C(3113156608),	// CRDTE
 1074     UINT64_C(3113156608),	// CRDTEOpt
 1075     UINT64_C(259484744155254),	// CRJ
 1076     UINT64_C(259484744155254),	// CRJAsm
 1077     UINT64_C(259484744188022),	// CRJAsmE
 1078     UINT64_C(259484744163446),	// CRJAsmH
 1079     UINT64_C(259484744196214),	// CRJAsmHE
 1080     UINT64_C(259484744171638),	// CRJAsmL
 1081     UINT64_C(259484744204406),	// CRJAsmLE
 1082     UINT64_C(259484744179830),	// CRJAsmLH
 1083     UINT64_C(259484744179830),	// CRJAsmNE
 1084     UINT64_C(259484744204406),	// CRJAsmNH
 1085     UINT64_C(259484744171638),	// CRJAsmNHE
 1086     UINT64_C(259484744196214),	// CRJAsmNL
 1087     UINT64_C(259484744163446),	// CRJAsmNLE
 1088     UINT64_C(259484744188022),	// CRJAsmNLH
 1089     UINT64_C(217759136874496),	// CRL
 1090     UINT64_C(3111256064),	// CRT
 1091     UINT64_C(3111256064),	// CRTAsm
 1092     UINT64_C(3111288832),	// CRTAsmE
 1093     UINT64_C(3111264256),	// CRTAsmH
 1094     UINT64_C(3111297024),	// CRTAsmHE
 1095     UINT64_C(3111272448),	// CRTAsmL
 1096     UINT64_C(3111305216),	// CRTAsmLE
 1097     UINT64_C(3111280640),	// CRTAsmLH
 1098     UINT64_C(3111280640),	// CRTAsmNE
 1099     UINT64_C(3111305216),	// CRTAsmNH
 1100     UINT64_C(3111272448),	// CRTAsmNHE
 1101     UINT64_C(3111297024),	// CRTAsmNL
 1102     UINT64_C(3111264256),	// CRTAsmNLE
 1103     UINT64_C(3111288832),	// CRTAsmNLH
 1104     UINT64_C(3120562176),	// CS
 1105     UINT64_C(2989490176),	// CSCH
 1106     UINT64_C(3017998336),	// CSDTR
 1107     UINT64_C(258385232527408),	// CSG
 1108     UINT64_C(2991587328),	// CSP
 1109     UINT64_C(3112828928),	// CSPG
 1110     UINT64_C(219910915489792),	// CSST
 1111     UINT64_C(3018522624),	// CSXTR
 1112     UINT64_C(258385232527380),	// CSY
 1113     UINT64_C(2997288960),	// CU12
 1114     UINT64_C(2997288960),	// CU12Opt
 1115     UINT64_C(3115319296),	// CU14
 1116     UINT64_C(3115319296),	// CU14Opt
 1117     UINT64_C(2997223424),	// CU21
 1118     UINT64_C(2997223424),	// CU21Opt
 1119     UINT64_C(3115384832),	// CU24
 1120     UINT64_C(3115384832),	// CU24Opt
 1121     UINT64_C(3115450368),	// CU41
 1122     UINT64_C(3115515904),	// CU42
 1123     UINT64_C(3017932800),	// CUDTR
 1124     UINT64_C(2992046080),	// CUSE
 1125     UINT64_C(2997288960),	// CUTFU
 1126     UINT64_C(2997288960),	// CUTFUOpt
 1127     UINT64_C(2997223424),	// CUUTF
 1128     UINT64_C(2997223424),	// CUUTFOpt
 1129     UINT64_C(3018457088),	// CUXTR
 1130     UINT64_C(1325400064),	// CVB
 1131     UINT64_C(249589139505166),	// CVBG
 1132     UINT64_C(249589139505158),	// CVBY
 1133     UINT64_C(1308622848),	// CVD
 1134     UINT64_C(249589139505198),	// CVDG
 1135     UINT64_C(249589139505190),	// CVDY
 1136     UINT64_C(3007905792),	// CXBR
 1137     UINT64_C(3012952064),	// CXFBR
 1138     UINT64_C(3012952064),	// CXFBRA
 1139     UINT64_C(3015049216),	// CXFR
 1140     UINT64_C(3109617664),	// CXFTR
 1141     UINT64_C(3014000640),	// CXGBR
 1142     UINT64_C(3014000640),	// CXGBRA
 1143     UINT64_C(3016097792),	// CXGR
 1144     UINT64_C(3019440128),	// CXGTR
 1145     UINT64_C(3019440128),	// CXGTRA
 1146     UINT64_C(3012689920),	// CXLFBR
 1147     UINT64_C(3109748736),	// CXLFTR
 1148     UINT64_C(3013738496),	// CXLGBR
 1149     UINT64_C(3109683200),	// CXLGTR
 1150     UINT64_C(260584255783087),	// CXPT
 1151     UINT64_C(3010002944),	// CXR
 1152     UINT64_C(3019571200),	// CXSTR
 1153     UINT64_C(3018588160),	// CXTR
 1154     UINT64_C(3019505664),	// CXUTR
 1155     UINT64_C(260584255783083),	// CXZT
 1156     UINT64_C(249589139505241),	// CY
 1157     UINT64_C(260584255783080),	// CZDT
 1158     UINT64_C(260584255783081),	// CZXT
 1159     UINT64_C(1560281088),	// D
 1160     UINT64_C(1828716544),	// DD
 1161     UINT64_C(260584255782941),	// DDB
 1162     UINT64_C(3005022208),	// DDBR
 1163     UINT64_C(11520),	// DDR
 1164     UINT64_C(3016818688),	// DDTR
 1165     UINT64_C(3016818688),	// DDTRA
 1166     UINT64_C(2097152000),	// DE
 1167     UINT64_C(260584255782925),	// DEB
 1168     UINT64_C(3003973632),	// DEBR
 1169     UINT64_C(15616),	// DER
 1170     UINT64_C(3107520512),	// DFLTCC
 1171     UINT64_C(2197815296),	// DIAG
 1172     UINT64_C(3009085440),	// DIDBR
 1173     UINT64_C(3008561152),	// DIEBR
 1174     UINT64_C(249589139505303),	// DL
 1175     UINT64_C(249589139505287),	// DLG
 1176     UINT64_C(3112632320),	// DLGR
 1177     UINT64_C(3113680896),	// DLR
 1178     UINT64_C(278176441827328),	// DP
 1179     UINT64_C(7424),	// DR
 1180     UINT64_C(249589139505165),	// DSG
 1181     UINT64_C(249589139505181),	// DSGF
 1182     UINT64_C(3105685504),	// DSGFR
 1183     UINT64_C(3104636928),	// DSGR
 1184     UINT64_C(3008167936),	// DXBR
 1185     UINT64_C(2989293568),	// DXR
 1186     UINT64_C(3017342976),	// DXTR
 1187     UINT64_C(3017342976),	// DXTRA
 1188     UINT64_C(2991521792),	// EAR
 1189     UINT64_C(258385232527436),	// ECAG
 1190     UINT64_C(3001286656),	// ECCTR
 1191     UINT64_C(3001876480),	// ECPGA
 1192     UINT64_C(219906620522496),	// ECTG
 1193     UINT64_C(244091581366272),	// ED
 1194     UINT64_C(245191092994048),	// EDMK
 1195     UINT64_C(3018129408),	// EEDTR
 1196     UINT64_C(3018653696),	// EEXTR
 1197     UINT64_C(3012296704),	// EFPC
 1198     UINT64_C(3113877504),	// EPAIR
 1199     UINT64_C(2988834816),	// EPAR
 1200     UINT64_C(3001352192),	// EPCTR
 1201     UINT64_C(3113025536),	// EPSW
 1202     UINT64_C(2991128576),	// EREG
 1203     UINT64_C(3104702464),	// EREGG
 1204     UINT64_C(3113943040),	// ESAIR
 1205     UINT64_C(2988900352),	// ESAR
 1206     UINT64_C(3018260480),	// ESDTR
 1207     UINT64_C(3114074112),	// ESEA
 1208     UINT64_C(2991194112),	// ESTA
 1209     UINT64_C(3018784768),	// ESXTR
 1210     UINT64_C(3001810944),	// ETND
 1211     UINT64_C(1140850688),	// EX
 1212     UINT64_C(217703302299648),	// EXRL
 1213     UINT64_C(3009347584),	// FIDBR
 1214     UINT64_C(3009347584),	// FIDBRA
 1215     UINT64_C(3011444736),	// FIDR
 1216     UINT64_C(3017211904),	// FIDTR
 1217     UINT64_C(3008823296),	// FIEBR
 1218     UINT64_C(3008823296),	// FIEBRA
 1219     UINT64_C(3010920448),	// FIER
 1220     UINT64_C(3007774720),	// FIXBR
 1221     UINT64_C(3007774720),	// FIXBRA
 1222     UINT64_C(3009871872),	// FIXR
 1223     UINT64_C(3017736192),	// FIXTR
 1224     UINT64_C(3112370176),	// FLOGR
 1225     UINT64_C(9216),	// HDR
 1226     UINT64_C(13312),	// HER
 1227     UINT64_C(2989555712),	// HSCH
 1228     UINT64_C(2988703744),	// IAC
 1229     UINT64_C(1124073472),	// IC
 1230     UINT64_C(1124073472),	// IC32
 1231     UINT64_C(249589139505267),	// IC32Y
 1232     UINT64_C(3204448256),	// ICM
 1233     UINT64_C(258385232527488),	// ICMH
 1234     UINT64_C(258385232527489),	// ICMY
 1235     UINT64_C(249589139505267),	// ICY
 1236     UINT64_C(3113091072),	// IDTE
 1237     UINT64_C(3113091072),	// IDTEOpt
 1238     UINT64_C(3019243520),	// IEDTR
 1239     UINT64_C(3019767808),	// IEXTR
 1240     UINT64_C(211140592271360),	// IIHF
 1241     UINT64_C(2768240640),	// IIHH
 1242     UINT64_C(2768306176),	// IIHL
 1243     UINT64_C(211144887238656),	// IILF
 1244     UINT64_C(2768371712),	// IILH
 1245     UINT64_C(2768437248),	// IILL
 1246     UINT64_C(2987065344),	// IPK
 1247     UINT64_C(2988572672),	// IPM
 1248     UINT64_C(2988507136),	// IPTE
 1249     UINT64_C(2988507136),	// IPTEOpt
 1250     UINT64_C(2988507136),	// IPTEOptOpt
 1251     UINT64_C(3115057152),	// IRBM
 1252     UINT64_C(2989031424),	// ISKE
 1253     UINT64_C(2988638208),	// IVSK
 1254     UINT64_C(0),	// InsnE
 1255     UINT64_C(0),	// InsnRI
 1256     UINT64_C(0),	// InsnRIE
 1257     UINT64_C(0),	// InsnRIL
 1258     UINT64_C(0),	// InsnRILU
 1259     UINT64_C(0),	// InsnRIS
 1260     UINT64_C(0),	// InsnRR
 1261     UINT64_C(0),	// InsnRRE
 1262     UINT64_C(0),	// InsnRRF
 1263     UINT64_C(0),	// InsnRRS
 1264     UINT64_C(0),	// InsnRS
 1265     UINT64_C(0),	// InsnRSE
 1266     UINT64_C(0),	// InsnRSI
 1267     UINT64_C(0),	// InsnRSY
 1268     UINT64_C(0),	// InsnRX
 1269     UINT64_C(0),	// InsnRXE
 1270     UINT64_C(0),	// InsnRXF
 1271     UINT64_C(0),	// InsnRXY
 1272     UINT64_C(0),	// InsnS
 1273     UINT64_C(0),	// InsnSI
 1274     UINT64_C(0),	// InsnSIL
 1275     UINT64_C(0),	// InsnSIY
 1276     UINT64_C(0),	// InsnSS
 1277     UINT64_C(0),	// InsnSSE
 1278     UINT64_C(0),	// InsnSSF
 1279     UINT64_C(2817785856),	// J
 1280     UINT64_C(2810445824),	// JAsmE
 1281     UINT64_C(2804154368),	// JAsmH
 1282     UINT64_C(2812542976),	// JAsmHE
 1283     UINT64_C(2806251520),	// JAsmL
 1284     UINT64_C(2814640128),	// JAsmLE
 1285     UINT64_C(2808348672),	// JAsmLH
 1286     UINT64_C(2806251520),	// JAsmM
 1287     UINT64_C(2809397248),	// JAsmNE
 1288     UINT64_C(2815688704),	// JAsmNH
 1289     UINT64_C(2807300096),	// JAsmNHE
 1290     UINT64_C(2813591552),	// JAsmNL
 1291     UINT64_C(2805202944),	// JAsmNLE
 1292     UINT64_C(2811494400),	// JAsmNLH
 1293     UINT64_C(2813591552),	// JAsmNM
 1294     UINT64_C(2816737280),	// JAsmNO
 1295     UINT64_C(2815688704),	// JAsmNP
 1296     UINT64_C(2809397248),	// JAsmNZ
 1297     UINT64_C(2803105792),	// JAsmO
 1298     UINT64_C(2804154368),	// JAsmP
 1299     UINT64_C(2810445824),	// JAsmZ
 1300     UINT64_C(212154204553216),	// JG
 1301     UINT64_C(211673168216064),	// JGAsmE
 1302     UINT64_C(211260851355648),	// JGAsmH
 1303     UINT64_C(211810607169536),	// JGAsmHE
 1304     UINT64_C(211398290309120),	// JGAsmL
 1305     UINT64_C(211948046123008),	// JGAsmLE
 1306     UINT64_C(211535729262592),	// JGAsmLH
 1307     UINT64_C(211398290309120),	// JGAsmM
 1308     UINT64_C(211604448739328),	// JGAsmNE
 1309     UINT64_C(212016765599744),	// JGAsmNH
 1310     UINT64_C(211467009785856),	// JGAsmNHE
 1311     UINT64_C(211879326646272),	// JGAsmNL
 1312     UINT64_C(211329570832384),	// JGAsmNLE
 1313     UINT64_C(211741887692800),	// JGAsmNLH
 1314     UINT64_C(211879326646272),	// JGAsmNM
 1315     UINT64_C(212085485076480),	// JGAsmNO
 1316     UINT64_C(212016765599744),	// JGAsmNP
 1317     UINT64_C(211604448739328),	// JGAsmNZ
 1318     UINT64_C(211192131878912),	// JGAsmO
 1319     UINT64_C(211260851355648),	// JGAsmP
 1320     UINT64_C(211673168216064),	// JGAsmZ
 1321     UINT64_C(260584255782936),	// KDB
 1322     UINT64_C(3004694528),	// KDBR
 1323     UINT64_C(3107586048),	// KDSA
 1324     UINT64_C(3017801728),	// KDTR
 1325     UINT64_C(260584255782920),	// KEB
 1326     UINT64_C(3003645952),	// KEBR
 1327     UINT64_C(3107848192),	// KIMD
 1328     UINT64_C(3107913728),	// KLMD
 1329     UINT64_C(3106799616),	// KM
 1330     UINT64_C(3106471936),	// KMA
 1331     UINT64_C(3105751040),	// KMAC
 1332     UINT64_C(3106865152),	// KMC
 1333     UINT64_C(3106734080),	// KMCTR
 1334     UINT64_C(3106537472),	// KMF
 1335     UINT64_C(3106603008),	// KMO
 1336     UINT64_C(3007840256),	// KXBR
 1337     UINT64_C(3018326016),	// KXTR
 1338     UINT64_C(1476395008),	// L
 1339     UINT64_C(1090519040),	// LA
 1340     UINT64_C(258385232527608),	// LAA
 1341     UINT64_C(258385232527592),	// LAAG
 1342     UINT64_C(258385232527610),	// LAAL
 1343     UINT64_C(258385232527594),	// LAALG
 1344     UINT64_C(1358954496),	// LAE
 1345     UINT64_C(249589139505269),	// LAEY
 1346     UINT64_C(2583691264),	// LAM
 1347     UINT64_C(258385232527514),	// LAMY
 1348     UINT64_C(258385232527604),	// LAN
 1349     UINT64_C(258385232527588),	// LANG
 1350     UINT64_C(258385232527606),	// LAO
 1351     UINT64_C(258385232527590),	// LAOG
 1352     UINT64_C(211106232532992),	// LARL
 1353     UINT64_C(251788162760704),	// LASP
 1354     UINT64_C(249589139505311),	// LAT
 1355     UINT64_C(258385232527607),	// LAX
 1356     UINT64_C(258385232527591),	// LAXG
 1357     UINT64_C(249589139505265),	// LAY
 1358     UINT64_C(249589139505270),	// LB
 1359     UINT64_C(249589139505344),	// LBH
 1360     UINT64_C(3106275328),	// LBR
 1361     UINT64_C(253987186016295),	// LCBB
 1362     UINT64_C(2994995200),	// LCCTL
 1363     UINT64_C(3004366848),	// LCDBR
 1364     UINT64_C(3010658304),	// LCDFR
 1365     UINT64_C(3010658304),	// LCDFR_32
 1366     UINT64_C(8960),	// LCDR
 1367     UINT64_C(3003318272),	// LCEBR
 1368     UINT64_C(13056),	// LCER
 1369     UINT64_C(3105030144),	// LCGFR
 1370     UINT64_C(3103981568),	// LCGR
 1371     UINT64_C(4864),	// LCR
 1372     UINT64_C(3070230528),	// LCTL
 1373     UINT64_C(258385232527407),	// LCTLG
 1374     UINT64_C(3007512576),	// LCXBR
 1375     UINT64_C(3009609728),	// LCXR
 1376     UINT64_C(1744830464),	// LD
 1377     UINT64_C(260584255782948),	// LDE
 1378     UINT64_C(260584255782948),	// LDE32
 1379     UINT64_C(260584255782916),	// LDEB
 1380     UINT64_C(3003383808),	// LDEBR
 1381     UINT64_C(3005480960),	// LDER
 1382     UINT64_C(3017015296),	// LDETR
 1383     UINT64_C(3015770112),	// LDGR
 1384     UINT64_C(10240),	// LDR
 1385     UINT64_C(10240),	// LDR32
 1386     UINT64_C(3007643648),	// LDXBR
 1387     UINT64_C(3007643648),	// LDXBRA
 1388     UINT64_C(9472),	// LDXR
 1389     UINT64_C(3017605120),	// LDXTR
 1390     UINT64_C(260584255783013),	// LDY
 1391     UINT64_C(2013265920),	// LE
 1392     UINT64_C(3007578112),	// LEDBR
 1393     UINT64_C(3007578112),	// LEDBRA
 1394     UINT64_C(13568),	// LEDR
 1395     UINT64_C(3017080832),	// LEDTR
 1396     UINT64_C(14336),	// LER
 1397     UINT64_C(3007709184),	// LEXBR
 1398     UINT64_C(3007709184),	// LEXBRA
 1399     UINT64_C(3009806336),	// LEXR
 1400     UINT64_C(260584255783012),	// LEY
 1401     UINT64_C(2998730752),	// LFAS
 1402     UINT64_C(249589139505354),	// LFH
 1403     UINT64_C(249589139505352),	// LFHAT
 1404     UINT64_C(2996633600),	// LFPC
 1405     UINT64_C(249589139505156),	// LG
 1406     UINT64_C(249589139505285),	// LGAT
 1407     UINT64_C(249589139505271),	// LGB
 1408     UINT64_C(3104178176),	// LGBR
 1409     UINT64_C(3016556544),	// LGDR
 1410     UINT64_C(249589139505172),	// LGF
 1411     UINT64_C(211110527500288),	// LGFI
 1412     UINT64_C(3105095680),	// LGFR
 1413     UINT64_C(215555818651648),	// LGFRL
 1414     UINT64_C(249589139505228),	// LGG
 1415     UINT64_C(249589139505173),	// LGH
 1416     UINT64_C(2802384896),	// LGHI
 1417     UINT64_C(3104243712),	// LGHR
 1418     UINT64_C(215521458913280),	// LGHRL
 1419     UINT64_C(3104047104),	// LGR
 1420     UINT64_C(215538638782464),	// LGRL
 1421     UINT64_C(249589139505229),	// LGSC
 1422     UINT64_C(1207959552),	// LH
 1423     UINT64_C(249589139505348),	// LHH
 1424     UINT64_C(2802319360),	// LHI
 1425     UINT64_C(3106340864),	// LHR
 1426     UINT64_C(215525753880576),	// LHRL
 1427     UINT64_C(249589139505272),	// LHY
 1428     UINT64_C(249589139505300),	// LLC
 1429     UINT64_C(249589139505346),	// LLCH
 1430     UINT64_C(3113484288),	// LLCR
 1431     UINT64_C(249589139505296),	// LLGC
 1432     UINT64_C(3112435712),	// LLGCR
 1433     UINT64_C(249589139505174),	// LLGF
 1434     UINT64_C(249589139505309),	// LLGFAT
 1435     UINT64_C(3105226752),	// LLGFR
 1436     UINT64_C(215564408586240),	// LLGFRL
 1437     UINT64_C(249589139505224),	// LLGFSG
 1438     UINT64_C(249589139505297),	// LLGH
 1439     UINT64_C(3112501248),	// LLGHR
 1440     UINT64_C(215530048847872),	// LLGHRL
 1441     UINT64_C(249589139505175),	// LLGT
 1442     UINT64_C(249589139505308),	// LLGTAT
 1443     UINT64_C(3105292288),	// LLGTR
 1444     UINT64_C(249589139505301),	// LLH
 1445     UINT64_C(249589139505350),	// LLHH
 1446     UINT64_C(3113549824),	// LLHR
 1447     UINT64_C(215512868978688),	// LLHRL
 1448     UINT64_C(211166362075136),	// LLIHF
 1449     UINT64_C(2769027072),	// LLIHH
 1450     UINT64_C(2769092608),	// LLIHL
 1451     UINT64_C(211170657042432),	// LLILF
 1452     UINT64_C(2769158144),	// LLILH
 1453     UINT64_C(2769223680),	// LLILL
 1454     UINT64_C(249589139505210),	// LLZRGF
 1455     UINT64_C(2550136832),	// LM
 1456     UINT64_C(262783279038464),	// LMD
 1457     UINT64_C(258385232527364),	// LMG
 1458     UINT64_C(258385232527510),	// LMH
 1459     UINT64_C(258385232527512),	// LMY
 1460     UINT64_C(3004235776),	// LNDBR
 1461     UINT64_C(3010527232),	// LNDFR
 1462     UINT64_C(3010527232),	// LNDFR_32
 1463     UINT64_C(8448),	// LNDR
 1464     UINT64_C(3003187200),	// LNEBR
 1465     UINT64_C(12544),	// LNER
 1466     UINT64_C(3104899072),	// LNGFR
 1467     UINT64_C(3103850496),	// LNGR
 1468     UINT64_C(4352),	// LNR
 1469     UINT64_C(3007381504),	// LNXBR
 1470     UINT64_C(3009478656),	// LNXR
 1471     UINT64_C(258385232527602),	// LOC
 1472     UINT64_C(258385232527602),	// LOCAsm
 1473     UINT64_C(258419592265970),	// LOCAsmE
 1474     UINT64_C(258393822462194),	// LOCAsmH
 1475     UINT64_C(258428182200562),	// LOCAsmHE
 1476     UINT64_C(258402412396786),	// LOCAsmL
 1477     UINT64_C(258436772135154),	// LOCAsmLE
 1478     UINT64_C(258411002331378),	// LOCAsmLH
 1479     UINT64_C(258402412396786),	// LOCAsmM
 1480     UINT64_C(258415297298674),	// LOCAsmNE
 1481     UINT64_C(258441067102450),	// LOCAsmNH
 1482     UINT64_C(258406707364082),	// LOCAsmNHE
 1483     UINT64_C(258432477167858),	// LOCAsmNL
 1484     UINT64_C(258398117429490),	// LOCAsmNLE
 1485     UINT64_C(258423887233266),	// LOCAsmNLH
 1486     UINT64_C(258432477167858),	// LOCAsmNM
 1487     UINT64_C(258445362069746),	// LOCAsmNO
 1488     UINT64_C(258441067102450),	// LOCAsmNP
 1489     UINT64_C(258415297298674),	// LOCAsmNZ
 1490     UINT64_C(258389527494898),	// LOCAsmO
 1491     UINT64_C(258393822462194),	// LOCAsmP
 1492     UINT64_C(258419592265970),	// LOCAsmZ
 1493     UINT64_C(258385232527584),	// LOCFH
 1494     UINT64_C(258385232527584),	// LOCFHAsm
 1495     UINT64_C(258419592265952),	// LOCFHAsmE
 1496     UINT64_C(258393822462176),	// LOCFHAsmH
 1497     UINT64_C(258428182200544),	// LOCFHAsmHE
 1498     UINT64_C(258402412396768),	// LOCFHAsmL
 1499     UINT64_C(258436772135136),	// LOCFHAsmLE
 1500     UINT64_C(258411002331360),	// LOCFHAsmLH
 1501     UINT64_C(258402412396768),	// LOCFHAsmM
 1502     UINT64_C(258415297298656),	// LOCFHAsmNE
 1503     UINT64_C(258441067102432),	// LOCFHAsmNH
 1504     UINT64_C(258406707364064),	// LOCFHAsmNHE
 1505     UINT64_C(258432477167840),	// LOCFHAsmNL
 1506     UINT64_C(258398117429472),	// LOCFHAsmNLE
 1507     UINT64_C(258423887233248),	// LOCFHAsmNLH
 1508     UINT64_C(258432477167840),	// LOCFHAsmNM
 1509     UINT64_C(258445362069728),	// LOCFHAsmNO
 1510     UINT64_C(258441067102432),	// LOCFHAsmNP
 1511     UINT64_C(258415297298656),	// LOCFHAsmNZ
 1512     UINT64_C(258389527494880),	// LOCFHAsmO
 1513     UINT64_C(258393822462176),	// LOCFHAsmP
 1514     UINT64_C(258419592265952),	// LOCFHAsmZ
 1515     UINT64_C(3118465024),	// LOCFHR
 1516     UINT64_C(3118465024),	// LOCFHRAsm
 1517     UINT64_C(3118497792),	// LOCFHRAsmE
 1518     UINT64_C(3118473216),	// LOCFHRAsmH
 1519     UINT64_C(3118505984),	// LOCFHRAsmHE
 1520     UINT64_C(3118481408),	// LOCFHRAsmL
 1521     UINT64_C(3118514176),	// LOCFHRAsmLE
 1522     UINT64_C(3118489600),	// LOCFHRAsmLH
 1523     UINT64_C(3118481408),	// LOCFHRAsmM
 1524     UINT64_C(3118493696),	// LOCFHRAsmNE
 1525     UINT64_C(3118518272),	// LOCFHRAsmNH
 1526     UINT64_C(3118485504),	// LOCFHRAsmNHE
 1527     UINT64_C(3118510080),	// LOCFHRAsmNL
 1528     UINT64_C(3118477312),	// LOCFHRAsmNLE
 1529     UINT64_C(3118501888),	// LOCFHRAsmNLH
 1530     UINT64_C(3118510080),	// LOCFHRAsmNM
 1531     UINT64_C(3118522368),	// LOCFHRAsmNO
 1532     UINT64_C(3118518272),	// LOCFHRAsmNP
 1533     UINT64_C(3118493696),	// LOCFHRAsmNZ
 1534     UINT64_C(3118469120),	// LOCFHRAsmO
 1535     UINT64_C(3118473216),	// LOCFHRAsmP
 1536     UINT64_C(3118497792),	// LOCFHRAsmZ
 1537     UINT64_C(258385232527586),	// LOCG
 1538     UINT64_C(258385232527586),	// LOCGAsm
 1539     UINT64_C(258419592265954),	// LOCGAsmE
 1540     UINT64_C(258393822462178),	// LOCGAsmH
 1541     UINT64_C(258428182200546),	// LOCGAsmHE
 1542     UINT64_C(258402412396770),	// LOCGAsmL
 1543     UINT64_C(258436772135138),	// LOCGAsmLE
 1544     UINT64_C(258411002331362),	// LOCGAsmLH
 1545     UINT64_C(258402412396770),	// LOCGAsmM
 1546     UINT64_C(258415297298658),	// LOCGAsmNE
 1547     UINT64_C(258441067102434),	// LOCGAsmNH
 1548     UINT64_C(258406707364066),	// LOCGAsmNHE
 1549     UINT64_C(258432477167842),	// LOCGAsmNL
 1550     UINT64_C(258398117429474),	// LOCGAsmNLE
 1551     UINT64_C(258423887233250),	// LOCGAsmNLH
 1552     UINT64_C(258432477167842),	// LOCGAsmNM
 1553     UINT64_C(258445362069730),	// LOCGAsmNO
 1554     UINT64_C(258441067102434),	// LOCGAsmNP
 1555     UINT64_C(258415297298658),	// LOCGAsmNZ
 1556     UINT64_C(258389527494882),	// LOCGAsmO
 1557     UINT64_C(258393822462178),	// LOCGAsmP
 1558     UINT64_C(258419592265954),	// LOCGAsmZ
 1559     UINT64_C(259484744155206),	// LOCGHI
 1560     UINT64_C(259484744155206),	// LOCGHIAsm
 1561     UINT64_C(259519103893574),	// LOCGHIAsmE
 1562     UINT64_C(259493334089798),	// LOCGHIAsmH
 1563     UINT64_C(259527693828166),	// LOCGHIAsmHE
 1564     UINT64_C(259501924024390),	// LOCGHIAsmL
 1565     UINT64_C(259536283762758),	// LOCGHIAsmLE
 1566     UINT64_C(259510513958982),	// LOCGHIAsmLH
 1567     UINT64_C(259501924024390),	// LOCGHIAsmM
 1568     UINT64_C(259514808926278),	// LOCGHIAsmNE
 1569     UINT64_C(259540578730054),	// LOCGHIAsmNH
 1570     UINT64_C(259506218991686),	// LOCGHIAsmNHE
 1571     UINT64_C(259531988795462),	// LOCGHIAsmNL
 1572     UINT64_C(259497629057094),	// LOCGHIAsmNLE
 1573     UINT64_C(259523398860870),	// LOCGHIAsmNLH
 1574     UINT64_C(259531988795462),	// LOCGHIAsmNM
 1575     UINT64_C(259544873697350),	// LOCGHIAsmNO
 1576     UINT64_C(259540578730054),	// LOCGHIAsmNP
 1577     UINT64_C(259514808926278),	// LOCGHIAsmNZ
 1578     UINT64_C(259489039122502),	// LOCGHIAsmO
 1579     UINT64_C(259493334089798),	// LOCGHIAsmP
 1580     UINT64_C(259519103893574),	// LOCGHIAsmZ
 1581     UINT64_C(3118596096),	// LOCGR
 1582     UINT64_C(3118596096),	// LOCGRAsm
 1583     UINT64_C(3118628864),	// LOCGRAsmE
 1584     UINT64_C(3118604288),	// LOCGRAsmH
 1585     UINT64_C(3118637056),	// LOCGRAsmHE
 1586     UINT64_C(3118612480),	// LOCGRAsmL
 1587     UINT64_C(3118645248),	// LOCGRAsmLE
 1588     UINT64_C(3118620672),	// LOCGRAsmLH
 1589     UINT64_C(3118612480),	// LOCGRAsmM
 1590     UINT64_C(3118624768),	// LOCGRAsmNE
 1591     UINT64_C(3118649344),	// LOCGRAsmNH
 1592     UINT64_C(3118616576),	// LOCGRAsmNHE
 1593     UINT64_C(3118641152),	// LOCGRAsmNL
 1594     UINT64_C(3118608384),	// LOCGRAsmNLE
 1595     UINT64_C(3118632960),	// LOCGRAsmNLH
 1596     UINT64_C(3118641152),	// LOCGRAsmNM
 1597     UINT64_C(3118653440),	// LOCGRAsmNO
 1598     UINT64_C(3118649344),	// LOCGRAsmNP
 1599     UINT64_C(3118624768),	// LOCGRAsmNZ
 1600     UINT64_C(3118600192),	// LOCGRAsmO
 1601     UINT64_C(3118604288),	// LOCGRAsmP
 1602     UINT64_C(3118628864),	// LOCGRAsmZ
 1603     UINT64_C(259484744155214),	// LOCHHI
 1604     UINT64_C(259484744155214),	// LOCHHIAsm
 1605     UINT64_C(259519103893582),	// LOCHHIAsmE
 1606     UINT64_C(259493334089806),	// LOCHHIAsmH
 1607     UINT64_C(259527693828174),	// LOCHHIAsmHE
 1608     UINT64_C(259501924024398),	// LOCHHIAsmL
 1609     UINT64_C(259536283762766),	// LOCHHIAsmLE
 1610     UINT64_C(259510513958990),	// LOCHHIAsmLH
 1611     UINT64_C(259501924024398),	// LOCHHIAsmM
 1612     UINT64_C(259514808926286),	// LOCHHIAsmNE
 1613     UINT64_C(259540578730062),	// LOCHHIAsmNH
 1614     UINT64_C(259506218991694),	// LOCHHIAsmNHE
 1615     UINT64_C(259531988795470),	// LOCHHIAsmNL
 1616     UINT64_C(259497629057102),	// LOCHHIAsmNLE
 1617     UINT64_C(259523398860878),	// LOCHHIAsmNLH
 1618     UINT64_C(259531988795470),	// LOCHHIAsmNM
 1619     UINT64_C(259544873697358),	// LOCHHIAsmNO
 1620     UINT64_C(259540578730062),	// LOCHHIAsmNP
 1621     UINT64_C(259514808926286),	// LOCHHIAsmNZ
 1622     UINT64_C(259489039122510),	// LOCHHIAsmO
 1623     UINT64_C(259493334089806),	// LOCHHIAsmP
 1624     UINT64_C(259519103893582),	// LOCHHIAsmZ
 1625     UINT64_C(259484744155202),	// LOCHI
 1626     UINT64_C(259484744155202),	// LOCHIAsm
 1627     UINT64_C(259519103893570),	// LOCHIAsmE
 1628     UINT64_C(259493334089794),	// LOCHIAsmH
 1629     UINT64_C(259527693828162),	// LOCHIAsmHE
 1630     UINT64_C(259501924024386),	// LOCHIAsmL
 1631     UINT64_C(259536283762754),	// LOCHIAsmLE
 1632     UINT64_C(259510513958978),	// LOCHIAsmLH
 1633     UINT64_C(259501924024386),	// LOCHIAsmM
 1634     UINT64_C(259514808926274),	// LOCHIAsmNE
 1635     UINT64_C(259540578730050),	// LOCHIAsmNH
 1636     UINT64_C(259506218991682),	// LOCHIAsmNHE
 1637     UINT64_C(259531988795458),	// LOCHIAsmNL
 1638     UINT64_C(259497629057090),	// LOCHIAsmNLE
 1639     UINT64_C(259523398860866),	// LOCHIAsmNLH
 1640     UINT64_C(259531988795458),	// LOCHIAsmNM
 1641     UINT64_C(259544873697346),	// LOCHIAsmNO
 1642     UINT64_C(259540578730050),	// LOCHIAsmNP
 1643     UINT64_C(259514808926274),	// LOCHIAsmNZ
 1644     UINT64_C(259489039122498),	// LOCHIAsmO
 1645     UINT64_C(259493334089794),	// LOCHIAsmP
 1646     UINT64_C(259519103893570),	// LOCHIAsmZ
 1647     UINT64_C(3119644672),	// LOCR
 1648     UINT64_C(3119644672),	// LOCRAsm
 1649     UINT64_C(3119677440),	// LOCRAsmE
 1650     UINT64_C(3119652864),	// LOCRAsmH
 1651     UINT64_C(3119685632),	// LOCRAsmHE
 1652     UINT64_C(3119661056),	// LOCRAsmL
 1653     UINT64_C(3119693824),	// LOCRAsmLE
 1654     UINT64_C(3119669248),	// LOCRAsmLH
 1655     UINT64_C(3119661056),	// LOCRAsmM
 1656     UINT64_C(3119673344),	// LOCRAsmNE
 1657     UINT64_C(3119697920),	// LOCRAsmNH
 1658     UINT64_C(3119665152),	// LOCRAsmNHE
 1659     UINT64_C(3119689728),	// LOCRAsmNL
 1660     UINT64_C(3119656960),	// LOCRAsmNLE
 1661     UINT64_C(3119681536),	// LOCRAsmNLH
 1662     UINT64_C(3119689728),	// LOCRAsmNM
 1663     UINT64_C(3119702016),	// LOCRAsmNO
 1664     UINT64_C(3119697920),	// LOCRAsmNP
 1665     UINT64_C(3119673344),	// LOCRAsmNZ
 1666     UINT64_C(3119648768),	// LOCRAsmO
 1667     UINT64_C(3119652864),	// LOCRAsmP
 1668     UINT64_C(3119677440),	// LOCRAsmZ
 1669     UINT64_C(2995060736),	// LPCTL
 1670     UINT64_C(219919505424384),	// LPD
 1671     UINT64_C(3004170240),	// LPDBR
 1672     UINT64_C(3010461696),	// LPDFR
 1673     UINT64_C(3010461696),	// LPDFR_32
 1674     UINT64_C(219923800391680),	// LPDG
 1675     UINT64_C(8192),	// LPDR
 1676     UINT64_C(3003121664),	// LPEBR
 1677     UINT64_C(12288),	// LPER
 1678     UINT64_C(3104833536),	// LPGFR
 1679     UINT64_C(3103784960),	// LPGR
 1680     UINT64_C(2994733056),	// LPP
 1681     UINT64_C(249589139505295),	// LPQ
 1682     UINT64_C(4096),	// LPR
 1683     UINT64_C(2181038080),	// LPSW
 1684     UINT64_C(2998009856),	// LPSWE
 1685     UINT64_C(3114926080),	// LPTEA
 1686     UINT64_C(3007315968),	// LPXBR
 1687     UINT64_C(3009413120),	// LPXR
 1688     UINT64_C(6144),	// LR
 1689     UINT64_C(2969567232),	// LRA
 1690     UINT64_C(249589139505155),	// LRAG
 1691     UINT64_C(249589139505171),	// LRAY
 1692     UINT64_C(9472),	// LRDR
 1693     UINT64_C(13568),	// LRER
 1694     UINT64_C(215560113618944),	// LRL
 1695     UINT64_C(249589139505182),	// LRV
 1696     UINT64_C(249589139505167),	// LRVG
 1697     UINT64_C(3104768000),	// LRVGR
 1698     UINT64_C(249589139505183),	// LRVH
 1699     UINT64_C(3105816576),	// LRVR
 1700     UINT64_C(2995191808),	// LSCTL
 1701     UINT64_C(249589139505170),	// LT
 1702     UINT64_C(3004301312),	// LTDBR
 1703     UINT64_C(3004301312),	// LTDBRCompare
 1704     UINT64_C(8704),	// LTDR
 1705     UINT64_C(3017146368),	// LTDTR
 1706     UINT64_C(3003252736),	// LTEBR
 1707     UINT64_C(3003252736),	// LTEBRCompare
 1708     UINT64_C(12800),	// LTER
 1709     UINT64_C(249589139505154),	// LTG
 1710     UINT64_C(249589139505202),	// LTGF
 1711     UINT64_C(3104964608),	// LTGFR
 1712     UINT64_C(3103916032),	// LTGR
 1713     UINT64_C(4608),	// LTR
 1714     UINT64_C(3007447040),	// LTXBR
 1715     UINT64_C(3007447040),	// LTXBRCompare
 1716     UINT64_C(3009544192),	// LTXR
 1717     UINT64_C(3017670656),	// LTXTR
 1718     UINT64_C(2991259648),	// LURA
 1719     UINT64_C(3104112640),	// LURAG
 1720     UINT64_C(260584255782949),	// LXD
 1721     UINT64_C(260584255782917),	// LXDB
 1722     UINT64_C(3003449344),	// LXDBR
 1723     UINT64_C(3005546496),	// LXDR
 1724     UINT64_C(3017539584),	// LXDTR
 1725     UINT64_C(260584255782950),	// LXE
 1726     UINT64_C(260584255782918),	// LXEB
 1727     UINT64_C(3003514880),	// LXEBR
 1728     UINT64_C(3005612032),	// LXER
 1729     UINT64_C(3009740800),	// LXR
 1730     UINT64_C(249589139505240),	// LY
 1731     UINT64_C(3010789376),	// LZDR
 1732     UINT64_C(3010723840),	// LZER
 1733     UINT64_C(249589139505211),	// LZRF
 1734     UINT64_C(249589139505194),	// LZRG
 1735     UINT64_C(3010854912),	// LZXR
 1736     UINT64_C(1543503872),	// M
 1737     UINT64_C(260584255782974),	// MAD
 1738     UINT64_C(260584255782942),	// MADB
 1739     UINT64_C(3005087744),	// MADBR
 1740     UINT64_C(3007184896),	// MADR
 1741     UINT64_C(260584255782958),	// MAE
 1742     UINT64_C(260584255782926),	// MAEB
 1743     UINT64_C(3004039168),	// MAEBR
 1744     UINT64_C(3006136320),	// MAER
 1745     UINT64_C(260584255782970),	// MAY
 1746     UINT64_C(260584255782972),	// MAYH
 1747     UINT64_C(3007053824),	// MAYHR
 1748     UINT64_C(260584255782968),	// MAYL
 1749     UINT64_C(3006791680),	// MAYLR
 1750     UINT64_C(3006922752),	// MAYR
 1751     UINT64_C(2936012800),	// MC
 1752     UINT64_C(1811939328),	// MD
 1753     UINT64_C(260584255782940),	// MDB
 1754     UINT64_C(3004956672),	// MDBR
 1755     UINT64_C(2080374784),	// MDE
 1756     UINT64_C(260584255782924),	// MDEB
 1757     UINT64_C(3003908096),	// MDEBR
 1758     UINT64_C(15360),	// MDER
 1759     UINT64_C(11264),	// MDR
 1760     UINT64_C(3016753152),	// MDTR
 1761     UINT64_C(3016753152),	// MDTRA
 1762     UINT64_C(2080374784),	// ME
 1763     UINT64_C(260584255782967),	// MEE
 1764     UINT64_C(260584255782935),	// MEEB
 1765     UINT64_C(3004628992),	// MEEBR
 1766     UINT64_C(3006726144),	// MEER
 1767     UINT64_C(15360),	// MER
 1768     UINT64_C(249589139505244),	// MFY
 1769     UINT64_C(249589139505284),	// MG
 1770     UINT64_C(249589139505212),	// MGH
 1771     UINT64_C(2802647040),	// MGHI
 1772     UINT64_C(3119251456),	// MGRK
 1773     UINT64_C(1275068416),	// MH
 1774     UINT64_C(2802581504),	// MHI
 1775     UINT64_C(249589139505276),	// MHY
 1776     UINT64_C(249589139505302),	// ML
 1777     UINT64_C(249589139505286),	// MLG
 1778     UINT64_C(3112566784),	// MLGR
 1779     UINT64_C(3113615360),	// MLR
 1780     UINT64_C(277076930199552),	// MP
 1781     UINT64_C(7168),	// MR
 1782     UINT64_C(1895825408),	// MS
 1783     UINT64_C(249589139505235),	// MSC
 1784     UINT64_C(2989621248),	// MSCH
 1785     UINT64_C(260584255782975),	// MSD
 1786     UINT64_C(260584255782943),	// MSDB
 1787     UINT64_C(3005153280),	// MSDBR
 1788     UINT64_C(3007250432),	// MSDR
 1789     UINT64_C(260584255782959),	// MSE
 1790     UINT64_C(260584255782927),	// MSEB
 1791     UINT64_C(3004104704),	// MSEBR
 1792     UINT64_C(3006201856),	// MSER
 1793     UINT64_C(213309550755840),	// MSFI
 1794     UINT64_C(249589139505164),	// MSG
 1795     UINT64_C(249589139505283),	// MSGC
 1796     UINT64_C(249589139505180),	// MSGF
 1797     UINT64_C(213305255788544),	// MSGFI
 1798     UINT64_C(3105619968),	// MSGFR
 1799     UINT64_C(3104571392),	// MSGR
 1800     UINT64_C(3119316992),	// MSGRKC
 1801     UINT64_C(2991718400),	// MSR
 1802     UINT64_C(3120365568),	// MSRKC
 1803     UINT64_C(2990997504),	// MSTA
 1804     UINT64_C(249589139505233),	// MSY
 1805     UINT64_C(230897441832960),	// MVC
 1806     UINT64_C(251852587270144),	// MVCDK
 1807     UINT64_C(255086697644032),	// MVCIN
 1808     UINT64_C(238594023227392),	// MVCK
 1809     UINT64_C(3584),	// MVCL
 1810     UINT64_C(2818572288),	// MVCLE
 1811     UINT64_C(258385232527502),	// MVCLU
 1812     UINT64_C(219902325555200),	// MVCOS
 1813     UINT64_C(239693534855168),	// MVCP
 1814     UINT64_C(251831112433664),	// MVCRL
 1815     UINT64_C(240793046482944),	// MVCS
 1816     UINT64_C(251848292302848),	// MVCSK
 1817     UINT64_C(252097400406016),	// MVGHI
 1818     UINT64_C(252080220536832),	// MVHHI
 1819     UINT64_C(252114580275200),	// MVHI
 1820     UINT64_C(2449473536),	// MVI
 1821     UINT64_C(258385232527442),	// MVIY
 1822     UINT64_C(229797930205184),	// MVN
 1823     UINT64_C(264982302294016),	// MVO
 1824     UINT64_C(2991849472),	// MVPG
 1825     UINT64_C(2991915008),	// MVST
 1826     UINT64_C(231996953460736),	// MVZ
 1827     UINT64_C(3008102400),	// MXBR
 1828     UINT64_C(1728053248),	// MXD
 1829     UINT64_C(260584255782919),	// MXDB
 1830     UINT64_C(3003580416),	// MXDBR
 1831     UINT64_C(9984),	// MXDR
 1832     UINT64_C(9728),	// MXR
 1833     UINT64_C(3017277440),	// MXTR
 1834     UINT64_C(3017277440),	// MXTRA
 1835     UINT64_C(260584255782971),	// MY
 1836     UINT64_C(260584255782973),	// MYH
 1837     UINT64_C(3007119360),	// MYHR
 1838     UINT64_C(260584255782969),	// MYL
 1839     UINT64_C(3006857216),	// MYLR
 1840     UINT64_C(3006988288),	// MYR
 1841     UINT64_C(1409286144),	// N
 1842     UINT64_C(233096465088512),	// NC
 1843     UINT64_C(3118792704),	// NCGRK
 1844     UINT64_C(3119841280),	// NCRK
 1845     UINT64_C(249589139505280),	// NG
 1846     UINT64_C(3112173568),	// NGR
 1847     UINT64_C(3118727168),	// NGRK
 1848     UINT64_C(2483027968),	// NI
 1849     UINT64_C(3002728448),	// NIAI
 1850     UINT64_C(211149182205952),	// NIHF
 1851     UINT64_C(2768502784),	// NIHH
 1852     UINT64_C(2768568320),	// NIHL
 1853     UINT64_C(211153477173248),	// NILF
 1854     UINT64_C(2768633856),	// NILH
 1855     UINT64_C(2768699392),	// NILL
 1856     UINT64_C(258385232527444),	// NIY
 1857     UINT64_C(3110338560),	// NNGRK
 1858     UINT64_C(3111387136),	// NNRK
 1859     UINT64_C(3110469632),	// NOGRK
 1860     UINT64_C(3111518208),	// NORK
 1861     UINT64_C(5120),	// NR
 1862     UINT64_C(3119775744),	// NRK
 1863     UINT64_C(249589139505189),	// NTSTG
 1864     UINT64_C(3110535168),	// NXGRK
 1865     UINT64_C(3111583744),	// NXRK
 1866     UINT64_C(249589139505236),	// NY
 1867     UINT64_C(1442840576),	// O
 1868     UINT64_C(235295488344064),	// OC
 1869     UINT64_C(3110404096),	// OCGRK
 1870     UINT64_C(3111452672),	// OCRK
 1871     UINT64_C(249589139505281),	// OG
 1872     UINT64_C(3112239104),	// OGR
 1873     UINT64_C(3118858240),	// OGRK
 1874     UINT64_C(2516582400),	// OI
 1875     UINT64_C(211157772140544),	// OIHF
 1876     UINT64_C(2768764928),	// OIHH
 1877     UINT64_C(2768830464),	// OIHL
 1878     UINT64_C(211162067107840),	// OILF
 1879     UINT64_C(2768896000),	// OILH
 1880     UINT64_C(2768961536),	// OILL
 1881     UINT64_C(258385232527446),	// OIY
 1882     UINT64_C(5632),	// OR
 1883     UINT64_C(3119906816),	// ORK
 1884     UINT64_C(249589139505238),	// OY
 1885     UINT64_C(266081813921792),	// PACK
 1886     UINT64_C(2991063040),	// PALB
 1887     UINT64_C(2987917312),	// PC
 1888     UINT64_C(3106668544),	// PCC
 1889     UINT64_C(3106406400),	// PCKMO
 1890     UINT64_C(249589139505206),	// PFD
 1891     UINT64_C(217711892234240),	// PFDRL
 1892     UINT64_C(3115253760),	// PFMF
 1893     UINT64_C(266),	// PFPO
 1894     UINT64_C(2989359104),	// PGIN
 1895     UINT64_C(2989424640),	// PGOUT
 1896     UINT64_C(256186209271808),	// PKA
 1897     UINT64_C(247390116249600),	// PKU
 1898     UINT64_C(261683767410688),	// PLO
 1899     UINT64_C(3118530560),	// POPCNT
 1900     UINT64_C(3118530560),	// POPCNTOpt
 1901     UINT64_C(3001548800),	// PPA
 1902     UINT64_C(3107717120),	// PPNO
 1903     UINT64_C(257),	// PR
 1904     UINT64_C(3107717120),	// PRNO
 1905     UINT64_C(2988965888),	// PT
 1906     UINT64_C(3114401792),	// PTF
 1907     UINT64_C(260),	// PTFF
 1908     UINT64_C(3114139648),	// PTI
 1909     UINT64_C(2987196416),	// PTLB
 1910     UINT64_C(3019177984),	// QADTR
 1911     UINT64_C(3019702272),	// QAXTR
 1912     UINT64_C(2995650560),	// QCTRI
 1913     UINT64_C(2995126272),	// QSI
 1914     UINT64_C(2990211072),	// RCHP
 1915     UINT64_C(259484744155221),	// RISBG
 1916     UINT64_C(259484744155221),	// RISBG32
 1917     UINT64_C(259484744155225),	// RISBGN
 1918     UINT64_C(259484744155229),	// RISBHG
 1919     UINT64_C(259484744155217),	// RISBLG
 1920     UINT64_C(258385232527389),	// RLL
 1921     UINT64_C(258385232527388),	// RLLG
 1922     UINT64_C(259484744155220),	// RNSBG
 1923     UINT64_C(259484744155222),	// ROSBG
 1924     UINT64_C(2994143232),	// RP
 1925     UINT64_C(2989096960),	// RRBE
 1926     UINT64_C(3115188224),	// RRBM
 1927     UINT64_C(3019309056),	// RRDTR
 1928     UINT64_C(3019833344),	// RRXTR
 1929     UINT64_C(2990014464),	// RSCH
 1930     UINT64_C(259484744155223),	// RXSBG
 1931     UINT64_C(1526726656),	// S
 1932     UINT64_C(2987982848),	// SAC
 1933     UINT64_C(2994274304),	// SACF
 1934     UINT64_C(2989948928),	// SAL
 1935     UINT64_C(268),	// SAM24
 1936     UINT64_C(269),	// SAM31
 1937     UINT64_C(270),	// SAM64
 1938     UINT64_C(2991456256),	// SAR
 1939     UINT64_C(3001024512),	// SCCTR
 1940     UINT64_C(2990276608),	// SCHM
 1941     UINT64_C(2986606592),	// SCK
 1942     UINT64_C(2986737664),	// SCKC
 1943     UINT64_C(263),	// SCKPF
 1944     UINT64_C(1795162112),	// SD
 1945     UINT64_C(260584255782939),	// SDB
 1946     UINT64_C(3004891136),	// SDBR
 1947     UINT64_C(11008),	// SDR
 1948     UINT64_C(3016949760),	// SDTR
 1949     UINT64_C(3016949760),	// SDTRA
 1950     UINT64_C(2063597568),	// SE
 1951     UINT64_C(260584255782923),	// SEB
 1952     UINT64_C(3003842560),	// SEBR
 1953     UINT64_C(3116367872),	// SELFHR
 1954     UINT64_C(3116367872),	// SELFHRAsm
 1955     UINT64_C(3116369920),	// SELFHRAsmE
 1956     UINT64_C(3116368384),	// SELFHRAsmH
 1957     UINT64_C(3116370432),	// SELFHRAsmHE
 1958     UINT64_C(3116368896),	// SELFHRAsmL
 1959     UINT64_C(3116370944),	// SELFHRAsmLE
 1960     UINT64_C(3116369408),	// SELFHRAsmLH
 1961     UINT64_C(3116368896),	// SELFHRAsmM
 1962     UINT64_C(3116369664),	// SELFHRAsmNE
 1963     UINT64_C(3116371200),	// SELFHRAsmNH
 1964     UINT64_C(3116369152),	// SELFHRAsmNHE
 1965     UINT64_C(3116370688),	// SELFHRAsmNL
 1966     UINT64_C(3116368640),	// SELFHRAsmNLE
 1967     UINT64_C(3116370176),	// SELFHRAsmNLH
 1968     UINT64_C(3116370688),	// SELFHRAsmNM
 1969     UINT64_C(3116371456),	// SELFHRAsmNO
 1970     UINT64_C(3116371200),	// SELFHRAsmNP
 1971     UINT64_C(3116369664),	// SELFHRAsmNZ
 1972     UINT64_C(3116368128),	// SELFHRAsmO
 1973     UINT64_C(3116368384),	// SELFHRAsmP
 1974     UINT64_C(3116369920),	// SELFHRAsmZ
 1975     UINT64_C(3118661632),	// SELGR
 1976     UINT64_C(3118661632),	// SELGRAsm
 1977     UINT64_C(3118663680),	// SELGRAsmE
 1978     UINT64_C(3118662144),	// SELGRAsmH
 1979     UINT64_C(3118664192),	// SELGRAsmHE
 1980     UINT64_C(3118662656),	// SELGRAsmL
 1981     UINT64_C(3118664704),	// SELGRAsmLE
 1982     UINT64_C(3118663168),	// SELGRAsmLH
 1983     UINT64_C(3118662656),	// SELGRAsmM
 1984     UINT64_C(3118663424),	// SELGRAsmNE
 1985     UINT64_C(3118664960),	// SELGRAsmNH
 1986     UINT64_C(3118662912),	// SELGRAsmNHE
 1987     UINT64_C(3118664448),	// SELGRAsmNL
 1988     UINT64_C(3118662400),	// SELGRAsmNLE
 1989     UINT64_C(3118663936),	// SELGRAsmNLH
 1990     UINT64_C(3118664448),	// SELGRAsmNM
 1991     UINT64_C(3118665216),	// SELGRAsmNO
 1992     UINT64_C(3118664960),	// SELGRAsmNP
 1993     UINT64_C(3118663424),	// SELGRAsmNZ
 1994     UINT64_C(3118661888),	// SELGRAsmO
 1995     UINT64_C(3118662144),	// SELGRAsmP
 1996     UINT64_C(3118663680),	// SELGRAsmZ
 1997     UINT64_C(3119513600),	// SELR
 1998     UINT64_C(3119513600),	// SELRAsm
 1999     UINT64_C(3119515648),	// SELRAsmE
 2000     UINT64_C(3119514112),	// SELRAsmH
 2001     UINT64_C(3119516160),	// SELRAsmHE
 2002     UINT64_C(3119514624),	// SELRAsmL
 2003     UINT64_C(3119516672),	// SELRAsmLE
 2004     UINT64_C(3119515136),	// SELRAsmLH
 2005     UINT64_C(3119514624),	// SELRAsmM
 2006     UINT64_C(3119515392),	// SELRAsmNE
 2007     UINT64_C(3119516928),	// SELRAsmNH
 2008     UINT64_C(3119514880),	// SELRAsmNHE
 2009     UINT64_C(3119516416),	// SELRAsmNL
 2010     UINT64_C(3119514368),	// SELRAsmNLE
 2011     UINT64_C(3119515904),	// SELRAsmNLH
 2012     UINT64_C(3119516416),	// SELRAsmNM
 2013     UINT64_C(3119517184),	// SELRAsmNO
 2014     UINT64_C(3119516928),	// SELRAsmNP
 2015     UINT64_C(3119515392),	// SELRAsmNZ
 2016     UINT64_C(3119513856),	// SELRAsmO
 2017     UINT64_C(3119514112),	// SELRAsmP
 2018     UINT64_C(3119515648),	// SELRAsmZ
 2019     UINT64_C(15104),	// SER
 2020     UINT64_C(3011837952),	// SFASR
 2021     UINT64_C(3011772416),	// SFPC
 2022     UINT64_C(249589139505161),	// SG
 2023     UINT64_C(249589139505177),	// SGF
 2024     UINT64_C(3105423360),	// SGFR
 2025     UINT64_C(249589139505209),	// SGH
 2026     UINT64_C(3104374784),	// SGR
 2027     UINT64_C(3119054848),	// SGRK
 2028     UINT64_C(1258291200),	// SH
 2029     UINT64_C(3116957696),	// SHHHR
 2030     UINT64_C(3118006272),	// SHHLR
 2031     UINT64_C(249589139505275),	// SHY
 2032     UINT64_C(2987655168),	// SIE
 2033     UINT64_C(2993946624),	// SIGA
 2034     UINT64_C(2919235584),	// SIGP
 2035     UINT64_C(1593835520),	// SL
 2036     UINT64_C(2332033024),	// SLA
 2037     UINT64_C(258385232527371),	// SLAG
 2038     UINT64_C(258385232527581),	// SLAK
 2039     UINT64_C(249589139505305),	// SLB
 2040     UINT64_C(249589139505289),	// SLBG
 2041     UINT64_C(3112763392),	// SLBGR
 2042     UINT64_C(3113811968),	// SLBR
 2043     UINT64_C(2399141888),	// SLDA
 2044     UINT64_C(2365587456),	// SLDL
 2045     UINT64_C(260584255782976),	// SLDT
 2046     UINT64_C(213326730625024),	// SLFI
 2047     UINT64_C(249589139505163),	// SLG
 2048     UINT64_C(249589139505179),	// SLGF
 2049     UINT64_C(213322435657728),	// SLGFI
 2050     UINT64_C(3105554432),	// SLGFR
 2051     UINT64_C(3104505856),	// SLGR
 2052     UINT64_C(3119185920),	// SLGRK
 2053     UINT64_C(3117088768),	// SLHHHR
 2054     UINT64_C(3118137344),	// SLHHLR
 2055     UINT64_C(2298478592),	// SLL
 2056     UINT64_C(258385232527373),	// SLLG
 2057     UINT64_C(258385232527583),	// SLLK
 2058     UINT64_C(7936),	// SLR
 2059     UINT64_C(3120234496),	// SLRK
 2060     UINT64_C(260584255782984),	// SLXT
 2061     UINT64_C(249589139505247),	// SLY
 2062     UINT64_C(3107454976),	// SORTL
 2063     UINT64_C(275977418571776),	// SP
 2064     UINT64_C(3001090048),	// SPCTR
 2065     UINT64_C(2986999808),	// SPKA
 2066     UINT64_C(1024),	// SPM
 2067     UINT64_C(2986868736),	// SPT
 2068     UINT64_C(2987393024),	// SPX
 2069     UINT64_C(260584255782965),	// SQD
 2070     UINT64_C(260584255782933),	// SQDB
 2071     UINT64_C(3004497920),	// SQDBR
 2072     UINT64_C(2990800896),	// SQDR
 2073     UINT64_C(260584255782964),	// SQE
 2074     UINT64_C(260584255782932),	// SQEB
 2075     UINT64_C(3004432384),	// SQEBR
 2076     UINT64_C(2990866432),	// SQER
 2077     UINT64_C(3004563456),	// SQXBR
 2078     UINT64_C(3006660608),	// SQXR
 2079     UINT64_C(6912),	// SR
 2080     UINT64_C(2315255808),	// SRA
 2081     UINT64_C(258385232527370),	// SRAG
 2082     UINT64_C(258385232527580),	// SRAK
 2083     UINT64_C(2382364672),	// SRDA
 2084     UINT64_C(2348810240),	// SRDL
 2085     UINT64_C(260584255782977),	// SRDT
 2086     UINT64_C(3120103424),	// SRK
 2087     UINT64_C(2281701376),	// SRL
 2088     UINT64_C(258385232527372),	// SRLG
 2089     UINT64_C(258385232527582),	// SRLK
 2090     UINT64_C(2996371456),	// SRNM
 2091     UINT64_C(2998403072),	// SRNMB
 2092     UINT64_C(2998468608),	// SRNMT
 2093     UINT64_C(263882790666240),	// SRP
 2094     UINT64_C(2992504832),	// SRST
 2095     UINT64_C(3116236800),	// SRSTU
 2096     UINT64_C(260584255782985),	// SRXT
 2097     UINT64_C(3114205184),	// SSAIR
 2098     UINT64_C(2988769280),	// SSAR
 2099     UINT64_C(2989686784),	// SSCH
 2100     UINT64_C(2989162496),	// SSKE
 2101     UINT64_C(2989162496),	// SSKEOpt
 2102     UINT64_C(2147483648),	// SSM
 2103     UINT64_C(1342177280),	// ST
 2104     UINT64_C(2600468480),	// STAM
 2105     UINT64_C(258385232527515),	// STAMY
 2106     UINT64_C(2987524096),	// STAP
 2107     UINT64_C(1107296256),	// STC
 2108     UINT64_C(249589139505347),	// STCH
 2109     UINT64_C(2986672128),	// STCK
 2110     UINT64_C(2986803200),	// STCKC
 2111     UINT64_C(2994208768),	// STCKE
 2112     UINT64_C(2994470912),	// STCKF
 2113     UINT64_C(3187671040),	// STCM
 2114     UINT64_C(258385232527404),	// STCMH
 2115     UINT64_C(258385232527405),	// STCMY
 2116     UINT64_C(2990145536),	// STCPS
 2117     UINT64_C(2990080000),	// STCRW
 2118     UINT64_C(258385232527397),	// STCTG
 2119     UINT64_C(3053453312),	// STCTL
 2120     UINT64_C(249589139505266),	// STCY
 2121     UINT64_C(1610612736),	// STD
 2122     UINT64_C(260584255783015),	// STDY
 2123     UINT64_C(1879048192),	// STE
 2124     UINT64_C(260584255783014),	// STEY
 2125     UINT64_C(249589139505355),	// STFH
 2126     UINT64_C(2997944320),	// STFL
 2127     UINT64_C(2997878784),	// STFLE
 2128     UINT64_C(2996568064),	// STFPC
 2129     UINT64_C(249589139505188),	// STG
 2130     UINT64_C(215551523684352),	// STGRL
 2131     UINT64_C(249589139505225),	// STGSC
 2132     UINT64_C(1073741824),	// STH
 2133     UINT64_C(249589139505351),	// STHH
 2134     UINT64_C(215534343815168),	// STHRL
 2135     UINT64_C(249589139505264),	// STHY
 2136     UINT64_C(2986475520),	// STIDP
 2137     UINT64_C(2415919104),	// STM
 2138     UINT64_C(258385232527396),	// STMG
 2139     UINT64_C(258385232527398),	// STMH
 2140     UINT64_C(258385232527504),	// STMY
 2141     UINT64_C(2885681152),	// STNSM
 2142     UINT64_C(258385232527603),	// STOC
 2143     UINT64_C(258385232527603),	// STOCAsm
 2144     UINT64_C(258419592265971),	// STOCAsmE
 2145     UINT64_C(258393822462195),	// STOCAsmH
 2146     UINT64_C(258428182200563),	// STOCAsmHE
 2147     UINT64_C(258402412396787),	// STOCAsmL
 2148     UINT64_C(258436772135155),	// STOCAsmLE
 2149     UINT64_C(258411002331379),	// STOCAsmLH
 2150     UINT64_C(258402412396787),	// STOCAsmM
 2151     UINT64_C(258415297298675),	// STOCAsmNE
 2152     UINT64_C(258441067102451),	// STOCAsmNH
 2153     UINT64_C(258406707364083),	// STOCAsmNHE
 2154     UINT64_C(258432477167859),	// STOCAsmNL
 2155     UINT64_C(258398117429491),	// STOCAsmNLE
 2156     UINT64_C(258423887233267),	// STOCAsmNLH
 2157     UINT64_C(258432477167859),	// STOCAsmNM
 2158     UINT64_C(258445362069747),	// STOCAsmNO
 2159     UINT64_C(258441067102451),	// STOCAsmNP
 2160     UINT64_C(258415297298675),	// STOCAsmNZ
 2161     UINT64_C(258389527494899),	// STOCAsmO
 2162     UINT64_C(258393822462195),	// STOCAsmP
 2163     UINT64_C(258419592265971),	// STOCAsmZ
 2164     UINT64_C(258385232527585),	// STOCFH
 2165     UINT64_C(258385232527585),	// STOCFHAsm
 2166     UINT64_C(258419592265953),	// STOCFHAsmE
 2167     UINT64_C(258393822462177),	// STOCFHAsmH
 2168     UINT64_C(258428182200545),	// STOCFHAsmHE
 2169     UINT64_C(258402412396769),	// STOCFHAsmL
 2170     UINT64_C(258436772135137),	// STOCFHAsmLE
 2171     UINT64_C(258411002331361),	// STOCFHAsmLH
 2172     UINT64_C(258402412396769),	// STOCFHAsmM
 2173     UINT64_C(258415297298657),	// STOCFHAsmNE
 2174     UINT64_C(258441067102433),	// STOCFHAsmNH
 2175     UINT64_C(258406707364065),	// STOCFHAsmNHE
 2176     UINT64_C(258432477167841),	// STOCFHAsmNL
 2177     UINT64_C(258398117429473),	// STOCFHAsmNLE
 2178     UINT64_C(258423887233249),	// STOCFHAsmNLH
 2179     UINT64_C(258432477167841),	// STOCFHAsmNM
 2180     UINT64_C(258445362069729),	// STOCFHAsmNO
 2181     UINT64_C(258441067102433),	// STOCFHAsmNP
 2182     UINT64_C(258415297298657),	// STOCFHAsmNZ
 2183     UINT64_C(258389527494881),	// STOCFHAsmO
 2184     UINT64_C(258393822462177),	// STOCFHAsmP
 2185     UINT64_C(258419592265953),	// STOCFHAsmZ
 2186     UINT64_C(258385232527587),	// STOCG
 2187     UINT64_C(258385232527587),	// STOCGAsm
 2188     UINT64_C(258419592265955),	// STOCGAsmE
 2189     UINT64_C(258393822462179),	// STOCGAsmH
 2190     UINT64_C(258428182200547),	// STOCGAsmHE
 2191     UINT64_C(258402412396771),	// STOCGAsmL
 2192     UINT64_C(258436772135139),	// STOCGAsmLE
 2193     UINT64_C(258411002331363),	// STOCGAsmLH
 2194     UINT64_C(258402412396771),	// STOCGAsmM
 2195     UINT64_C(258415297298659),	// STOCGAsmNE
 2196     UINT64_C(258441067102435),	// STOCGAsmNH
 2197     UINT64_C(258406707364067),	// STOCGAsmNHE
 2198     UINT64_C(258432477167843),	// STOCGAsmNL
 2199     UINT64_C(258398117429475),	// STOCGAsmNLE
 2200     UINT64_C(258423887233251),	// STOCGAsmNLH
 2201     UINT64_C(258432477167843),	// STOCGAsmNM
 2202     UINT64_C(258445362069731),	// STOCGAsmNO
 2203     UINT64_C(258441067102435),	// STOCGAsmNP
 2204     UINT64_C(258415297298659),	// STOCGAsmNZ
 2205     UINT64_C(258389527494883),	// STOCGAsmO
 2206     UINT64_C(258393822462179),	// STOCGAsmP
 2207     UINT64_C(258419592265955),	// STOCGAsmZ
 2208     UINT64_C(2902458368),	// STOSM
 2209     UINT64_C(249589139505294),	// STPQ
 2210     UINT64_C(2986934272),	// STPT
 2211     UINT64_C(2987458560),	// STPX
 2212     UINT64_C(251796752695296),	// STRAG
 2213     UINT64_C(215568703553536),	// STRL
 2214     UINT64_C(249589139505214),	// STRV
 2215     UINT64_C(249589139505199),	// STRVG
 2216     UINT64_C(249589139505215),	// STRVH
 2217     UINT64_C(2989752320),	// STSCH
 2218     UINT64_C(2994536448),	// STSI
 2219     UINT64_C(2990931968),	// STURA
 2220     UINT64_C(3106209792),	// STURG
 2221     UINT64_C(249589139505232),	// STY
 2222     UINT64_C(2130706432),	// SU
 2223     UINT64_C(16128),	// SUR
 2224     UINT64_C(2560),	// SVC
 2225     UINT64_C(1862270976),	// SW
 2226     UINT64_C(12032),	// SWR
 2227     UINT64_C(3008036864),	// SXBR
 2228     UINT64_C(14080),	// SXR
 2229     UINT64_C(3017474048),	// SXTR
 2230     UINT64_C(3017474048),	// SXTRA
 2231     UINT64_C(249589139505243),	// SY
 2232     UINT64_C(3002859520),	// TABORT
 2233     UINT64_C(267),	// TAM
 2234     UINT64_C(2991325184),	// TAR
 2235     UINT64_C(2989228032),	// TB
 2236     UINT64_C(3008430080),	// TBDR
 2237     UINT64_C(3008364544),	// TBEDR
 2238     UINT64_C(252200479621120),	// TBEGIN
 2239     UINT64_C(252204774588416),	// TBEGINC
 2240     UINT64_C(260584255782929),	// TCDB
 2241     UINT64_C(260584255782928),	// TCEB
 2242     UINT64_C(260584255782930),	// TCXB
 2243     UINT64_C(260584255782996),	// TDCDT
 2244     UINT64_C(260584255782992),	// TDCET
 2245     UINT64_C(260584255783000),	// TDCXT
 2246     UINT64_C(260584255782997),	// TDGDT
 2247     UINT64_C(260584255782993),	// TDGET
 2248     UINT64_C(260584255783001),	// TDGXT
 2249     UINT64_C(3002597376),	// TEND
 2250     UINT64_C(3008888832),	// THDER
 2251     UINT64_C(3008954368),	// THDR
 2252     UINT64_C(2432696320),	// TM
 2253     UINT64_C(2801926144),	// TMHH
 2254     UINT64_C(2801991680),	// TMHL
 2255     UINT64_C(2801795072),	// TMLH
 2256     UINT64_C(2801860608),	// TMLL
 2257     UINT64_C(258385232527441),	// TMY
 2258     UINT64_C(258385232527552),	// TP
 2259     UINT64_C(2989883392),	// TPI
 2260     UINT64_C(251792457728000),	// TPROT
 2261     UINT64_C(241892558110720),	// TR
 2262     UINT64_C(2566914048),	// TRACE
 2263     UINT64_C(258385232527375),	// TRACG
 2264     UINT64_C(511),	// TRAP2
 2265     UINT64_C(3003056128),	// TRAP4
 2266     UINT64_C(2997157888),	// TRE
 2267     UINT64_C(3113418752),	// TROO
 2268     UINT64_C(3113418752),	// TROOOpt
 2269     UINT64_C(3113353216),	// TROT
 2270     UINT64_C(3113353216),	// TROTOpt
 2271     UINT64_C(242992069738496),	// TRT
 2272     UINT64_C(3116302336),	// TRTE
 2273     UINT64_C(3116302336),	// TRTEOpt
 2274     UINT64_C(3113287680),	// TRTO
 2275     UINT64_C(3113287680),	// TRTOOpt
 2276     UINT64_C(228698418577408),	// TRTR
 2277     UINT64_C(3116171264),	// TRTRE
 2278     UINT64_C(3116171264),	// TRTREOpt
 2279     UINT64_C(3113222144),	// TRTT
 2280     UINT64_C(3113222144),	// TRTTOpt
 2281     UINT64_C(2466250752),	// TS
 2282     UINT64_C(2989817856),	// TSCH
 2283     UINT64_C(267181325549568),	// UNPK
 2284     UINT64_C(257285720899584),	// UNPKA
 2285     UINT64_C(248489627877376),	// UNPKU
 2286     UINT64_C(258),	// UPT
 2287     UINT64_C(253987186016499),	// VA
 2288     UINT64_C(253987186016499),	// VAB
 2289     UINT64_C(253987186016443),	// VAC
 2290     UINT64_C(253987186016497),	// VACC
 2291     UINT64_C(253987186016497),	// VACCB
 2292     UINT64_C(253987186016441),	// VACCC
 2293     UINT64_C(253987253125305),	// VACCCQ
 2294     UINT64_C(253987186024689),	// VACCF
 2295     UINT64_C(253987186028785),	// VACCG
 2296     UINT64_C(253987186020593),	// VACCH
 2297     UINT64_C(253987186032881),	// VACCQ
 2298     UINT64_C(253987253125307),	// VACQ
 2299     UINT64_C(253987186024691),	// VAF
 2300     UINT64_C(253987186028787),	// VAG
 2301     UINT64_C(253987186020595),	// VAH
 2302     UINT64_C(252887674388593),	// VAP
 2303     UINT64_C(253987186032883),	// VAQ
 2304     UINT64_C(253987186016498),	// VAVG
 2305     UINT64_C(253987186016498),	// VAVGB
 2306     UINT64_C(253987186024690),	// VAVGF
 2307     UINT64_C(253987186028786),	// VAVGG
 2308     UINT64_C(253987186020594),	// VAVGH
 2309     UINT64_C(253987186016496),	// VAVGL
 2310     UINT64_C(253987186016496),	// VAVGLB
 2311     UINT64_C(253987186024688),	// VAVGLF
 2312     UINT64_C(253987186028784),	// VAVGLG
 2313     UINT64_C(253987186020592),	// VAVGLH
 2314     UINT64_C(253987186016389),	// VBPERM
 2315     UINT64_C(253987186016451),	// VCDG
 2316     UINT64_C(253987186028739),	// VCDGB
 2317     UINT64_C(253987186016449),	// VCDLG
 2318     UINT64_C(253987186028737),	// VCDLGB
 2319     UINT64_C(253987186024643),	// VCEFB
 2320     UINT64_C(253987186024641),	// VCELFB
 2321     UINT64_C(253987186016504),	// VCEQ
 2322     UINT64_C(253987186016504),	// VCEQB
 2323     UINT64_C(253987187065080),	// VCEQBS
 2324     UINT64_C(253987186024696),	// VCEQF
 2325     UINT64_C(253987187073272),	// VCEQFS
 2326     UINT64_C(253987186028792),	// VCEQG
 2327     UINT64_C(253987187077368),	// VCEQGS
 2328     UINT64_C(253987186020600),	// VCEQH
 2329     UINT64_C(253987187069176),	// VCEQHS
 2330     UINT64_C(253987186024642),	// VCFEB
 2331     UINT64_C(253987186016449),	// VCFPL
 2332     UINT64_C(253987186016451),	// VCFPS
 2333     UINT64_C(253987186016450),	// VCGD
 2334     UINT64_C(253987186028738),	// VCGDB
 2335     UINT64_C(253987186016507),	// VCH
 2336     UINT64_C(253987186016507),	// VCHB
 2337     UINT64_C(253987187065083),	// VCHBS
 2338     UINT64_C(253987186024699),	// VCHF
 2339     UINT64_C(253987187073275),	// VCHFS
 2340     UINT64_C(253987186028795),	// VCHG
 2341     UINT64_C(253987187077371),	// VCHGS
 2342     UINT64_C(253987186020603),	// VCHH
 2343     UINT64_C(253987187069179),	// VCHHS
 2344     UINT64_C(253987186016505),	// VCHL
 2345     UINT64_C(253987186016505),	// VCHLB
 2346     UINT64_C(253987187065081),	// VCHLBS
 2347     UINT64_C(253987186024697),	// VCHLF
 2348     UINT64_C(253987187073273),	// VCHLFS
 2349     UINT64_C(253987186028793),	// VCHLG
 2350     UINT64_C(253987187077369),	// VCHLGS
 2351     UINT64_C(253987186020601),	// VCHLH
 2352     UINT64_C(253987187069177),	// VCHLHS
 2353     UINT64_C(253987186016358),	// VCKSM
 2354     UINT64_C(253987186024640),	// VCLFEB
 2355     UINT64_C(253987186016448),	// VCLFP
 2356     UINT64_C(253987186016448),	// VCLGD
 2357     UINT64_C(253987186028736),	// VCLGDB
 2358     UINT64_C(253987186016339),	// VCLZ
 2359     UINT64_C(253987186016339),	// VCLZB
 2360     UINT64_C(253987186024531),	// VCLZF
 2361     UINT64_C(253987186028627),	// VCLZG
 2362     UINT64_C(253987186020435),	// VCLZH
 2363     UINT64_C(252887674388599),	// VCP
 2364     UINT64_C(253987186016450),	// VCSFP
 2365     UINT64_C(253987186016338),	// VCTZ
 2366     UINT64_C(253987186016338),	// VCTZB
 2367     UINT64_C(253987186024530),	// VCTZF
 2368     UINT64_C(253987186028626),	// VCTZG
 2369     UINT64_C(253987186020434),	// VCTZH
 2370     UINT64_C(252887674388560),	// VCVB
 2371     UINT64_C(252887674388562),	// VCVBG
 2372     UINT64_C(252887674388562),	// VCVBGOpt
 2373     UINT64_C(252887674388560),	// VCVBOpt
 2374     UINT64_C(252887674388568),	// VCVD
 2375     UINT64_C(252887674388570),	// VCVDG
 2376     UINT64_C(252887674388602),	// VDP
 2377     UINT64_C(253987186016475),	// VEC
 2378     UINT64_C(253987186016475),	// VECB
 2379     UINT64_C(253987186024667),	// VECF
 2380     UINT64_C(253987186028763),	// VECG
 2381     UINT64_C(253987186020571),	// VECH
 2382     UINT64_C(253987186016473),	// VECL
 2383     UINT64_C(253987186016473),	// VECLB
 2384     UINT64_C(253987186024665),	// VECLF
 2385     UINT64_C(253987186028761),	// VECLG
 2386     UINT64_C(253987186020569),	// VECLH
 2387     UINT64_C(253987186016370),	// VERIM
 2388     UINT64_C(253987186016370),	// VERIMB
 2389     UINT64_C(253987186024562),	// VERIMF
 2390     UINT64_C(253987186028658),	// VERIMG
 2391     UINT64_C(253987186020466),	// VERIMH
 2392     UINT64_C(253987186016307),	// VERLL
 2393     UINT64_C(253987186016307),	// VERLLB
 2394     UINT64_C(253987186024499),	// VERLLF
 2395     UINT64_C(253987186028595),	// VERLLG
 2396     UINT64_C(253987186020403),	// VERLLH
 2397     UINT64_C(253987186016371),	// VERLLV
 2398     UINT64_C(253987186016371),	// VERLLVB
 2399     UINT64_C(253987186024563),	// VERLLVF
 2400     UINT64_C(253987186028659),	// VERLLVG
 2401     UINT64_C(253987186020467),	// VERLLVH
 2402     UINT64_C(253987186016304),	// VESL
 2403     UINT64_C(253987186016304),	// VESLB
 2404     UINT64_C(253987186024496),	// VESLF
 2405     UINT64_C(253987186028592),	// VESLG
 2406     UINT64_C(253987186020400),	// VESLH
 2407     UINT64_C(253987186016368),	// VESLV
 2408     UINT64_C(253987186016368),	// VESLVB
 2409     UINT64_C(253987186024560),	// VESLVF
 2410     UINT64_C(253987186028656),	// VESLVG
 2411     UINT64_C(253987186020464),	// VESLVH
 2412     UINT64_C(253987186016314),	// VESRA
 2413     UINT64_C(253987186016314),	// VESRAB
 2414     UINT64_C(253987186024506),	// VESRAF
 2415     UINT64_C(253987186028602),	// VESRAG
 2416     UINT64_C(253987186020410),	// VESRAH
 2417     UINT64_C(253987186016378),	// VESRAV
 2418     UINT64_C(253987186016378),	// VESRAVB
 2419     UINT64_C(253987186024570),	// VESRAVF
 2420     UINT64_C(253987186028666),	// VESRAVG
 2421     UINT64_C(253987186020474),	// VESRAVH
 2422     UINT64_C(253987186016312),	// VESRL
 2423     UINT64_C(253987186016312),	// VESRLB
 2424     UINT64_C(253987186024504),	// VESRLF
 2425     UINT64_C(253987186028600),	// VESRLG
 2426     UINT64_C(253987186020408),	// VESRLH
 2427     UINT64_C(253987186016376),	// VESRLV
 2428     UINT64_C(253987186016376),	// VESRLVB
 2429     UINT64_C(253987186024568),	// VESRLVF
 2430     UINT64_C(253987186028664),	// VESRLVG
 2431     UINT64_C(253987186020472),	// VESRLVH
 2432     UINT64_C(253987186016483),	// VFA
 2433     UINT64_C(253987186028771),	// VFADB
 2434     UINT64_C(253987186016386),	// VFAE
 2435     UINT64_C(253987186016386),	// VFAEB
 2436     UINT64_C(253987187064962),	// VFAEBS
 2437     UINT64_C(253987186024578),	// VFAEF
 2438     UINT64_C(253987187073154),	// VFAEFS
 2439     UINT64_C(253987186020482),	// VFAEH
 2440     UINT64_C(253987187069058),	// VFAEHS
 2441     UINT64_C(253987188113538),	// VFAEZB
 2442     UINT64_C(253987189162114),	// VFAEZBS
 2443     UINT64_C(253987188121730),	// VFAEZF
 2444     UINT64_C(253987189170306),	// VFAEZFS
 2445     UINT64_C(253987188117634),	// VFAEZH
 2446     UINT64_C(253987189166210),	// VFAEZHS
 2447     UINT64_C(253987186024675),	// VFASB
 2448     UINT64_C(253987186016488),	// VFCE
 2449     UINT64_C(253987186028776),	// VFCEDB
 2450     UINT64_C(253987187077352),	// VFCEDBS
 2451     UINT64_C(253987186024680),	// VFCESB
 2452     UINT64_C(253987187073256),	// VFCESBS
 2453     UINT64_C(253987186016491),	// VFCH
 2454     UINT64_C(253987186028779),	// VFCHDB
 2455     UINT64_C(253987187077355),	// VFCHDBS
 2456     UINT64_C(253987186016490),	// VFCHE
 2457     UINT64_C(253987186028778),	// VFCHEDB
 2458     UINT64_C(253987187077354),	// VFCHEDBS
 2459     UINT64_C(253987186024682),	// VFCHESB
 2460     UINT64_C(253987187073258),	// VFCHESBS
 2461     UINT64_C(253987186024683),	// VFCHSB
 2462     UINT64_C(253987187073259),	// VFCHSBS
 2463     UINT64_C(253987186016485),	// VFD
 2464     UINT64_C(253987186028773),	// VFDDB
 2465     UINT64_C(253987186024677),	// VFDSB
 2466     UINT64_C(253987186016384),	// VFEE
 2467     UINT64_C(253987186016384),	// VFEEB
 2468     UINT64_C(253987187064960),	// VFEEBS
 2469     UINT64_C(253987186024576),	// VFEEF
 2470     UINT64_C(253987187073152),	// VFEEFS
 2471     UINT64_C(253987186020480),	// VFEEH
 2472     UINT64_C(253987187069056),	// VFEEHS
 2473     UINT64_C(253987188113536),	// VFEEZB
 2474     UINT64_C(253987189162112),	// VFEEZBS
 2475     UINT64_C(253987188121728),	// VFEEZF
 2476     UINT64_C(253987189170304),	// VFEEZFS
 2477     UINT64_C(253987188117632),	// VFEEZH
 2478     UINT64_C(253987189166208),	// VFEEZHS
 2479     UINT64_C(253987186016385),	// VFENE
 2480     UINT64_C(253987186016385),	// VFENEB
 2481     UINT64_C(253987187064961),	// VFENEBS
 2482     UINT64_C(253987186024577),	// VFENEF
 2483     UINT64_C(253987187073153),	// VFENEFS
 2484     UINT64_C(253987186020481),	// VFENEH
 2485     UINT64_C(253987187069057),	// VFENEHS
 2486     UINT64_C(253987188113537),	// VFENEZB
 2487     UINT64_C(253987189162113),	// VFENEZBS
 2488     UINT64_C(253987188121729),	// VFENEZF
 2489     UINT64_C(253987189170305),	// VFENEZFS
 2490     UINT64_C(253987188117633),	// VFENEZH
 2491     UINT64_C(253987189166209),	// VFENEZHS
 2492     UINT64_C(253987186016455),	// VFI
 2493     UINT64_C(253987186028743),	// VFIDB
 2494     UINT64_C(253987186024647),	// VFISB
 2495     UINT64_C(253987186290920),	// VFKEDB
 2496     UINT64_C(253987187339496),	// VFKEDBS
 2497     UINT64_C(253987186286824),	// VFKESB
 2498     UINT64_C(253987187335400),	// VFKESBS
 2499     UINT64_C(253987186290923),	// VFKHDB
 2500     UINT64_C(253987187339499),	// VFKHDBS
 2501     UINT64_C(253987186290922),	// VFKHEDB
 2502     UINT64_C(253987187339498),	// VFKHEDBS
 2503     UINT64_C(253987186286826),	// VFKHESB
 2504     UINT64_C(253987187335402),	// VFKHESBS
 2505     UINT64_C(253987186286827),	// VFKHSB
 2506     UINT64_C(253987187335403),	// VFKHSBS
 2507     UINT64_C(253987186028748),	// VFLCDB
 2508     UINT64_C(253987186024652),	// VFLCSB
 2509     UINT64_C(253987186016452),	// VFLL
 2510     UINT64_C(253987186024644),	// VFLLS
 2511     UINT64_C(253987187077324),	// VFLNDB
 2512     UINT64_C(253987187073228),	// VFLNSB
 2513     UINT64_C(253987188125900),	// VFLPDB
 2514     UINT64_C(253987188121804),	// VFLPSB
 2515     UINT64_C(253987186016453),	// VFLR
 2516     UINT64_C(253987186028741),	// VFLRD
 2517     UINT64_C(253987186016487),	// VFM
 2518     UINT64_C(253987186016399),	// VFMA
 2519     UINT64_C(253987236348047),	// VFMADB
 2520     UINT64_C(253987219570831),	// VFMASB
 2521     UINT64_C(253987186016495),	// VFMAX
 2522     UINT64_C(253987186028783),	// VFMAXDB
 2523     UINT64_C(253987186024687),	// VFMAXSB
 2524     UINT64_C(253987186028775),	// VFMDB
 2525     UINT64_C(253987186016494),	// VFMIN
 2526     UINT64_C(253987186028782),	// VFMINDB
 2527     UINT64_C(253987186024686),	// VFMINSB
 2528     UINT64_C(253987186016398),	// VFMS
 2529     UINT64_C(253987186024679),	// VFMSB
 2530     UINT64_C(253987236348046),	// VFMSDB
 2531     UINT64_C(253987219570830),	// VFMSSB
 2532     UINT64_C(253987186016415),	// VFNMA
 2533     UINT64_C(253987236348063),	// VFNMADB
 2534     UINT64_C(253987219570847),	// VFNMASB
 2535     UINT64_C(253987186016414),	// VFNMS
 2536     UINT64_C(253987236348062),	// VFNMSDB
 2537     UINT64_C(253987219570846),	// VFNMSSB
 2538     UINT64_C(253987186016460),	// VFPSO
 2539     UINT64_C(253987186028748),	// VFPSODB
 2540     UINT64_C(253987186024652),	// VFPSOSB
 2541     UINT64_C(253987186016482),	// VFS
 2542     UINT64_C(253987186028770),	// VFSDB
 2543     UINT64_C(253987186016462),	// VFSQ
 2544     UINT64_C(253987186028750),	// VFSQDB
 2545     UINT64_C(253987186024654),	// VFSQSB
 2546     UINT64_C(253987186024674),	// VFSSB
 2547     UINT64_C(253987186016330),	// VFTCI
 2548     UINT64_C(253987186028618),	// VFTCIDB
 2549     UINT64_C(253987186024522),	// VFTCISB
 2550     UINT64_C(253987186016324),	// VGBM
 2551     UINT64_C(253987186016275),	// VGEF
 2552     UINT64_C(253987186016274),	// VGEG
 2553     UINT64_C(253987186016436),	// VGFM
 2554     UINT64_C(253987186016444),	// VGFMA
 2555     UINT64_C(253987186016444),	// VGFMAB
 2556     UINT64_C(253987219570876),	// VGFMAF
 2557     UINT64_C(253987236348092),	// VGFMAG
 2558     UINT64_C(253987202793660),	// VGFMAH
 2559     UINT64_C(253987186016436),	// VGFMB
 2560     UINT64_C(253987186024628),	// VGFMF
 2561     UINT64_C(253987186028724),	// VGFMG
 2562     UINT64_C(253987186020532),	// VGFMH
 2563     UINT64_C(253987186016326),	// VGM
 2564     UINT64_C(253987186016326),	// VGMB
 2565     UINT64_C(253987186024518),	// VGMF
 2566     UINT64_C(253987186028614),	// VGMG
 2567     UINT64_C(253987186020422),	// VGMH
 2568     UINT64_C(253987186016348),	// VISTR
 2569     UINT64_C(253987186016348),	// VISTRB
 2570     UINT64_C(253987187064924),	// VISTRBS
 2571     UINT64_C(253987186024540),	// VISTRF
 2572     UINT64_C(253987187073116),	// VISTRFS
 2573     UINT64_C(253987186020444),	// VISTRH
 2574     UINT64_C(253987187069020),	// VISTRHS
 2575     UINT64_C(253987186016262),	// VL
 2576     UINT64_C(253987186016262),	// VLAlign
 2577     UINT64_C(253987186016263),	// VLBB
 2578     UINT64_C(252887674388486),	// VLBR
 2579     UINT64_C(252887674396678),	// VLBRF
 2580     UINT64_C(252887674400774),	// VLBRG
 2581     UINT64_C(252887674392582),	// VLBRH
 2582     UINT64_C(252887674404870),	// VLBRQ
 2583     UINT64_C(252887674388485),	// VLBRREP
 2584     UINT64_C(252887674396677),	// VLBRREPF
 2585     UINT64_C(252887674400773),	// VLBRREPG
 2586     UINT64_C(252887674392581),	// VLBRREPH
 2587     UINT64_C(253987186016478),	// VLC
 2588     UINT64_C(253987186016478),	// VLCB
 2589     UINT64_C(253987186024670),	// VLCF
 2590     UINT64_C(253987186028766),	// VLCG
 2591     UINT64_C(253987186020574),	// VLCH
 2592     UINT64_C(253987186016452),	// VLDE
 2593     UINT64_C(253987186024644),	// VLDEB
 2594     UINT64_C(253987186016256),	// VLEB
 2595     UINT64_C(252887674388483),	// VLEBRF
 2596     UINT64_C(252887674388482),	// VLEBRG
 2597     UINT64_C(252887674388481),	// VLEBRH
 2598     UINT64_C(253987186016453),	// VLED
 2599     UINT64_C(253987186028741),	// VLEDB
 2600     UINT64_C(253987186016259),	// VLEF
 2601     UINT64_C(253987186016258),	// VLEG
 2602     UINT64_C(253987186016257),	// VLEH
 2603     UINT64_C(253987186016320),	// VLEIB
 2604     UINT64_C(253987186016323),	// VLEIF
 2605     UINT64_C(253987186016322),	// VLEIG
 2606     UINT64_C(253987186016321),	// VLEIH
 2607     UINT64_C(252887674388487),	// VLER
 2608     UINT64_C(252887674396679),	// VLERF
 2609     UINT64_C(252887674400775),	// VLERG
 2610     UINT64_C(252887674392583),	// VLERH
 2611     UINT64_C(253987186016289),	// VLGV
 2612     UINT64_C(253987186016289),	// VLGVB
 2613     UINT64_C(253987186024481),	// VLGVF
 2614     UINT64_C(253987186028577),	// VLGVG
 2615     UINT64_C(253987186020385),	// VLGVH
 2616     UINT64_C(252887674388553),	// VLIP
 2617     UINT64_C(253987186016311),	// VLL
 2618     UINT64_C(252887674388484),	// VLLEBRZ
 2619     UINT64_C(252887674413060),	// VLLEBRZE
 2620     UINT64_C(252887674396676),	// VLLEBRZF
 2621     UINT64_C(252887674400772),	// VLLEBRZG
 2622     UINT64_C(252887674392580),	// VLLEBRZH
 2623     UINT64_C(253987186016260),	// VLLEZ
 2624     UINT64_C(253987186016260),	// VLLEZB
 2625     UINT64_C(253987186024452),	// VLLEZF
 2626     UINT64_C(253987186028548),	// VLLEZG
 2627     UINT64_C(253987186020356),	// VLLEZH
 2628     UINT64_C(253987186040836),	// VLLEZLF
 2629     UINT64_C(253987186016310),	// VLM
 2630     UINT64_C(253987186016310),	// VLMAlign
 2631     UINT64_C(253987186016479),	// VLP
 2632     UINT64_C(253987186016479),	// VLPB
 2633     UINT64_C(253987186024671),	// VLPF
 2634     UINT64_C(253987186028767),	// VLPG
 2635     UINT64_C(253987186020575),	// VLPH
 2636     UINT64_C(253987186016342),	// VLR
 2637     UINT64_C(253987186016261),	// VLREP
 2638     UINT64_C(253987186016261),	// VLREPB
 2639     UINT64_C(253987186024453),	// VLREPF
 2640     UINT64_C(253987186028549),	// VLREPG
 2641     UINT64_C(253987186020357),	// VLREPH
 2642     UINT64_C(252887674388533),	// VLRL
 2643     UINT64_C(252887674388535),	// VLRLR
 2644     UINT64_C(253987186016290),	// VLVG
 2645     UINT64_C(253987186016290),	// VLVGB
 2646     UINT64_C(253987186024482),	// VLVGF
 2647     UINT64_C(253987186028578),	// VLVGG
 2648     UINT64_C(253987186020386),	// VLVGH
 2649     UINT64_C(253987186016354),	// VLVGP
 2650     UINT64_C(253987186016430),	// VMAE
 2651     UINT64_C(253987186016430),	// VMAEB
 2652     UINT64_C(253987219570862),	// VMAEF
 2653     UINT64_C(253987202793646),	// VMAEH
 2654     UINT64_C(253987186016427),	// VMAH
 2655     UINT64_C(253987186016427),	// VMAHB
 2656     UINT64_C(253987219570859),	// VMAHF
 2657     UINT64_C(253987202793643),	// VMAHH
 2658     UINT64_C(253987186016426),	// VMAL
 2659     UINT64_C(253987186016426),	// VMALB
 2660     UINT64_C(253987186016428),	// VMALE
 2661     UINT64_C(253987186016428),	// VMALEB
 2662     UINT64_C(253987219570860),	// VMALEF
 2663     UINT64_C(253987202793644),	// VMALEH
 2664     UINT64_C(253987219570858),	// VMALF
 2665     UINT64_C(253987186016425),	// VMALH
 2666     UINT64_C(253987186016425),	// VMALHB
 2667     UINT64_C(253987219570857),	// VMALHF
 2668     UINT64_C(253987202793641),	// VMALHH
 2669     UINT64_C(253987202793642),	// VMALHW
 2670     UINT64_C(253987186016429),	// VMALO
 2671     UINT64_C(253987186016429),	// VMALOB
 2672     UINT64_C(253987219570861),	// VMALOF
 2673     UINT64_C(253987202793645),	// VMALOH
 2674     UINT64_C(253987186016431),	// VMAO
 2675     UINT64_C(253987186016431),	// VMAOB
 2676     UINT64_C(253987219570863),	// VMAOF
 2677     UINT64_C(253987202793647),	// VMAOH
 2678     UINT64_C(253987186016422),	// VME
 2679     UINT64_C(253987186016422),	// VMEB
 2680     UINT64_C(253987186024614),	// VMEF
 2681     UINT64_C(253987186020518),	// VMEH
 2682     UINT64_C(253987186016419),	// VMH
 2683     UINT64_C(253987186016419),	// VMHB
 2684     UINT64_C(253987186024611),	// VMHF
 2685     UINT64_C(253987186020515),	// VMHH
 2686     UINT64_C(253987186016418),	// VML
 2687     UINT64_C(253987186016418),	// VMLB
 2688     UINT64_C(253987186016420),	// VMLE
 2689     UINT64_C(253987186016420),	// VMLEB
 2690     UINT64_C(253987186024612),	// VMLEF
 2691     UINT64_C(253987186020516),	// VMLEH
 2692     UINT64_C(253987186024610),	// VMLF
 2693     UINT64_C(253987186016417),	// VMLH
 2694     UINT64_C(253987186016417),	// VMLHB
 2695     UINT64_C(253987186024609),	// VMLHF
 2696     UINT64_C(253987186020513),	// VMLHH
 2697     UINT64_C(253987186020514),	// VMLHW
 2698     UINT64_C(253987186016421),	// VMLO
 2699     UINT64_C(253987186016421),	// VMLOB
 2700     UINT64_C(253987186024613),	// VMLOF
 2701     UINT64_C(253987186020517),	// VMLOH
 2702     UINT64_C(253987186016510),	// VMN
 2703     UINT64_C(253987186016510),	// VMNB
 2704     UINT64_C(253987186024702),	// VMNF
 2705     UINT64_C(253987186028798),	// VMNG
 2706     UINT64_C(253987186020606),	// VMNH
 2707     UINT64_C(253987186016508),	// VMNL
 2708     UINT64_C(253987186016508),	// VMNLB
 2709     UINT64_C(253987186024700),	// VMNLF
 2710     UINT64_C(253987186028796),	// VMNLG
 2711     UINT64_C(253987186020604),	// VMNLH
 2712     UINT64_C(253987186016423),	// VMO
 2713     UINT64_C(253987186016423),	// VMOB
 2714     UINT64_C(253987186024615),	// VMOF
 2715     UINT64_C(253987186020519),	// VMOH
 2716     UINT64_C(252887674388600),	// VMP
 2717     UINT64_C(253987186016353),	// VMRH
 2718     UINT64_C(253987186016353),	// VMRHB
 2719     UINT64_C(253987186024545),	// VMRHF
 2720     UINT64_C(253987186028641),	// VMRHG
 2721     UINT64_C(253987186020449),	// VMRHH
 2722     UINT64_C(253987186016352),	// VMRL
 2723     UINT64_C(253987186016352),	// VMRLB
 2724     UINT64_C(253987186024544),	// VMRLF
 2725     UINT64_C(253987186028640),	// VMRLG
 2726     UINT64_C(253987186020448),	// VMRLH
 2727     UINT64_C(253987186016440),	// VMSL
 2728     UINT64_C(253987236348088),	// VMSLG
 2729     UINT64_C(252887674388601),	// VMSP
 2730     UINT64_C(253987186016511),	// VMX
 2731     UINT64_C(253987186016511),	// VMXB
 2732     UINT64_C(253987186024703),	// VMXF
 2733     UINT64_C(253987186028799),	// VMXG
 2734     UINT64_C(253987186020607),	// VMXH
 2735     UINT64_C(253987186016509),	// VMXL
 2736     UINT64_C(253987186016509),	// VMXLB
 2737     UINT64_C(253987186024701),	// VMXLF
 2738     UINT64_C(253987186028797),	// VMXLG
 2739     UINT64_C(253987186020605),	// VMXLH
 2740     UINT64_C(253987186016360),	// VN
 2741     UINT64_C(253987186016361),	// VNC
 2742     UINT64_C(253987186016366),	// VNN
 2743     UINT64_C(253987186016363),	// VNO
 2744     UINT64_C(253987186016364),	// VNX
 2745     UINT64_C(253987186016362),	// VO
 2746     UINT64_C(253987186016367),	// VOC
 2747     UINT64_C(253991480918084),	// VONE
 2748     UINT64_C(253987186016388),	// VPDI
 2749     UINT64_C(253987186016396),	// VPERM
 2750     UINT64_C(253987186016404),	// VPK
 2751     UINT64_C(253987186024596),	// VPKF
 2752     UINT64_C(253987186028692),	// VPKG
 2753     UINT64_C(253987186020500),	// VPKH
 2754     UINT64_C(253987186016405),	// VPKLS
 2755     UINT64_C(253987186024597),	// VPKLSF
 2756     UINT64_C(253987187073173),	// VPKLSFS
 2757     UINT64_C(253987186028693),	// VPKLSG
 2758     UINT64_C(253987187077269),	// VPKLSGS
 2759     UINT64_C(253987186020501),	// VPKLSH
 2760     UINT64_C(253987187069077),	// VPKLSHS
 2761     UINT64_C(253987186016407),	// VPKS
 2762     UINT64_C(253987186024599),	// VPKSF
 2763     UINT64_C(253987187073175),	// VPKSFS
 2764     UINT64_C(253987186028695),	// VPKSG
 2765     UINT64_C(253987187077271),	// VPKSGS
 2766     UINT64_C(253987186020503),	// VPKSH
 2767     UINT64_C(253987187069079),	// VPKSHS
 2768     UINT64_C(252887674388532),	// VPKZ
 2769     UINT64_C(253987186016336),	// VPOPCT
 2770     UINT64_C(253987186016336),	// VPOPCTB
 2771     UINT64_C(253987186024528),	// VPOPCTF
 2772     UINT64_C(253987186028624),	// VPOPCTG
 2773     UINT64_C(253987186020432),	// VPOPCTH
 2774     UINT64_C(252887674388571),	// VPSOP
 2775     UINT64_C(253987186016333),	// VREP
 2776     UINT64_C(253987186016333),	// VREPB
 2777     UINT64_C(253987186024525),	// VREPF
 2778     UINT64_C(253987186028621),	// VREPG
 2779     UINT64_C(253987186020429),	// VREPH
 2780     UINT64_C(253987186016325),	// VREPI
 2781     UINT64_C(253987186016325),	// VREPIB
 2782     UINT64_C(253987186024517),	// VREPIF
 2783     UINT64_C(253987186028613),	// VREPIG
 2784     UINT64_C(253987186020421),	// VREPIH
 2785     UINT64_C(252887674388603),	// VRP
 2786     UINT64_C(253987186016503),	// VS
 2787     UINT64_C(253987186016503),	// VSB
 2788     UINT64_C(253987186016445),	// VSBCBI
 2789     UINT64_C(253987253125309),	// VSBCBIQ
 2790     UINT64_C(253987186016447),	// VSBI
 2791     UINT64_C(253987253125311),	// VSBIQ
 2792     UINT64_C(253987186016501),	// VSCBI
 2793     UINT64_C(253987186016501),	// VSCBIB
 2794     UINT64_C(253987186024693),	// VSCBIF
 2795     UINT64_C(253987186028789),	// VSCBIG
 2796     UINT64_C(253987186020597),	// VSCBIH
 2797     UINT64_C(253987186032885),	// VSCBIQ
 2798     UINT64_C(253987186016283),	// VSCEF
 2799     UINT64_C(253987186016282),	// VSCEG
 2800     UINT64_C(252887674388606),	// VSDP
 2801     UINT64_C(253987186016351),	// VSEG
 2802     UINT64_C(253987186016351),	// VSEGB
 2803     UINT64_C(253987186024543),	// VSEGF
 2804     UINT64_C(253987186020447),	// VSEGH
 2805     UINT64_C(253987186016397),	// VSEL
 2806     UINT64_C(253987186024695),	// VSF
 2807     UINT64_C(253987186028791),	// VSG
 2808     UINT64_C(253987186020599),	// VSH
 2809     UINT64_C(253987186016372),	// VSL
 2810     UINT64_C(253987186016373),	// VSLB
 2811     UINT64_C(253987186016390),	// VSLD
 2812     UINT64_C(253987186016375),	// VSLDB
 2813     UINT64_C(252887674388595),	// VSP
 2814     UINT64_C(253987186032887),	// VSQ
 2815     UINT64_C(253987186016382),	// VSRA
 2816     UINT64_C(253987186016383),	// VSRAB
 2817     UINT64_C(253987186016391),	// VSRD
 2818     UINT64_C(253987186016380),	// VSRL
 2819     UINT64_C(253987186016381),	// VSRLB
 2820     UINT64_C(252887674388569),	// VSRP
 2821     UINT64_C(253987186016270),	// VST
 2822     UINT64_C(253987186016270),	// VSTAlign
 2823     UINT64_C(252887674388494),	// VSTBR
 2824     UINT64_C(252887674396686),	// VSTBRF
 2825     UINT64_C(252887674400782),	// VSTBRG
 2826     UINT64_C(252887674392590),	// VSTBRH
 2827     UINT64_C(252887674404878),	// VSTBRQ
 2828     UINT64_C(253987186016264),	// VSTEB
 2829     UINT64_C(252887674388491),	// VSTEBRF
 2830     UINT64_C(252887674388490),	// VSTEBRG
 2831     UINT64_C(252887674388489),	// VSTEBRH
 2832     UINT64_C(253987186016267),	// VSTEF
 2833     UINT64_C(253987186016266),	// VSTEG
 2834     UINT64_C(253987186016265),	// VSTEH
 2835     UINT64_C(252887674388495),	// VSTER
 2836     UINT64_C(252887674396687),	// VSTERF
 2837     UINT64_C(252887674400783),	// VSTERG
 2838     UINT64_C(252887674392591),	// VSTERH
 2839     UINT64_C(253987186016319),	// VSTL
 2840     UINT64_C(253987186016318),	// VSTM
 2841     UINT64_C(253987186016318),	// VSTMAlign
 2842     UINT64_C(253987186016394),	// VSTRC
 2843     UINT64_C(253987186016394),	// VSTRCB
 2844     UINT64_C(253987187064970),	// VSTRCBS
 2845     UINT64_C(253987219570826),	// VSTRCF
 2846     UINT64_C(253987220619402),	// VSTRCFS
 2847     UINT64_C(253987202793610),	// VSTRCH
 2848     UINT64_C(253987203842186),	// VSTRCHS
 2849     UINT64_C(253987188113546),	// VSTRCZB
 2850     UINT64_C(253987189162122),	// VSTRCZBS
 2851     UINT64_C(253987221667978),	// VSTRCZF
 2852     UINT64_C(253987222716554),	// VSTRCZFS
 2853     UINT64_C(253987204890762),	// VSTRCZH
 2854     UINT64_C(253987205939338),	// VSTRCZHS
 2855     UINT64_C(252887674388541),	// VSTRL
 2856     UINT64_C(252887674388543),	// VSTRLR
 2857     UINT64_C(253987186016395),	// VSTRS
 2858     UINT64_C(253987186016395),	// VSTRSB
 2859     UINT64_C(253987219570827),	// VSTRSF
 2860     UINT64_C(253987202793611),	// VSTRSH
 2861     UINT64_C(253987188113547),	// VSTRSZB
 2862     UINT64_C(253987221667979),	// VSTRSZF
 2863     UINT64_C(253987204890763),	// VSTRSZH
 2864     UINT64_C(253987186016356),	// VSUM
 2865     UINT64_C(253987186016356),	// VSUMB
 2866     UINT64_C(253987186016357),	// VSUMG
 2867     UINT64_C(253987186024549),	// VSUMGF
 2868     UINT64_C(253987186020453),	// VSUMGH
 2869     UINT64_C(253987186020452),	// VSUMH
 2870     UINT64_C(253987186016359),	// VSUMQ
 2871     UINT64_C(253987186024551),	// VSUMQF
 2872     UINT64_C(253987186028647),	// VSUMQG
 2873     UINT64_C(253987186016472),	// VTM
 2874     UINT64_C(252887674388575),	// VTP
 2875     UINT64_C(253987186016471),	// VUPH
 2876     UINT64_C(253987186016471),	// VUPHB
 2877     UINT64_C(253987186024663),	// VUPHF
 2878     UINT64_C(253987186020567),	// VUPHH
 2879     UINT64_C(252887674388540),	// VUPKZ
 2880     UINT64_C(253987186016470),	// VUPL
 2881     UINT64_C(253987186016470),	// VUPLB
 2882     UINT64_C(253987186024662),	// VUPLF
 2883     UINT64_C(253987186016469),	// VUPLH
 2884     UINT64_C(253987186016469),	// VUPLHB
 2885     UINT64_C(253987186024661),	// VUPLHF
 2886     UINT64_C(253987186020565),	// VUPLHH
 2887     UINT64_C(253987186020566),	// VUPLHW
 2888     UINT64_C(253987186016468),	// VUPLL
 2889     UINT64_C(253987186016468),	// VUPLLB
 2890     UINT64_C(253987186024660),	// VUPLLF
 2891     UINT64_C(253987186020564),	// VUPLLH
 2892     UINT64_C(253987186016365),	// VX
 2893     UINT64_C(253987186016324),	// VZERO
 2894     UINT64_C(253987186553027),	// WCDGB
 2895     UINT64_C(253987186553025),	// WCDLGB
 2896     UINT64_C(253987186548931),	// WCEFB
 2897     UINT64_C(253987186548929),	// WCELFB
 2898     UINT64_C(253987186548930),	// WCFEB
 2899     UINT64_C(253987186553026),	// WCGDB
 2900     UINT64_C(253987186548928),	// WCLFEB
 2901     UINT64_C(253987186553024),	// WCLGDB
 2902     UINT64_C(253987186553059),	// WFADB
 2903     UINT64_C(253987186548963),	// WFASB
 2904     UINT64_C(253987186557155),	// WFAXB
 2905     UINT64_C(253987186016459),	// WFC
 2906     UINT64_C(253987186028747),	// WFCDB
 2907     UINT64_C(253987186553064),	// WFCEDB
 2908     UINT64_C(253987187601640),	// WFCEDBS
 2909     UINT64_C(253987186548968),	// WFCESB
 2910     UINT64_C(253987187597544),	// WFCESBS
 2911     UINT64_C(253987186557160),	// WFCEXB
 2912     UINT64_C(253987187605736),	// WFCEXBS
 2913     UINT64_C(253987186553067),	// WFCHDB
 2914     UINT64_C(253987187601643),	// WFCHDBS
 2915     UINT64_C(253987186553066),	// WFCHEDB
 2916     UINT64_C(253987187601642),	// WFCHEDBS
 2917     UINT64_C(253987186548970),	// WFCHESB
 2918     UINT64_C(253987187597546),	// WFCHESBS
 2919     UINT64_C(253987186557162),	// WFCHEXB
 2920     UINT64_C(253987187605738),	// WFCHEXBS
 2921     UINT64_C(253987186548971),	// WFCHSB
 2922     UINT64_C(253987187597547),	// WFCHSBS
 2923     UINT64_C(253987186557163),	// WFCHXB
 2924     UINT64_C(253987187605739),	// WFCHXBS
 2925     UINT64_C(253987186024651),	// WFCSB
 2926     UINT64_C(253987186032843),	// WFCXB
 2927     UINT64_C(253987186553061),	// WFDDB
 2928     UINT64_C(253987186548965),	// WFDSB
 2929     UINT64_C(253987186557157),	// WFDXB
 2930     UINT64_C(253987186553031),	// WFIDB
 2931     UINT64_C(253987186548935),	// WFISB
 2932     UINT64_C(253987186557127),	// WFIXB
 2933     UINT64_C(253987186016458),	// WFK
 2934     UINT64_C(253987186028746),	// WFKDB
 2935     UINT64_C(253987186815208),	// WFKEDB
 2936     UINT64_C(253987187863784),	// WFKEDBS
 2937     UINT64_C(253987186811112),	// WFKESB
 2938     UINT64_C(253987187859688),	// WFKESBS
 2939     UINT64_C(253987186819304),	// WFKEXB
 2940     UINT64_C(253987187867880),	// WFKEXBS
 2941     UINT64_C(253987186815211),	// WFKHDB
 2942     UINT64_C(253987187863787),	// WFKHDBS
 2943     UINT64_C(253987186815210),	// WFKHEDB
 2944     UINT64_C(253987187863786),	// WFKHEDBS
 2945     UINT64_C(253987186811114),	// WFKHESB
 2946     UINT64_C(253987187859690),	// WFKHESBS
 2947     UINT64_C(253987186819306),	// WFKHEXB
 2948     UINT64_C(253987187867882),	// WFKHEXBS
 2949     UINT64_C(253987186811115),	// WFKHSB
 2950     UINT64_C(253987187859691),	// WFKHSBS
 2951     UINT64_C(253987186819307),	// WFKHXB
 2952     UINT64_C(253987187867883),	// WFKHXBS
 2953     UINT64_C(253987186024650),	// WFKSB
 2954     UINT64_C(253987186032842),	// WFKXB
 2955     UINT64_C(253987186553036),	// WFLCDB
 2956     UINT64_C(253987186548940),	// WFLCSB
 2957     UINT64_C(253987186557132),	// WFLCXB
 2958     UINT64_C(253987186553028),	// WFLLD
 2959     UINT64_C(253987186548932),	// WFLLS
 2960     UINT64_C(253987187601612),	// WFLNDB
 2961     UINT64_C(253987187597516),	// WFLNSB
 2962     UINT64_C(253987187605708),	// WFLNXB
 2963     UINT64_C(253987188650188),	// WFLPDB
 2964     UINT64_C(253987188646092),	// WFLPSB
 2965     UINT64_C(253987188654284),	// WFLPXB
 2966     UINT64_C(253987186553029),	// WFLRD
 2967     UINT64_C(253987186557125),	// WFLRX
 2968     UINT64_C(253987236872335),	// WFMADB
 2969     UINT64_C(253987220095119),	// WFMASB
 2970     UINT64_C(253987253649551),	// WFMAXB
 2971     UINT64_C(253987186553071),	// WFMAXDB
 2972     UINT64_C(253987186548975),	// WFMAXSB
 2973     UINT64_C(253987186557167),	// WFMAXXB
 2974     UINT64_C(253987186553063),	// WFMDB
 2975     UINT64_C(253987186553070),	// WFMINDB
 2976     UINT64_C(253987186548974),	// WFMINSB
 2977     UINT64_C(253987186557166),	// WFMINXB
 2978     UINT64_C(253987186548967),	// WFMSB
 2979     UINT64_C(253987236872334),	// WFMSDB
 2980     UINT64_C(253987220095118),	// WFMSSB
 2981     UINT64_C(253987253649550),	// WFMSXB
 2982     UINT64_C(253987186557159),	// WFMXB
 2983     UINT64_C(253987236872351),	// WFNMADB
 2984     UINT64_C(253987220095135),	// WFNMASB
 2985     UINT64_C(253987253649567),	// WFNMAXB
 2986     UINT64_C(253987236872350),	// WFNMSDB
 2987     UINT64_C(253987220095134),	// WFNMSSB
 2988     UINT64_C(253987253649566),	// WFNMSXB
 2989     UINT64_C(253987186553036),	// WFPSODB
 2990     UINT64_C(253987186548940),	// WFPSOSB
 2991     UINT64_C(253987186557132),	// WFPSOXB
 2992     UINT64_C(253987186553058),	// WFSDB
 2993     UINT64_C(253987186553038),	// WFSQDB
 2994     UINT64_C(253987186548942),	// WFSQSB
 2995     UINT64_C(253987186557134),	// WFSQXB
 2996     UINT64_C(253987186548962),	// WFSSB
 2997     UINT64_C(253987186557154),	// WFSXB
 2998     UINT64_C(253987186552906),	// WFTCIDB
 2999     UINT64_C(253987186548810),	// WFTCISB
 3000     UINT64_C(253987186557002),	// WFTCIXB
 3001     UINT64_C(253987186548932),	// WLDEB
 3002     UINT64_C(253987186553029),	// WLEDB
 3003     UINT64_C(1459617792),	// X
 3004     UINT64_C(236394999971840),	// XC
 3005     UINT64_C(249589139505282),	// XG
 3006     UINT64_C(3112304640),	// XGR
 3007     UINT64_C(3118923776),	// XGRK
 3008     UINT64_C(2533359616),	// XI
 3009     UINT64_C(211132002336768),	// XIHF
 3010     UINT64_C(211136297304064),	// XILF
 3011     UINT64_C(258385232527447),	// XIY
 3012     UINT64_C(5888),	// XR
 3013     UINT64_C(3119972352),	// XRK
 3014     UINT64_C(2994077696),	// XSCH
 3015     UINT64_C(249589139505239),	// XY
 3016     UINT64_C(272678883688448),	// ZAP
 3017     UINT64_C(0)
 3060       op &= UINT64_C(65535);
 3064       op &= UINT64_C(255);
 3077       op &= UINT64_C(65535);
 3082       op &= UINT64_C(65535);
 3091       op &= UINT64_C(65535);
 3096       op &= UINT64_C(65535);
 3100       op &= UINT64_C(15);
 3109       op &= UINT64_C(65535);
 3114       Value |= (op & UINT64_C(16711680)) << 16;
 3115       Value |= (op & UINT64_C(65535));
 3131       op &= UINT64_C(65535);
 3136       op &= UINT64_C(65535);
 3143       op &= UINT64_C(65535);
 3147       op &= UINT64_C(255);
 3152       op &= UINT64_C(4278190080);
 3160       op &= UINT64_C(65535);
 3165       op &= UINT64_C(65535);
 3169       op &= UINT64_C(15);
 3177       op &= UINT64_C(65535);
 3182       op &= UINT64_C(65535);
 3186       op &= UINT64_C(15);
 3191       Value |= (op & UINT64_C(280375465082880));
 3192       Value |= (op & UINT64_C(64424509440));
 3198       op &= UINT64_C(65535);
 3203       op &= UINT64_C(65535);
 3207       op &= UINT64_C(281470681743360);
 3214       op &= UINT64_C(65535);
 3219       op &= UINT64_C(65535);
 3223       op &= UINT64_C(281470681743360);
 3239       op &= UINT64_C(16777215);
 3244       op &= UINT64_C(255);
 3252       op &= UINT64_C(16777215);
 3257       op &= UINT64_C(255);
 3262       Value |= (op & UINT64_C(280375465082880));
 3263       Value |= (op & UINT64_C(255));
 3316       op &= UINT64_C(65535);
 3323       op &= UINT64_C(65535);
 3327       op &= UINT64_C(4294901760);
 3334       Value |= (op & UINT64_C(983040)) << 20;
 3335       Value |= (op & UINT64_C(65535)) << 16;
 3341       Value |= (op & UINT64_C(983040)) << 20;
 3342       Value |= (op & UINT64_C(65535)) << 16;
 3345       op &= UINT64_C(65535);
 3349       op &= UINT64_C(15);
 3365       Value |= (op & UINT64_C(983040)) << 20;
 3366       Value |= (op & UINT64_C(65535)) << 16;
 3369       Value |= (op & UINT64_C(983040)) << 16;
 3370       Value |= (op & UINT64_C(65535));
 3390       op &= UINT64_C(16777215);
 3395       op &= UINT64_C(65535);
 3402       op &= UINT64_C(15);
 3407       op &= UINT64_C(15);
 3414       op &= UINT64_C(255);
 3421       op &= UINT64_C(15);
 3426       op &= UINT64_C(65535);
 3433       op &= UINT64_C(15);
 3438       op &= UINT64_C(1048575);
 3445       op &= UINT64_C(15);
 3450       op &= UINT64_C(4095);
 3455       op &= UINT64_C(16777215);
 3462       op &= UINT64_C(15);
 3467       op &= UINT64_C(65535);
 3471       op &= UINT64_C(65535);
 3480       op &= UINT64_C(15);
 3485       op &= UINT64_C(4294967295);
 3493       op &= UINT64_C(15);
 3498       op &= UINT64_C(268435455);
 3506       op &= UINT64_C(15);
 3511       op &= UINT64_C(65535);
 3518       op &= UINT64_C(15);
 3523       op &= UINT64_C(1048575);
 3530       op &= UINT64_C(15);
 3535       op &= UINT64_C(4294967295);
 3542       op &= UINT64_C(15);
 3547       op &= UINT64_C(268435455);
 3562       op &= UINT64_C(15);
 3567       op &= UINT64_C(16777215);
 3572       op &= UINT64_C(15);
 3586       op &= UINT64_C(15);
 3591       op &= UINT64_C(15);
 3596       op &= UINT64_C(1048575);
 3606       op &= UINT64_C(15);
 3611       op &= UINT64_C(15);
 3616       op &= UINT64_C(15);
 3633       op &= UINT64_C(15);
 3638       op &= UINT64_C(15);
 3643       op &= UINT64_C(1048575);
 3661       op &= UINT64_C(15);
 3666       op &= UINT64_C(15);
 3671       op &= UINT64_C(15);
 3685       op &= UINT64_C(15);
 3690       op &= UINT64_C(65535);
 3708       op &= UINT64_C(15);
 3713       op &= UINT64_C(65535);
 3735       op &= UINT64_C(15);
 3740       op &= UINT64_C(65535);
 3748       op &= UINT64_C(15);
 3753       op &= UINT64_C(15);
 3758       op &= UINT64_C(65535);
 3765       op &= UINT64_C(15);
 3770       op &= UINT64_C(15);
 3775       op &= UINT64_C(65535);
 3790       op &= UINT64_C(15);
 3795       op &= UINT64_C(15);
 3800       op &= UINT64_C(65535);
 3808       op &= UINT64_C(15);
 3813       op &= UINT64_C(15);
 3818       op &= UINT64_C(65535);
 3828       op &= UINT64_C(15);
 3833       op &= UINT64_C(15);
 3838       op &= UINT64_C(65535);
 3846       op &= UINT64_C(15);
 3851       op &= UINT64_C(15);
 3856       op &= UINT64_C(65535);
 3864       op &= UINT64_C(15);
 3869       op &= UINT64_C(65535);
 3876       op &= UINT64_C(15);
 3881       op &= UINT64_C(65535);
 3908       op &= UINT64_C(15);
 3913       op &= UINT64_C(1048575);
 3950       op &= UINT64_C(15);
 3955       op &= UINT64_C(1048575);
 3962       op &= UINT64_C(15);
 3967       op &= UINT64_C(65535);
 3972       op &= UINT64_C(15);
 3977       op &= UINT64_C(65535);
 3984       op &= UINT64_C(15);
 3989       op &= UINT64_C(65535);
 3994       op &= UINT64_C(15);
 3999       op &= UINT64_C(65535);
 4009       op &= UINT64_C(15);
 4014       op &= UINT64_C(65535);
 4019       Value |= (op & UINT64_C(15)) << 32;
 4020       Value |= (op & UINT64_C(16)) << 6;
 4026       op &= UINT64_C(15);
 4031       op &= UINT64_C(65535);
 4036       Value |= (op & UINT64_C(15)) << 32;
 4037       Value |= (op & UINT64_C(16)) << 6;
 4040       op &= UINT64_C(15);
 4131       op &= UINT64_C(15);
 4136       op &= UINT64_C(16777215);
 4203       op &= UINT64_C(15);
 4208       op &= UINT64_C(16777215);
 4263       op &= UINT64_C(15);
 4268       op &= UINT64_C(255);
 4273       op &= UINT64_C(65535);
 4288       op &= UINT64_C(15);
 4293       op &= UINT64_C(255);
 4298       op &= UINT64_C(15);
 4303       op &= UINT64_C(65535);
 4318       op &= UINT64_C(15);
 4323       op &= UINT64_C(255);
 4328       op &= UINT64_C(15);
 4333       op &= UINT64_C(65535);
 4388       op &= UINT64_C(15);
 4393       op &= UINT64_C(255);
 4398       op &= UINT64_C(65535);
 4416       op &= UINT64_C(15);
 4421       op &= UINT64_C(4294967295);
 4475       op &= UINT64_C(15);
 4480       op &= UINT64_C(65535);
 4495       op &= UINT64_C(15);
 4500       op &= UINT64_C(65535);
 4505       op &= UINT64_C(15);
 4529       op &= UINT64_C(15);
 4534       op &= UINT64_C(4294967295);
 4600       op &= UINT64_C(15);
 4605       op &= UINT64_C(65535);
 4616       op &= UINT64_C(15);
 4621       op &= UINT64_C(15);
 4626       op &= UINT64_C(16777215);
 4635       op &= UINT64_C(15);
 4640       op &= UINT64_C(15);
 4645       op &= UINT64_C(16777215);
 4659       op &= UINT64_C(15);
 4664       op &= UINT64_C(15);
 4669       op &= UINT64_C(16777215);
 4679       op &= UINT64_C(15);
 4684       op &= UINT64_C(15);
 4689       op &= UINT64_C(65535);
 4699       op &= UINT64_C(15);
 4704       op &= UINT64_C(15);
 4709       op &= UINT64_C(16777215);
 4719       op &= UINT64_C(15);
 4724       op &= UINT64_C(15);
 4729       op &= UINT64_C(16777215);
 4739       op &= UINT64_C(15);
 4744       op &= UINT64_C(15);
 4749       op &= UINT64_C(65535);
 4759       op &= UINT64_C(15);
 4764       op &= UINT64_C(15);
 4769       op &= UINT64_C(16777215);
 4824       op &= UINT64_C(15);
 4829       op &= UINT64_C(15);
 4834       op &= UINT64_C(65535);
 4849       op &= UINT64_C(15);
 4854       op &= UINT64_C(15);
 4859       op &= UINT64_C(15);
 4864       op &= UINT64_C(65535);
 4879       op &= UINT64_C(15);
 4884       op &= UINT64_C(15);
 4889       op &= UINT64_C(15);
 4894       op &= UINT64_C(65535);
 4949       op &= UINT64_C(15);
 4954       op &= UINT64_C(15);
 4959       op &= UINT64_C(65535);
 4974       op &= UINT64_C(15);
 4979       op &= UINT64_C(15);
 4984       op &= UINT64_C(255);
 4989       op &= UINT64_C(255);
 4994       op &= UINT64_C(255);
 5033       op &= UINT64_C(15);
 5038       op &= UINT64_C(15);
 5043       op &= UINT64_C(16777215);
 5052       op &= UINT64_C(15);
 5057       op &= UINT64_C(15);
 5062       op &= UINT64_C(16777215);
 5073       op &= UINT64_C(15);
 5078       op &= UINT64_C(15);
 5083       op &= UINT64_C(65535);
 5096       op &= UINT64_C(15);
 5101       op &= UINT64_C(15);
 5106       op &= UINT64_C(16777215);
 5115       op &= UINT64_C(15);
 5120       op &= UINT64_C(15);
 5125       op &= UINT64_C(65535);
 5155       op &= UINT64_C(15);
 5160       op &= UINT64_C(4294967295);
 5167       op &= UINT64_C(15);
 5172       op &= UINT64_C(4294967295);
 5179       op &= UINT64_C(15);
 5184       op &= UINT64_C(4294967295);
 5192       op &= UINT64_C(15);
 5197       Value |= (op & UINT64_C(15)) << 32;
 5198       Value |= (op & UINT64_C(16)) << 6;
 5201       op &= UINT64_C(15);
 5210       op &= UINT64_C(15);
 5215       Value |= (op & UINT64_C(15)) << 32;
 5216       Value |= (op & UINT64_C(16)) << 6;
 5219       op &= UINT64_C(15);
 5224       op &= UINT64_C(15);
 5255       op &= UINT64_C(15);
 5260       op &= UINT64_C(1048575);
 5268       op &= UINT64_C(15);
 5273       op &= UINT64_C(1048575);
 5278       op &= UINT64_C(15);
 5296       op &= UINT64_C(15);
 5301       op &= UINT64_C(1048575);
 5377       op &= UINT64_C(15);
 5382       op &= UINT64_C(268435455);
 5435       op &= UINT64_C(15);
 5440       op &= UINT64_C(268435455);
 5466       op &= UINT64_C(15);
 5732       op &= UINT64_C(15);
 5737       op &= UINT64_C(15);
 5754       op &= UINT64_C(15);
 5759       op &= UINT64_C(15);
 5763       op &= UINT64_C(15);
 5780       op &= UINT64_C(15);
 5785       op &= UINT64_C(15);
 5789       op &= UINT64_C(15);
 5800       op &= UINT64_C(15);
 5805       op &= UINT64_C(15);
 5809       op &= UINT64_C(15);
 5870       op &= UINT64_C(15);
 5875       op &= UINT64_C(15);
 5879       op &= UINT64_C(15);
 5897       op &= UINT64_C(15);
 5902       op &= UINT64_C(15);
 5906       op &= UINT64_C(15);
 5911       op &= UINT64_C(15);
 5919       op &= UINT64_C(15);
 5924       op &= UINT64_C(15);
 5928       op &= UINT64_C(15);
 6067       op &= UINT64_C(15);
 6072       op &= UINT64_C(15);
 6097       op &= UINT64_C(15);
 6102       op &= UINT64_C(15);
 6106       op &= UINT64_C(15);
 6163       op &= UINT64_C(15);
 6168       op &= UINT64_C(15);
 6172       op &= UINT64_C(15);
 6177       op &= UINT64_C(15);
 6187       op &= UINT64_C(15);
 6192       op &= UINT64_C(15);
 6196       op &= UINT64_C(15);
 6206       op &= UINT64_C(15);
 6211       op &= UINT64_C(15);
 6215       op &= UINT64_C(15);
 6282       op &= UINT64_C(15);
 6287       op &= UINT64_C(15);
 6291       op &= UINT64_C(15);
 6301       op &= UINT64_C(15);
 6306       op &= UINT64_C(15);
 6310       op &= UINT64_C(15);
 6315       op &= UINT64_C(15);
 6325       op &= UINT64_C(15);
 6330       op &= UINT64_C(15);
 6334       op &= UINT64_C(15);
 6339       op &= UINT64_C(15);
 6353       op &= UINT64_C(15);
 6358       op &= UINT64_C(15);
 6362       op &= UINT64_C(15);
 6367       op &= UINT64_C(15);
 6375       op &= UINT64_C(15);
 6380       op &= UINT64_C(15);
 6385       op &= UINT64_C(1048575);
 6390       Value |= (op & UINT64_C(280375465082880));
 6391       Value |= (op & UINT64_C(255));
 6397       op &= UINT64_C(15);
 6402       op &= UINT64_C(65535);
 6406       Value |= (op & UINT64_C(4278190080));
 6407       Value |= (op & UINT64_C(983040));
 6413       op &= UINT64_C(15);
 6418       op &= UINT64_C(15);
 6423       op &= UINT64_C(65535);
 6427       op &= UINT64_C(4278190080);
 6434       op &= UINT64_C(15);
 6439       op &= UINT64_C(15);
 6444       op &= UINT64_C(65535);
 6448       op &= UINT64_C(4278190080);
 6455       op &= UINT64_C(15);
 6460       op &= UINT64_C(1048575);
 6464       op &= UINT64_C(4278190080);
 6471       op &= UINT64_C(15);
 6476       op &= UINT64_C(255);
 6481       op &= UINT64_C(15);
 6486       op &= UINT64_C(65535);
 6491       Value |= (op & UINT64_C(280375465082880));
 6492       Value |= (op & UINT64_C(255));
 6498       op &= UINT64_C(15);
 6503       op &= UINT64_C(4294967295);
 6507       Value |= (op & UINT64_C(280375465082880));
 6508       Value |= (op & UINT64_C(64424509440));
 6514       op &= UINT64_C(15);
 6519       op &= UINT64_C(4294967295);
 6523       Value |= (op & UINT64_C(280375465082880));
 6524       Value |= (op & UINT64_C(64424509440));
 6530       op &= UINT64_C(15);
 6535       op &= UINT64_C(15);
 6540       op &= UINT64_C(15);
 6545       op &= UINT64_C(65535);
 6550       Value |= (op & UINT64_C(280375465082880));
 6551       Value |= (op & UINT64_C(255));
 6557       op &= UINT64_C(15);
 6562       op &= UINT64_C(15);
 6567       op &= UINT64_C(65535);
 6572       Value |= (op & UINT64_C(280375465082880));
 6573       Value |= (op & UINT64_C(255));
 6579       op &= UINT64_C(15);
 6584       op &= UINT64_C(15);
 6589       op &= UINT64_C(16777215);
 6594       Value |= (op & UINT64_C(280375465082880));
 6595       Value |= (op & UINT64_C(255));
 6601       op &= UINT64_C(15);
 6606       op &= UINT64_C(15);
 6611       op &= UINT64_C(65535);
 6616       Value |= (op & UINT64_C(280375465082880));
 6617       Value |= (op & UINT64_C(255));
 6623       op &= UINT64_C(15);
 6628       op &= UINT64_C(1048575);
 6633       Value |= (op & UINT64_C(280375465082880));
 6634       Value |= (op & UINT64_C(255));
 6640       op &= UINT64_C(15);
 6645       op &= UINT64_C(268435455);
 6650       Value |= (op & UINT64_C(280375465082880));
 6651       Value |= (op & UINT64_C(255));
 6663       op &= UINT64_C(15);
 6668       op &= UINT64_C(15);
 6676       op &= UINT64_C(15);
 6681       op &= UINT64_C(15);
 6685       op &= UINT64_C(15);
 6693       op &= UINT64_C(15);
 6698       op &= UINT64_C(15);
 6705       op &= UINT64_C(15);
 6710       op &= UINT64_C(15);
 6714       op &= UINT64_C(15);
 6719       op &= UINT64_C(15);
 6724       op &= UINT64_C(4294901760);
 6731       op &= UINT64_C(15);
 6736       op &= UINT64_C(15);
 6740       op &= UINT64_C(4294901760);
 6747       op &= UINT64_C(15);
 6752       op &= UINT64_C(15);
 6756       op &= UINT64_C(65280);
 6783       op &= UINT64_C(15);
 6792       Value |= (op & UINT64_C(983040)) << 20;
 6793       Value |= (op & UINT64_C(65535)) << 16;
 6796       op &= UINT64_C(65535);
 6800       op &= UINT64_C(15);
 6808       Value |= (op & UINT64_C(983040)) << 20;
 6809       Value |= (op & UINT64_C(65535)) << 16;
 6812       op &= UINT64_C(65535);
 6816       op &= UINT64_C(15);
 6821       op &= UINT64_C(280375465082880);
 6848       op &= UINT64_C(65535);
 6875       op &= UINT64_C(4294967295);
 6885       Value |= (op & UINT64_C(15)) << 12;
 6886       Value |= (op & UINT64_C(16)) << 4;
 6889       op &= UINT64_C(65535);
 6894       op &= UINT64_C(255);
 6903       Value |= (op & UINT64_C(15)) << 12;
 6904       Value |= (op & UINT64_C(16)) << 4;
 6907       op &= UINT64_C(65535);
 6912       op &= UINT64_C(15);
 6920       Value |= (op & UINT64_C(15)) << 32;
 6921       Value |= (op & UINT64_C(16)) << 6;
 6927       Value |= (op & UINT64_C(15)) << 32;
 6928       Value |= (op & UINT64_C(16)) << 6;
 6931       Value |= (op & UINT64_C(15)) << 28;
 6932       Value |= (op & UINT64_C(16)) << 5;
 6935       op &= UINT64_C(15);
 6944       Value |= (op & UINT64_C(15)) << 36;
 6945       Value |= (op & UINT64_C(16)) << 7;
 6952       Value |= (op & UINT64_C(15)) << 36;
 6953       Value |= (op & UINT64_C(16)) << 7;
 6956       op &= UINT64_C(65535);
 6961       op &= UINT64_C(15);
 6986       Value |= (op & UINT64_C(15)) << 36;
 6987       Value |= (op & UINT64_C(16)) << 7;
 6990       op &= UINT64_C(65535);
 6995       Value |= (op & UINT64_C(15)) << 32;
 6996       Value |= (op & UINT64_C(16)) << 6;
 7007       Value |= (op & UINT64_C(15)) << 36;
 7008       Value |= (op & UINT64_C(16)) << 7;
 7011       op &= UINT64_C(65535);
 7016       Value |= (op & UINT64_C(15)) << 32;
 7017       Value |= (op & UINT64_C(16)) << 6;
 7020       op &= UINT64_C(15);
 7031       Value |= (op & UINT64_C(15)) << 36;
 7032       Value |= (op & UINT64_C(16)) << 7;
 7035       op &= UINT64_C(65535);
 7040       op &= UINT64_C(15);
 7048       Value |= (op & UINT64_C(15)) << 36;
 7049       Value |= (op & UINT64_C(16)) << 7;
 7052       op &= UINT64_C(65535);
 7057       op &= UINT64_C(15);
 7062       op &= UINT64_C(15);
 7073       Value |= (op & UINT64_C(15)) << 36;
 7074       Value |= (op & UINT64_C(16)) << 7;
 7077       op &= UINT64_C(255);
 7082       op &= UINT64_C(255);
 7090       Value |= (op & UINT64_C(15)) << 36;
 7091       Value |= (op & UINT64_C(16)) << 7;
 7094       op &= UINT64_C(255);
 7099       op &= UINT64_C(255);
 7104       op &= UINT64_C(15);
 7116       Value |= (op & UINT64_C(15)) << 36;
 7117       Value |= (op & UINT64_C(16)) << 7;
 7120       op &= UINT64_C(65535);
 7128       Value |= (op & UINT64_C(15)) << 36;
 7129       Value |= (op & UINT64_C(16)) << 7;
 7132       op &= UINT64_C(65535);
 7137       op &= UINT64_C(15);
 7145       Value |= (op & UINT64_C(15)) << 36;
 7146       Value |= (op & UINT64_C(16)) << 7;
 7149       op &= UINT64_C(65535);
 7154       op &= UINT64_C(15);
 7165       Value |= (op & UINT64_C(15)) << 36;
 7166       Value |= (op & UINT64_C(16)) << 7;
 7169       op &= UINT64_C(65535);
 7174       op &= UINT64_C(15);
 7183       Value |= (op & UINT64_C(15)) << 36;
 7184       Value |= (op & UINT64_C(16)) << 7;
 7187       op &= UINT64_C(15);
 7192       op &= UINT64_C(255);
 7197       op &= UINT64_C(15);
 7205       Value |= (op & UINT64_C(15)) << 36;
 7206       Value |= (op & UINT64_C(16)) << 7;
 7209       op &= UINT64_C(15);
 7214       op &= UINT64_C(15);
 7300       Value |= (op & UINT64_C(15)) << 36;
 7301       Value |= (op & UINT64_C(16)) << 7;
 7304       Value |= (op & UINT64_C(15)) << 32;
 7305       Value |= (op & UINT64_C(16)) << 6;
 7312       Value |= (op & UINT64_C(15)) << 36;
 7313       Value |= (op & UINT64_C(16)) << 7;
 7316       Value |= (op & UINT64_C(15)) << 32;
 7317       Value |= (op & UINT64_C(16)) << 6;
 7320       op &= UINT64_C(255);
 7325       op &= UINT64_C(255);
 7330       op &= UINT64_C(15);
 7342       Value |= (op & UINT64_C(15)) << 36;
 7343       Value |= (op & UINT64_C(16)) << 7;
 7346       Value |= (op & UINT64_C(15)) << 32;
 7347       Value |= (op & UINT64_C(16)) << 6;
 7350       op &= UINT64_C(4095);
 7358       Value |= (op & UINT64_C(15)) << 36;
 7359       Value |= (op & UINT64_C(16)) << 7;
 7362       Value |= (op & UINT64_C(15)) << 32;
 7363       Value |= (op & UINT64_C(16)) << 6;
 7366       op &= UINT64_C(4095);
 7371       op &= UINT64_C(15);
 7376       op &= UINT64_C(15);
 7395       Value |= (op & UINT64_C(15)) << 36;
 7396       Value |= (op & UINT64_C(16)) << 7;
 7399       Value |= (op & UINT64_C(15)) << 32;
 7400       Value |= (op & UINT64_C(16)) << 6;
 7403       op &= UINT64_C(15);
 7415       Value |= (op & UINT64_C(15)) << 36;
 7416       Value |= (op & UINT64_C(16)) << 7;
 7419       Value |= (op & UINT64_C(15)) << 32;
 7420       Value |= (op & UINT64_C(16)) << 6;
 7423       op &= UINT64_C(15);
 7428       op &= UINT64_C(15);
 7447       Value |= (op & UINT64_C(15)) << 36;
 7448       Value |= (op & UINT64_C(16)) << 7;
 7451       Value |= (op & UINT64_C(15)) << 32;
 7452       Value |= (op & UINT64_C(16)) << 6;
 7455       op &= UINT64_C(15);
 7460       op &= UINT64_C(15);
 7465       op &= UINT64_C(15);
 7473       Value |= (op & UINT64_C(15)) << 36;
 7474       Value |= (op & UINT64_C(16)) << 7;
 7477       Value |= (op & UINT64_C(15)) << 32;
 7478       Value |= (op & UINT64_C(16)) << 6;
 7481       op &= UINT64_C(15);
 7486       op &= UINT64_C(15);
 7505       Value |= (op & UINT64_C(15)) << 36;
 7506       Value |= (op & UINT64_C(16)) << 7;
 7509       Value |= (op & UINT64_C(15)) << 32;
 7510       Value |= (op & UINT64_C(16)) << 6;
 7513       op &= UINT64_C(15);
 7518       op &= UINT64_C(15);
 7539       Value |= (op & UINT64_C(15)) << 36;
 7540       Value |= (op & UINT64_C(16)) << 7;
 7543       Value |= (op & UINT64_C(15)) << 32;
 7544       Value |= (op & UINT64_C(16)) << 6;
 7547       op &= UINT64_C(7);
 7552       op &= UINT64_C(15);
 7567       Value |= (op & UINT64_C(15)) << 36;
 7568       Value |= (op & UINT64_C(16)) << 7;
 7571       Value |= (op & UINT64_C(15)) << 32;
 7572       Value |= (op & UINT64_C(16)) << 6;
 7575       op &= UINT64_C(15);
 7834       Value |= (op & UINT64_C(15)) << 36;
 7835       Value |= (op & UINT64_C(16)) << 7;
 7838       Value |= (op & UINT64_C(15)) << 32;
 7839       Value |= (op & UINT64_C(16)) << 6;
 7842       Value |= (op & UINT64_C(15)) << 28;
 7843       Value |= (op & UINT64_C(16)) << 5;
 7855       Value |= (op & UINT64_C(15)) << 36;
 7856       Value |= (op & UINT64_C(16)) << 7;
 7859       Value |= (op & UINT64_C(15)) << 32;
 7860       Value |= (op & UINT64_C(16)) << 6;
 7863       Value |= (op & UINT64_C(15)) << 28;
 7864       Value |= (op & UINT64_C(16)) << 5;
 7867       op &= UINT64_C(255);
 7872       op &= UINT64_C(15);
 7882       Value |= (op & UINT64_C(15)) << 36;
 7883       Value |= (op & UINT64_C(16)) << 7;
 7886       Value |= (op & UINT64_C(15)) << 32;
 7887       Value |= (op & UINT64_C(16)) << 6;
 7890       Value |= (op & UINT64_C(15)) << 28;
 7891       Value |= (op & UINT64_C(16)) << 5;
 7894       op &= UINT64_C(255);
 7930       Value |= (op & UINT64_C(15)) << 36;
 7931       Value |= (op & UINT64_C(16)) << 7;
 7934       Value |= (op & UINT64_C(15)) << 32;
 7935       Value |= (op & UINT64_C(16)) << 6;
 7938       Value |= (op & UINT64_C(15)) << 28;
 7939       Value |= (op & UINT64_C(16)) << 5;
 7942       op &= UINT64_C(15);
 7953       Value |= (op & UINT64_C(15)) << 36;
 7954       Value |= (op & UINT64_C(16)) << 7;
 7957       Value |= (op & UINT64_C(15)) << 32;
 7958       Value |= (op & UINT64_C(16)) << 6;
 7961       Value |= (op & UINT64_C(15)) << 28;
 7962       Value |= (op & UINT64_C(16)) << 5;
 7965       op &= UINT64_C(15);
 7970       op &= UINT64_C(15);
 7982       Value |= (op & UINT64_C(15)) << 36;
 7983       Value |= (op & UINT64_C(16)) << 7;
 7986       Value |= (op & UINT64_C(15)) << 32;
 7987       Value |= (op & UINT64_C(16)) << 6;
 7990       Value |= (op & UINT64_C(15)) << 28;
 7991       Value |= (op & UINT64_C(16)) << 5;
 7994       op &= UINT64_C(15);
 7999       op &= UINT64_C(15);
 8004       op &= UINT64_C(15);
 8019       Value |= (op & UINT64_C(15)) << 36;
 8020       Value |= (op & UINT64_C(16)) << 7;
 8023       Value |= (op & UINT64_C(15)) << 32;
 8024       Value |= (op & UINT64_C(16)) << 6;
 8027       Value |= (op & UINT64_C(15)) << 28;
 8028       Value |= (op & UINT64_C(16)) << 5;
 8031       op &= UINT64_C(15);
 8036       op &= UINT64_C(15);
 8046       Value |= (op & UINT64_C(15)) << 36;
 8047       Value |= (op & UINT64_C(16)) << 7;
 8050       Value |= (op & UINT64_C(15)) << 32;
 8051       Value |= (op & UINT64_C(16)) << 6;
 8054       Value |= (op & UINT64_C(15)) << 28;
 8055       Value |= (op & UINT64_C(16)) << 5;
 8058       Value |= (op & UINT64_C(12)) << 20;
 8059       Value |= (op & UINT64_C(1)) << 20;
 8067       Value |= (op & UINT64_C(15)) << 36;
 8068       Value |= (op & UINT64_C(16)) << 7;
 8071       Value |= (op & UINT64_C(15)) << 32;
 8072       Value |= (op & UINT64_C(16)) << 6;
 8075       Value |= (op & UINT64_C(15)) << 28;
 8076       Value |= (op & UINT64_C(16)) << 5;
 8079       op &= UINT64_C(12);
 8089       Value |= (op & UINT64_C(15)) << 36;
 8090       Value |= (op & UINT64_C(16)) << 7;
 8093       Value |= (op & UINT64_C(15)) << 32;
 8094       Value |= (op & UINT64_C(16)) << 6;
 8097       Value |= (op & UINT64_C(15)) << 28;
 8098       Value |= (op & UINT64_C(16)) << 5;
 8101       op &= UINT64_C(14);
 8117       Value |= (op & UINT64_C(15)) << 36;
 8118       Value |= (op & UINT64_C(16)) << 7;
 8121       Value |= (op & UINT64_C(15)) << 32;
 8122       Value |= (op & UINT64_C(16)) << 6;
 8125       Value |= (op & UINT64_C(15)) << 28;
 8126       Value |= (op & UINT64_C(16)) << 5;
 8129       op &= UINT64_C(15);
 8146       Value |= (op & UINT64_C(15)) << 36;
 8147       Value |= (op & UINT64_C(16)) << 7;
 8150       Value |= (op & UINT64_C(15)) << 32;
 8151       Value |= (op & UINT64_C(16)) << 6;
 8154       Value |= (op & UINT64_C(15)) << 28;
 8155       Value |= (op & UINT64_C(16)) << 5;
 8158       op &= UINT64_C(15);
 8219       Value |= (op & UINT64_C(15)) << 36;
 8220       Value |= (op & UINT64_C(16)) << 7;
 8223       Value |= (op & UINT64_C(15)) << 32;
 8224       Value |= (op & UINT64_C(16)) << 6;
 8227       Value |= (op & UINT64_C(15)) << 28;
 8228       Value |= (op & UINT64_C(16)) << 5;
 8231       Value |= (op & UINT64_C(15)) << 12;
 8232       Value |= (op & UINT64_C(16)) << 4;
 8241       Value |= (op & UINT64_C(15)) << 36;
 8242       Value |= (op & UINT64_C(16)) << 7;
 8245       Value |= (op & UINT64_C(15)) << 32;
 8246       Value |= (op & UINT64_C(16)) << 6;
 8249       Value |= (op & UINT64_C(15)) << 28;
 8250       Value |= (op & UINT64_C(16)) << 5;
 8253       Value |= (op & UINT64_C(15)) << 12;
 8254       Value |= (op & UINT64_C(16)) << 4;
 8257       op &= UINT64_C(15);
 8262       op &= UINT64_C(15);
 8281       Value |= (op & UINT64_C(15)) << 36;
 8282       Value |= (op & UINT64_C(16)) << 7;
 8285       Value |= (op & UINT64_C(15)) << 32;
 8286       Value |= (op & UINT64_C(16)) << 6;
 8289       Value |= (op & UINT64_C(15)) << 28;
 8290       Value |= (op & UINT64_C(16)) << 5;
 8293       Value |= (op & UINT64_C(15)) << 12;
 8294       Value |= (op & UINT64_C(16)) << 4;
 8297       op &= UINT64_C(15);
 8307       Value |= (op & UINT64_C(15)) << 36;
 8308       Value |= (op & UINT64_C(16)) << 7;
 8311       Value |= (op & UINT64_C(15)) << 32;
 8312       Value |= (op & UINT64_C(16)) << 6;
 8315       Value |= (op & UINT64_C(15)) << 28;
 8316       Value |= (op & UINT64_C(16)) << 5;
 8319       Value |= (op & UINT64_C(15)) << 12;
 8320       Value |= (op & UINT64_C(16)) << 4;
 8323       op &= UINT64_C(15);
 8328       op &= UINT64_C(15);
 8338       Value |= (op & UINT64_C(15)) << 36;
 8339       Value |= (op & UINT64_C(16)) << 7;
 8342       Value |= (op & UINT64_C(15)) << 32;
 8343       Value |= (op & UINT64_C(16)) << 6;
 8346       Value |= (op & UINT64_C(15)) << 28;
 8347       Value |= (op & UINT64_C(16)) << 5;
 8350       Value |= (op & UINT64_C(15)) << 12;
 8351       Value |= (op & UINT64_C(16)) << 4;
 8354       Value |= (op & UINT64_C(12)) << 20;
 8355       Value |= (op & UINT64_C(1)) << 20;
 8363       Value |= (op & UINT64_C(15)) << 36;
 8364       Value |= (op & UINT64_C(16)) << 7;
 8367       Value |= (op & UINT64_C(15)) << 32;
 8368       Value |= (op & UINT64_C(16)) << 6;
 8371       Value |= (op & UINT64_C(15)) << 28;
 8372       Value |= (op & UINT64_C(16)) << 5;
 8375       Value |= (op & UINT64_C(15)) << 12;
 8376       Value |= (op & UINT64_C(16)) << 4;
 8379       op &= UINT64_C(12);
 8389       Value |= (op & UINT64_C(15)) << 36;
 8390       Value |= (op & UINT64_C(16)) << 7;
 8393       Value |= (op & UINT64_C(15)) << 32;
 8394       Value |= (op & UINT64_C(16)) << 6;
 8397       Value |= (op & UINT64_C(15)) << 28;
 8398       Value |= (op & UINT64_C(16)) << 5;
 8401       Value |= (op & UINT64_C(15)) << 12;
 8402       Value |= (op & UINT64_C(16)) << 4;
 8405       op &= UINT64_C(14);
 8419       Value |= (op & UINT64_C(15)) << 36;
 8420       Value |= (op & UINT64_C(16)) << 7;
 8423       Value |= (op & UINT64_C(15)) << 32;
 8424       Value |= (op & UINT64_C(16)) << 6;
 8427       Value |= (op & UINT64_C(15)) << 28;
 8428       Value |= (op & UINT64_C(16)) << 5;
 8431       Value |= (op & UINT64_C(15)) << 12;
 8432       Value |= (op & UINT64_C(16)) << 4;
 8435       op &= UINT64_C(15);
 8446       Value |= (op & UINT64_C(15)) << 36;
 8447       Value |= (op & UINT64_C(16)) << 7;
 8450       Value |= (op & UINT64_C(15)) << 32;
 8451       Value |= (op & UINT64_C(16)) << 6;
 8454       Value |= (op & UINT64_C(15)) << 28;
 8455       Value |= (op & UINT64_C(16)) << 5;
 8458       op &= UINT64_C(255);
 8466       Value |= (op & UINT64_C(15)) << 36;
 8467       Value |= (op & UINT64_C(16)) << 7;
 8470       Value |= (op & UINT64_C(15)) << 32;
 8471       Value |= (op & UINT64_C(16)) << 6;
 8474       Value |= (op & UINT64_C(15)) << 28;
 8475       Value |= (op & UINT64_C(16)) << 5;
 8478       op &= UINT64_C(255);
 8483       op &= UINT64_C(15);
 8494       Value |= (op & UINT64_C(15)) << 36;
 8495       Value |= (op & UINT64_C(16)) << 7;
 8498       Value |= (op & UINT64_C(15)) << 32;
 8499       Value |= (op & UINT64_C(16)) << 6;
 8502       op &= UINT64_C(65535);
 8510       Value |= (op & UINT64_C(15)) << 36;
 8511       Value |= (op & UINT64_C(16)) << 7;
 8514       Value |= (op & UINT64_C(15)) << 32;
 8515       Value |= (op & UINT64_C(16)) << 6;
 8518       op &= UINT64_C(65535);
 8523       op &= UINT64_C(15);
 8532       Value |= (op & UINT64_C(15)) << 36;
 8533       Value |= (op & UINT64_C(16)) << 7;
 8536       Value |= (op & UINT64_C(1048575)) << 16;
 8537       Value |= (op & UINT64_C(1048576)) >> 10;
 8540       op &= UINT64_C(15);
 8549       Value |= (op & UINT64_C(15)) << 36;
 8550       Value |= (op & UINT64_C(16)) << 7;
 8553       Value |= (op & UINT64_C(1048575)) << 16;
 8554       Value |= (op & UINT64_C(1048576)) >> 10;
 8557       op &= UINT64_C(15);
 8596       Value |= (op & UINT64_C(15)) << 36;
 8597       Value |= (op & UINT64_C(16)) << 7;
 8600       op &= UINT64_C(1048575);
 8625       Value |= (op & UINT64_C(15)) << 36;
 8626       Value |= (op & UINT64_C(16)) << 7;
 8629       op &= UINT64_C(1048575);
 8634       op &= UINT64_C(15);
 8648       Value |= (op & UINT64_C(15)) << 36;
 8649       Value |= (op & UINT64_C(16)) << 7;
 8652       op &= UINT64_C(1048575);
 8657       op &= UINT64_C(15);
 8685       op &= UINT64_C(1048575);
 8712       op &= UINT64_C(268435455);
 8720       op &= UINT64_C(65535);
gen/lib/Target/WebAssembly/WebAssemblyGenMCCodeEmitter.inc
   13     UINT64_C(0),
   14     UINT64_C(0),
   15     UINT64_C(0),
   16     UINT64_C(0),
   17     UINT64_C(0),
   18     UINT64_C(0),
   19     UINT64_C(0),
   20     UINT64_C(0),
   21     UINT64_C(0),
   22     UINT64_C(0),
   23     UINT64_C(0),
   24     UINT64_C(0),
   25     UINT64_C(0),
   26     UINT64_C(0),
   27     UINT64_C(0),
   28     UINT64_C(0),
   29     UINT64_C(0),
   30     UINT64_C(0),
   31     UINT64_C(0),
   32     UINT64_C(0),
   33     UINT64_C(0),
   34     UINT64_C(0),
   35     UINT64_C(0),
   36     UINT64_C(0),
   37     UINT64_C(0),
   38     UINT64_C(0),
   39     UINT64_C(0),
   40     UINT64_C(0),
   41     UINT64_C(0),
   42     UINT64_C(0),
   43     UINT64_C(0),
   44     UINT64_C(0),
   45     UINT64_C(0),
   46     UINT64_C(0),
   47     UINT64_C(0),
   48     UINT64_C(0),
   49     UINT64_C(0),
   50     UINT64_C(0),
   51     UINT64_C(0),
   52     UINT64_C(0),
   53     UINT64_C(0),
   54     UINT64_C(0),
   55     UINT64_C(0),
   56     UINT64_C(0),
   57     UINT64_C(0),
   58     UINT64_C(0),
   59     UINT64_C(0),
   60     UINT64_C(0),
   61     UINT64_C(0),
   62     UINT64_C(0),
   63     UINT64_C(0),
   64     UINT64_C(0),
   65     UINT64_C(0),
   66     UINT64_C(0),
   67     UINT64_C(0),
   68     UINT64_C(0),
   69     UINT64_C(0),
   70     UINT64_C(0),
   71     UINT64_C(0),
   72     UINT64_C(0),
   73     UINT64_C(0),
   74     UINT64_C(0),
   75     UINT64_C(0),
   76     UINT64_C(0),
   77     UINT64_C(0),
   78     UINT64_C(0),
   79     UINT64_C(0),
   80     UINT64_C(0),
   81     UINT64_C(0),
   82     UINT64_C(0),
   83     UINT64_C(0),
   84     UINT64_C(0),
   85     UINT64_C(0),
   86     UINT64_C(0),
   87     UINT64_C(0),
   88     UINT64_C(0),
   89     UINT64_C(0),
   90     UINT64_C(0),
   91     UINT64_C(0),
   92     UINT64_C(0),
   93     UINT64_C(0),
   94     UINT64_C(0),
   95     UINT64_C(0),
   96     UINT64_C(0),
   97     UINT64_C(0),
   98     UINT64_C(0),
   99     UINT64_C(0),
  100     UINT64_C(0),
  101     UINT64_C(0),
  102     UINT64_C(0),
  103     UINT64_C(0),
  104     UINT64_C(0),
  105     UINT64_C(0),
  106     UINT64_C(0),
  107     UINT64_C(0),
  108     UINT64_C(0),
  109     UINT64_C(0),
  110     UINT64_C(0),
  111     UINT64_C(0),
  112     UINT64_C(0),
  113     UINT64_C(0),
  114     UINT64_C(0),
  115     UINT64_C(0),
  116     UINT64_C(0),
  117     UINT64_C(0),
  118     UINT64_C(0),
  119     UINT64_C(0),
  120     UINT64_C(0),
  121     UINT64_C(0),
  122     UINT64_C(0),
  123     UINT64_C(0),
  124     UINT64_C(0),
  125     UINT64_C(0),
  126     UINT64_C(0),
  127     UINT64_C(0),
  128     UINT64_C(0),
  129     UINT64_C(0),
  130     UINT64_C(0),
  131     UINT64_C(0),
  132     UINT64_C(0),
  133     UINT64_C(0),
  134     UINT64_C(0),
  135     UINT64_C(0),
  136     UINT64_C(0),
  137     UINT64_C(0),
  138     UINT64_C(0),
  139     UINT64_C(0),
  140     UINT64_C(0),
  141     UINT64_C(0),
  142     UINT64_C(0),
  143     UINT64_C(0),
  144     UINT64_C(0),
  145     UINT64_C(0),
  146     UINT64_C(0),
  147     UINT64_C(0),
  148     UINT64_C(0),
  149     UINT64_C(0),
  150     UINT64_C(0),
  151     UINT64_C(0),
  152     UINT64_C(0),
  153     UINT64_C(0),
  154     UINT64_C(0),
  155     UINT64_C(0),
  156     UINT64_C(0),
  157     UINT64_C(0),
  158     UINT64_C(0),
  159     UINT64_C(0),
  160     UINT64_C(0),
  161     UINT64_C(0),
  162     UINT64_C(0),
  163     UINT64_C(0),
  164     UINT64_C(0),
  165     UINT64_C(0),
  166     UINT64_C(0),
  167     UINT64_C(0),
  168     UINT64_C(0),
  169     UINT64_C(0),
  170     UINT64_C(0),
  171     UINT64_C(0),
  172     UINT64_C(0),
  173     UINT64_C(0),
  174     UINT64_C(0),
  175     UINT64_C(0),
  176     UINT64_C(0),
  177     UINT64_C(0),
  178     UINT64_C(0),
  179     UINT64_C(0),
  180     UINT64_C(0),
  181     UINT64_C(0),
  182     UINT64_C(0),
  183     UINT64_C(0),
  184     UINT64_C(0),
  185     UINT64_C(0),
  186     UINT64_C(0),
  187     UINT64_C(0),
  188     UINT64_C(0),
  189     UINT64_C(0),
  190     UINT64_C(0),
  191     UINT64_C(0),
  192     UINT64_C(0),
  193     UINT64_C(0),
  194     UINT64_C(0),
  195     UINT64_C(139),	// ABS_F32
  196     UINT64_C(139),	// ABS_F32_S
  197     UINT64_C(153),	// ABS_F64
  198     UINT64_C(153),	// ABS_F64_S
  199     UINT64_C(64928),	// ABS_v2f64
  200     UINT64_C(64928),	// ABS_v2f64_S
  201     UINT64_C(64917),	// ABS_v4f32
  202     UINT64_C(64917),	// ABS_v4f32_S
  203     UINT64_C(146),	// ADD_F32
  204     UINT64_C(146),	// ADD_F32_S
  205     UINT64_C(160),	// ADD_F64
  206     UINT64_C(160),	// ADD_F64_S
  207     UINT64_C(106),	// ADD_I32
  208     UINT64_C(106),	// ADD_I32_S
  209     UINT64_C(124),	// ADD_I64
  210     UINT64_C(124),	// ADD_I64_S
  211     UINT64_C(64856),	// ADD_SAT_S_v16i8
  212     UINT64_C(64856),	// ADD_SAT_S_v16i8_S
  213     UINT64_C(64873),	// ADD_SAT_S_v8i16
  214     UINT64_C(64873),	// ADD_SAT_S_v8i16_S
  215     UINT64_C(64857),	// ADD_SAT_U_v16i8
  216     UINT64_C(64857),	// ADD_SAT_U_v16i8_S
  217     UINT64_C(64874),	// ADD_SAT_U_v8i16
  218     UINT64_C(64874),	// ADD_SAT_U_v8i16_S
  219     UINT64_C(64855),	// ADD_v16i8
  220     UINT64_C(64855),	// ADD_v16i8_S
  221     UINT64_C(64933),	// ADD_v2f64
  222     UINT64_C(64933),	// ADD_v2f64_S
  223     UINT64_C(64906),	// ADD_v2i64
  224     UINT64_C(64906),	// ADD_v2i64_S
  225     UINT64_C(64922),	// ADD_v4f32
  226     UINT64_C(64922),	// ADD_v4f32_S
  227     UINT64_C(64889),	// ADD_v4i32
  228     UINT64_C(64889),	// ADD_v4i32_S
  229     UINT64_C(64872),	// ADD_v8i16
  230     UINT64_C(64872),	// ADD_v8i16_S
  231     UINT64_C(4294967295),	// ADJCALLSTACKDOWN
  232     UINT64_C(4294967295),	// ADJCALLSTACKDOWN_S
  233     UINT64_C(4294967295),	// ADJCALLSTACKUP
  234     UINT64_C(4294967295),	// ADJCALLSTACKUP_S
  235     UINT64_C(64851),	// ALLTRUE_v16i8
  236     UINT64_C(64851),	// ALLTRUE_v16i8_S
  237     UINT64_C(64902),	// ALLTRUE_v2i64
  238     UINT64_C(64902),	// ALLTRUE_v2i64_S
  239     UINT64_C(64885),	// ALLTRUE_v4i32
  240     UINT64_C(64885),	// ALLTRUE_v4i32_S
  241     UINT64_C(64868),	// ALLTRUE_v8i16
  242     UINT64_C(64868),	// ALLTRUE_v8i16_S
  243     UINT64_C(64984),	// ANDNOT_v16i8
  244     UINT64_C(64984),	// ANDNOT_v16i8_S
  245     UINT64_C(64984),	// ANDNOT_v2i64
  246     UINT64_C(64984),	// ANDNOT_v2i64_S
  247     UINT64_C(64984),	// ANDNOT_v4i32
  248     UINT64_C(64984),	// ANDNOT_v4i32_S
  249     UINT64_C(64984),	// ANDNOT_v8i16
  250     UINT64_C(64984),	// ANDNOT_v8i16_S
  251     UINT64_C(113),	// AND_I32
  252     UINT64_C(113),	// AND_I32_S
  253     UINT64_C(131),	// AND_I64
  254     UINT64_C(131),	// AND_I64_S
  255     UINT64_C(64845),	// AND_v16i8
  256     UINT64_C(64845),	// AND_v16i8_S
  257     UINT64_C(64845),	// AND_v2i64
  258     UINT64_C(64845),	// AND_v2i64_S
  259     UINT64_C(64845),	// AND_v4i32
  260     UINT64_C(64845),	// AND_v4i32_S
  261     UINT64_C(64845),	// AND_v8i16
  262     UINT64_C(64845),	// AND_v8i16_S
  263     UINT64_C(64850),	// ANYTRUE_v16i8
  264     UINT64_C(64850),	// ANYTRUE_v16i8_S
  265     UINT64_C(64901),	// ANYTRUE_v2i64
  266     UINT64_C(64901),	// ANYTRUE_v2i64_S
  267     UINT64_C(64884),	// ANYTRUE_v4i32
  268     UINT64_C(64884),	// ANYTRUE_v4i32_S
  269     UINT64_C(64867),	// ANYTRUE_v8i16
  270     UINT64_C(64867),	// ANYTRUE_v8i16_S
  271     UINT64_C(4294967295),	// ARGUMENT_exnref
  272     UINT64_C(4294967295),	// ARGUMENT_exnref_S
  273     UINT64_C(4294967295),	// ARGUMENT_f32
  274     UINT64_C(4294967295),	// ARGUMENT_f32_S
  275     UINT64_C(4294967295),	// ARGUMENT_f64
  276     UINT64_C(4294967295),	// ARGUMENT_f64_S
  277     UINT64_C(4294967295),	// ARGUMENT_i32
  278     UINT64_C(4294967295),	// ARGUMENT_i32_S
  279     UINT64_C(4294967295),	// ARGUMENT_i64
  280     UINT64_C(4294967295),	// ARGUMENT_i64_S
  281     UINT64_C(4294967295),	// ARGUMENT_v16i8
  282     UINT64_C(4294967295),	// ARGUMENT_v16i8_S
  283     UINT64_C(4294967295),	// ARGUMENT_v2f64
  284     UINT64_C(4294967295),	// ARGUMENT_v2f64_S
  285     UINT64_C(4294967295),	// ARGUMENT_v2i64
  286     UINT64_C(4294967295),	// ARGUMENT_v2i64_S
  287     UINT64_C(4294967295),	// ARGUMENT_v4f32
  288     UINT64_C(4294967295),	// ARGUMENT_v4f32_S
  289     UINT64_C(4294967295),	// ARGUMENT_v4i32
  290     UINT64_C(4294967295),	// ARGUMENT_v4i32_S
  291     UINT64_C(4294967295),	// ARGUMENT_v8i16
  292     UINT64_C(4294967295),	// ARGUMENT_v8i16_S
  293     UINT64_C(65027),	// ATOMIC_FENCE
  294     UINT64_C(65027),	// ATOMIC_FENCE_S
  295     UINT64_C(65043),	// ATOMIC_LOAD16_U_I32
  296     UINT64_C(65043),	// ATOMIC_LOAD16_U_I32_S
  297     UINT64_C(65045),	// ATOMIC_LOAD16_U_I64
  298     UINT64_C(65045),	// ATOMIC_LOAD16_U_I64_S
  299     UINT64_C(65046),	// ATOMIC_LOAD32_U_I64
  300     UINT64_C(65046),	// ATOMIC_LOAD32_U_I64_S
  301     UINT64_C(65042),	// ATOMIC_LOAD8_U_I32
  302     UINT64_C(65042),	// ATOMIC_LOAD8_U_I32_S
  303     UINT64_C(65044),	// ATOMIC_LOAD8_U_I64
  304     UINT64_C(65044),	// ATOMIC_LOAD8_U_I64_S
  305     UINT64_C(65040),	// ATOMIC_LOAD_I32
  306     UINT64_C(65040),	// ATOMIC_LOAD_I32_S
  307     UINT64_C(65041),	// ATOMIC_LOAD_I64
  308     UINT64_C(65041),	// ATOMIC_LOAD_I64_S
  309     UINT64_C(65024),	// ATOMIC_NOTIFY
  310     UINT64_C(65024),	// ATOMIC_NOTIFY_S
  311     UINT64_C(65057),	// ATOMIC_RMW16_U_ADD_I32
  312     UINT64_C(65057),	// ATOMIC_RMW16_U_ADD_I32_S
  313     UINT64_C(65059),	// ATOMIC_RMW16_U_ADD_I64
  314     UINT64_C(65059),	// ATOMIC_RMW16_U_ADD_I64_S
  315     UINT64_C(65071),	// ATOMIC_RMW16_U_AND_I32
  316     UINT64_C(65071),	// ATOMIC_RMW16_U_AND_I32_S
  317     UINT64_C(65073),	// ATOMIC_RMW16_U_AND_I64
  318     UINT64_C(65073),	// ATOMIC_RMW16_U_AND_I64_S
  319     UINT64_C(65099),	// ATOMIC_RMW16_U_CMPXCHG_I32
  320     UINT64_C(65099),	// ATOMIC_RMW16_U_CMPXCHG_I32_S
  321     UINT64_C(65101),	// ATOMIC_RMW16_U_CMPXCHG_I64
  322     UINT64_C(65101),	// ATOMIC_RMW16_U_CMPXCHG_I64_S
  323     UINT64_C(65078),	// ATOMIC_RMW16_U_OR_I32
  324     UINT64_C(65078),	// ATOMIC_RMW16_U_OR_I32_S
  325     UINT64_C(65080),	// ATOMIC_RMW16_U_OR_I64
  326     UINT64_C(65080),	// ATOMIC_RMW16_U_OR_I64_S
  327     UINT64_C(65064),	// ATOMIC_RMW16_U_SUB_I32
  328     UINT64_C(65064),	// ATOMIC_RMW16_U_SUB_I32_S
  329     UINT64_C(65066),	// ATOMIC_RMW16_U_SUB_I64
  330     UINT64_C(65066),	// ATOMIC_RMW16_U_SUB_I64_S
  331     UINT64_C(65092),	// ATOMIC_RMW16_U_XCHG_I32
  332     UINT64_C(65092),	// ATOMIC_RMW16_U_XCHG_I32_S
  333     UINT64_C(65094),	// ATOMIC_RMW16_U_XCHG_I64
  334     UINT64_C(65094),	// ATOMIC_RMW16_U_XCHG_I64_S
  335     UINT64_C(65085),	// ATOMIC_RMW16_U_XOR_I32
  336     UINT64_C(65085),	// ATOMIC_RMW16_U_XOR_I32_S
  337     UINT64_C(65087),	// ATOMIC_RMW16_U_XOR_I64
  338     UINT64_C(65087),	// ATOMIC_RMW16_U_XOR_I64_S
  339     UINT64_C(65060),	// ATOMIC_RMW32_U_ADD_I64
  340     UINT64_C(65060),	// ATOMIC_RMW32_U_ADD_I64_S
  341     UINT64_C(65074),	// ATOMIC_RMW32_U_AND_I64
  342     UINT64_C(65074),	// ATOMIC_RMW32_U_AND_I64_S
  343     UINT64_C(65102),	// ATOMIC_RMW32_U_CMPXCHG_I64
  344     UINT64_C(65102),	// ATOMIC_RMW32_U_CMPXCHG_I64_S
  345     UINT64_C(65081),	// ATOMIC_RMW32_U_OR_I64
  346     UINT64_C(65081),	// ATOMIC_RMW32_U_OR_I64_S
  347     UINT64_C(65067),	// ATOMIC_RMW32_U_SUB_I64
  348     UINT64_C(65067),	// ATOMIC_RMW32_U_SUB_I64_S
  349     UINT64_C(65095),	// ATOMIC_RMW32_U_XCHG_I64
  350     UINT64_C(65095),	// ATOMIC_RMW32_U_XCHG_I64_S
  351     UINT64_C(65088),	// ATOMIC_RMW32_U_XOR_I64
  352     UINT64_C(65088),	// ATOMIC_RMW32_U_XOR_I64_S
  353     UINT64_C(65056),	// ATOMIC_RMW8_U_ADD_I32
  354     UINT64_C(65056),	// ATOMIC_RMW8_U_ADD_I32_S
  355     UINT64_C(65058),	// ATOMIC_RMW8_U_ADD_I64
  356     UINT64_C(65058),	// ATOMIC_RMW8_U_ADD_I64_S
  357     UINT64_C(65070),	// ATOMIC_RMW8_U_AND_I32
  358     UINT64_C(65070),	// ATOMIC_RMW8_U_AND_I32_S
  359     UINT64_C(65072),	// ATOMIC_RMW8_U_AND_I64
  360     UINT64_C(65072),	// ATOMIC_RMW8_U_AND_I64_S
  361     UINT64_C(65098),	// ATOMIC_RMW8_U_CMPXCHG_I32
  362     UINT64_C(65098),	// ATOMIC_RMW8_U_CMPXCHG_I32_S
  363     UINT64_C(65100),	// ATOMIC_RMW8_U_CMPXCHG_I64
  364     UINT64_C(65100),	// ATOMIC_RMW8_U_CMPXCHG_I64_S
  365     UINT64_C(65077),	// ATOMIC_RMW8_U_OR_I32
  366     UINT64_C(65077),	// ATOMIC_RMW8_U_OR_I32_S
  367     UINT64_C(65079),	// ATOMIC_RMW8_U_OR_I64
  368     UINT64_C(65079),	// ATOMIC_RMW8_U_OR_I64_S
  369     UINT64_C(65063),	// ATOMIC_RMW8_U_SUB_I32
  370     UINT64_C(65063),	// ATOMIC_RMW8_U_SUB_I32_S
  371     UINT64_C(65065),	// ATOMIC_RMW8_U_SUB_I64
  372     UINT64_C(65065),	// ATOMIC_RMW8_U_SUB_I64_S
  373     UINT64_C(65091),	// ATOMIC_RMW8_U_XCHG_I32
  374     UINT64_C(65091),	// ATOMIC_RMW8_U_XCHG_I32_S
  375     UINT64_C(65093),	// ATOMIC_RMW8_U_XCHG_I64
  376     UINT64_C(65093),	// ATOMIC_RMW8_U_XCHG_I64_S
  377     UINT64_C(65084),	// ATOMIC_RMW8_U_XOR_I32
  378     UINT64_C(65084),	// ATOMIC_RMW8_U_XOR_I32_S
  379     UINT64_C(65086),	// ATOMIC_RMW8_U_XOR_I64
  380     UINT64_C(65086),	// ATOMIC_RMW8_U_XOR_I64_S
  381     UINT64_C(65054),	// ATOMIC_RMW_ADD_I32
  382     UINT64_C(65054),	// ATOMIC_RMW_ADD_I32_S
  383     UINT64_C(65055),	// ATOMIC_RMW_ADD_I64
  384     UINT64_C(65055),	// ATOMIC_RMW_ADD_I64_S
  385     UINT64_C(65068),	// ATOMIC_RMW_AND_I32
  386     UINT64_C(65068),	// ATOMIC_RMW_AND_I32_S
  387     UINT64_C(65069),	// ATOMIC_RMW_AND_I64
  388     UINT64_C(65069),	// ATOMIC_RMW_AND_I64_S
  389     UINT64_C(65096),	// ATOMIC_RMW_CMPXCHG_I32
  390     UINT64_C(65096),	// ATOMIC_RMW_CMPXCHG_I32_S
  391     UINT64_C(65097),	// ATOMIC_RMW_CMPXCHG_I64
  392     UINT64_C(65097),	// ATOMIC_RMW_CMPXCHG_I64_S
  393     UINT64_C(65075),	// ATOMIC_RMW_OR_I32
  394     UINT64_C(65075),	// ATOMIC_RMW_OR_I32_S
  395     UINT64_C(65076),	// ATOMIC_RMW_OR_I64
  396     UINT64_C(65076),	// ATOMIC_RMW_OR_I64_S
  397     UINT64_C(65061),	// ATOMIC_RMW_SUB_I32
  398     UINT64_C(65061),	// ATOMIC_RMW_SUB_I32_S
  399     UINT64_C(65062),	// ATOMIC_RMW_SUB_I64
  400     UINT64_C(65062),	// ATOMIC_RMW_SUB_I64_S
  401     UINT64_C(65089),	// ATOMIC_RMW_XCHG_I32
  402     UINT64_C(65089),	// ATOMIC_RMW_XCHG_I32_S
  403     UINT64_C(65090),	// ATOMIC_RMW_XCHG_I64
  404     UINT64_C(65090),	// ATOMIC_RMW_XCHG_I64_S
  405     UINT64_C(65082),	// ATOMIC_RMW_XOR_I32
  406     UINT64_C(65082),	// ATOMIC_RMW_XOR_I32_S
  407     UINT64_C(65083),	// ATOMIC_RMW_XOR_I64
  408     UINT64_C(65083),	// ATOMIC_RMW_XOR_I64_S
  409     UINT64_C(65050),	// ATOMIC_STORE16_I32
  410     UINT64_C(65050),	// ATOMIC_STORE16_I32_S
  411     UINT64_C(65052),	// ATOMIC_STORE16_I64
  412     UINT64_C(65052),	// ATOMIC_STORE16_I64_S
  413     UINT64_C(65053),	// ATOMIC_STORE32_I64
  414     UINT64_C(65053),	// ATOMIC_STORE32_I64_S
  415     UINT64_C(65049),	// ATOMIC_STORE8_I32
  416     UINT64_C(65049),	// ATOMIC_STORE8_I32_S
  417     UINT64_C(65051),	// ATOMIC_STORE8_I64
  418     UINT64_C(65051),	// ATOMIC_STORE8_I64_S
  419     UINT64_C(65047),	// ATOMIC_STORE_I32
  420     UINT64_C(65047),	// ATOMIC_STORE_I32_S
  421     UINT64_C(65048),	// ATOMIC_STORE_I64
  422     UINT64_C(65048),	// ATOMIC_STORE_I64_S
  423     UINT64_C(65025),	// ATOMIC_WAIT_I32
  424     UINT64_C(65025),	// ATOMIC_WAIT_I32_S
  425     UINT64_C(65026),	// ATOMIC_WAIT_I64
  426     UINT64_C(65026),	// ATOMIC_WAIT_I64_S
  427     UINT64_C(64848),	// BITSELECT_v16i8
  428     UINT64_C(64848),	// BITSELECT_v16i8_S
  429     UINT64_C(64848),	// BITSELECT_v2f64
  430     UINT64_C(64848),	// BITSELECT_v2f64_S
  431     UINT64_C(64848),	// BITSELECT_v2i64
  432     UINT64_C(64848),	// BITSELECT_v2i64_S
  433     UINT64_C(64848),	// BITSELECT_v4f32
  434     UINT64_C(64848),	// BITSELECT_v4f32_S
  435     UINT64_C(64848),	// BITSELECT_v4i32
  436     UINT64_C(64848),	// BITSELECT_v4i32_S
  437     UINT64_C(64848),	// BITSELECT_v8i16
  438     UINT64_C(64848),	// BITSELECT_v8i16_S
  439     UINT64_C(2),	// BLOCK
  440     UINT64_C(2),	// BLOCK_S
  441     UINT64_C(12),	// BR
  442     UINT64_C(13),	// BR_IF
  443     UINT64_C(13),	// BR_IF_S
  444     UINT64_C(10),	// BR_ON_EXN
  445     UINT64_C(10),	// BR_ON_EXN_S
  446     UINT64_C(12),	// BR_S
  447     UINT64_C(14),	// BR_TABLE_I32
  448     UINT64_C(14),	// BR_TABLE_I32_S
  449     UINT64_C(14),	// BR_TABLE_I64
  450     UINT64_C(14),	// BR_TABLE_I64_S
  451     UINT64_C(4294967295),	// BR_UNLESS
  452     UINT64_C(4294967295),	// BR_UNLESS_S
  453     UINT64_C(17),	// CALL_INDIRECT_VOID
  454     UINT64_C(17),	// CALL_INDIRECT_VOID_S
  455     UINT64_C(17),	// CALL_INDIRECT_exnref
  456     UINT64_C(17),	// CALL_INDIRECT_exnref_S
  457     UINT64_C(17),	// CALL_INDIRECT_f32
  458     UINT64_C(17),	// CALL_INDIRECT_f32_S
  459     UINT64_C(17),	// CALL_INDIRECT_f64
  460     UINT64_C(17),	// CALL_INDIRECT_f64_S
  461     UINT64_C(17),	// CALL_INDIRECT_i32
  462     UINT64_C(17),	// CALL_INDIRECT_i32_S
  463     UINT64_C(17),	// CALL_INDIRECT_i64
  464     UINT64_C(17),	// CALL_INDIRECT_i64_S
  465     UINT64_C(17),	// CALL_INDIRECT_v16i8
  466     UINT64_C(17),	// CALL_INDIRECT_v16i8_S
  467     UINT64_C(17),	// CALL_INDIRECT_v2f64
  468     UINT64_C(17),	// CALL_INDIRECT_v2f64_S
  469     UINT64_C(17),	// CALL_INDIRECT_v2i64
  470     UINT64_C(17),	// CALL_INDIRECT_v2i64_S
  471     UINT64_C(17),	// CALL_INDIRECT_v4f32
  472     UINT64_C(17),	// CALL_INDIRECT_v4f32_S
  473     UINT64_C(17),	// CALL_INDIRECT_v4i32
  474     UINT64_C(17),	// CALL_INDIRECT_v4i32_S
  475     UINT64_C(17),	// CALL_INDIRECT_v8i16
  476     UINT64_C(17),	// CALL_INDIRECT_v8i16_S
  477     UINT64_C(16),	// CALL_VOID
  478     UINT64_C(16),	// CALL_VOID_S
  479     UINT64_C(16),	// CALL_exnref
  480     UINT64_C(16),	// CALL_exnref_S
  481     UINT64_C(16),	// CALL_f32
  482     UINT64_C(16),	// CALL_f32_S
  483     UINT64_C(16),	// CALL_f64
  484     UINT64_C(16),	// CALL_f64_S
  485     UINT64_C(16),	// CALL_i32
  486     UINT64_C(16),	// CALL_i32_S
  487     UINT64_C(16),	// CALL_i64
  488     UINT64_C(16),	// CALL_i64_S
  489     UINT64_C(16),	// CALL_v16i8
  490     UINT64_C(16),	// CALL_v16i8_S
  491     UINT64_C(16),	// CALL_v2f64
  492     UINT64_C(16),	// CALL_v2f64_S
  493     UINT64_C(16),	// CALL_v2i64
  494     UINT64_C(16),	// CALL_v2i64_S
  495     UINT64_C(16),	// CALL_v4f32
  496     UINT64_C(16),	// CALL_v4f32_S
  497     UINT64_C(16),	// CALL_v4i32
  498     UINT64_C(16),	// CALL_v4i32_S
  499     UINT64_C(16),	// CALL_v8i16
  500     UINT64_C(16),	// CALL_v8i16_S
  501     UINT64_C(7),	// CATCH
  502     UINT64_C(7),	// CATCH_S
  503     UINT64_C(141),	// CEIL_F32
  504     UINT64_C(141),	// CEIL_F32_S
  505     UINT64_C(155),	// CEIL_F64
  506     UINT64_C(155),	// CEIL_F64_S
  507     UINT64_C(103),	// CLZ_I32
  508     UINT64_C(103),	// CLZ_I32_S
  509     UINT64_C(121),	// CLZ_I64
  510     UINT64_C(121),	// CLZ_I64_S
  511     UINT64_C(67),	// CONST_F32
  512     UINT64_C(67),	// CONST_F32_S
  513     UINT64_C(68),	// CONST_F64
  514     UINT64_C(68),	// CONST_F64_S
  515     UINT64_C(65),	// CONST_I32
  516     UINT64_C(65),	// CONST_I32_S
  517     UINT64_C(66),	// CONST_I64
  518     UINT64_C(66),	// CONST_I64_S
  519     UINT64_C(64770),	// CONST_V128_v16i8
  520     UINT64_C(64770),	// CONST_V128_v16i8_S
  521     UINT64_C(64770),	// CONST_V128_v2f64
  522     UINT64_C(64770),	// CONST_V128_v2f64_S
  523     UINT64_C(64770),	// CONST_V128_v2i64
  524     UINT64_C(64770),	// CONST_V128_v2i64_S
  525     UINT64_C(64770),	// CONST_V128_v4f32
  526     UINT64_C(64770),	// CONST_V128_v4f32_S
  527     UINT64_C(64770),	// CONST_V128_v4i32
  528     UINT64_C(64770),	// CONST_V128_v4i32_S
  529     UINT64_C(64770),	// CONST_V128_v8i16
  530     UINT64_C(64770),	// CONST_V128_v8i16_S
  531     UINT64_C(152),	// COPYSIGN_F32
  532     UINT64_C(152),	// COPYSIGN_F32_S
  533     UINT64_C(166),	// COPYSIGN_F64
  534     UINT64_C(166),	// COPYSIGN_F64_S
  535     UINT64_C(4294967295),	// COPY_EXNREF
  536     UINT64_C(4294967295),	// COPY_EXNREF_S
  537     UINT64_C(4294967295),	// COPY_F32
  538     UINT64_C(4294967295),	// COPY_F32_S
  539     UINT64_C(4294967295),	// COPY_F64
  540     UINT64_C(4294967295),	// COPY_F64_S
  541     UINT64_C(4294967295),	// COPY_I32
  542     UINT64_C(4294967295),	// COPY_I32_S
  543     UINT64_C(4294967295),	// COPY_I64
  544     UINT64_C(4294967295),	// COPY_I64_S
  545     UINT64_C(4294967295),	// COPY_V128
  546     UINT64_C(4294967295),	// COPY_V128_S
  547     UINT64_C(104),	// CTZ_I32
  548     UINT64_C(104),	// CTZ_I32_S
  549     UINT64_C(122),	// CTZ_I64
  550     UINT64_C(122),	// CTZ_I64_S
  551     UINT64_C(64521),	// DATA_DROP
  552     UINT64_C(64521),	// DATA_DROP_S
  553     UINT64_C(149),	// DIV_F32
  554     UINT64_C(149),	// DIV_F32_S
  555     UINT64_C(163),	// DIV_F64
  556     UINT64_C(163),	// DIV_F64_S
  557     UINT64_C(109),	// DIV_S_I32
  558     UINT64_C(109),	// DIV_S_I32_S
  559     UINT64_C(127),	// DIV_S_I64
  560     UINT64_C(127),	// DIV_S_I64_S
  561     UINT64_C(110),	// DIV_U_I32
  562     UINT64_C(110),	// DIV_U_I32_S
  563     UINT64_C(128),	// DIV_U_I64
  564     UINT64_C(128),	// DIV_U_I64_S
  565     UINT64_C(64936),	// DIV_v2f64
  566     UINT64_C(64936),	// DIV_v2f64_S
  567     UINT64_C(64925),	// DIV_v4f32
  568     UINT64_C(64925),	// DIV_v4f32_S
  569     UINT64_C(26),	// DROP_EXNREF
  570     UINT64_C(26),	// DROP_EXNREF_S
  571     UINT64_C(26),	// DROP_F32
  572     UINT64_C(26),	// DROP_F32_S
  573     UINT64_C(26),	// DROP_F64
  574     UINT64_C(26),	// DROP_F64_S
  575     UINT64_C(26),	// DROP_I32
  576     UINT64_C(26),	// DROP_I32_S
  577     UINT64_C(26),	// DROP_I64
  578     UINT64_C(26),	// DROP_I64_S
  579     UINT64_C(26),	// DROP_V128
  580     UINT64_C(26),	// DROP_V128_S
  581     UINT64_C(5),	// ELSE
  582     UINT64_C(5),	// ELSE_S
  583     UINT64_C(11),	// END
  584     UINT64_C(11),	// END_BLOCK
  585     UINT64_C(11),	// END_BLOCK_S
  586     UINT64_C(11),	// END_FUNCTION
  587     UINT64_C(11),	// END_FUNCTION_S
  588     UINT64_C(11),	// END_IF
  589     UINT64_C(11),	// END_IF_S
  590     UINT64_C(11),	// END_LOOP
  591     UINT64_C(11),	// END_LOOP_S
  592     UINT64_C(11),	// END_S
  593     UINT64_C(11),	// END_TRY
  594     UINT64_C(11),	// END_TRY_S
  595     UINT64_C(69),	// EQZ_I32
  596     UINT64_C(69),	// EQZ_I32_S
  597     UINT64_C(80),	// EQZ_I64
  598     UINT64_C(80),	// EQZ_I64_S
  599     UINT64_C(91),	// EQ_F32
  600     UINT64_C(91),	// EQ_F32_S
  601     UINT64_C(97),	// EQ_F64
  602     UINT64_C(97),	// EQ_F64_S
  603     UINT64_C(70),	// EQ_I32
  604     UINT64_C(70),	// EQ_I32_S
  605     UINT64_C(81),	// EQ_I64
  606     UINT64_C(81),	// EQ_I64_S
  607     UINT64_C(64792),	// EQ_v16i8
  608     UINT64_C(64792),	// EQ_v16i8_S
  609     UINT64_C(64838),	// EQ_v2f64
  610     UINT64_C(64838),	// EQ_v2f64_S
  611     UINT64_C(64832),	// EQ_v4f32
  612     UINT64_C(64832),	// EQ_v4f32_S
  613     UINT64_C(64812),	// EQ_v4i32
  614     UINT64_C(64812),	// EQ_v4i32_S
  615     UINT64_C(64802),	// EQ_v8i16
  616     UINT64_C(64802),	// EQ_v8i16_S
  617     UINT64_C(4294967295),	// EXTRACT_EXCEPTION_I32
  618     UINT64_C(4294967295),	// EXTRACT_EXCEPTION_I32_S
  619     UINT64_C(64773),	// EXTRACT_LANE_v16i8_s
  620     UINT64_C(64773),	// EXTRACT_LANE_v16i8_s_S
  621     UINT64_C(64774),	// EXTRACT_LANE_v16i8_u
  622     UINT64_C(64774),	// EXTRACT_LANE_v16i8_u_S
  623     UINT64_C(64790),	// EXTRACT_LANE_v2f64
  624     UINT64_C(64790),	// EXTRACT_LANE_v2f64_S
  625     UINT64_C(64784),	// EXTRACT_LANE_v2i64
  626     UINT64_C(64784),	// EXTRACT_LANE_v2i64_S
  627     UINT64_C(64787),	// EXTRACT_LANE_v4f32
  628     UINT64_C(64787),	// EXTRACT_LANE_v4f32_S
  629     UINT64_C(64781),	// EXTRACT_LANE_v4i32
  630     UINT64_C(64781),	// EXTRACT_LANE_v4i32_S
  631     UINT64_C(64777),	// EXTRACT_LANE_v8i16_s
  632     UINT64_C(64777),	// EXTRACT_LANE_v8i16_s_S
  633     UINT64_C(64778),	// EXTRACT_LANE_v8i16_u
  634     UINT64_C(64778),	// EXTRACT_LANE_v8i16_u_S
  635     UINT64_C(178),	// F32_CONVERT_S_I32
  636     UINT64_C(178),	// F32_CONVERT_S_I32_S
  637     UINT64_C(180),	// F32_CONVERT_S_I64
  638     UINT64_C(180),	// F32_CONVERT_S_I64_S
  639     UINT64_C(179),	// F32_CONVERT_U_I32
  640     UINT64_C(179),	// F32_CONVERT_U_I32_S
  641     UINT64_C(181),	// F32_CONVERT_U_I64
  642     UINT64_C(181),	// F32_CONVERT_U_I64_S
  643     UINT64_C(182),	// F32_DEMOTE_F64
  644     UINT64_C(182),	// F32_DEMOTE_F64_S
  645     UINT64_C(190),	// F32_REINTERPRET_I32
  646     UINT64_C(190),	// F32_REINTERPRET_I32_S
  647     UINT64_C(183),	// F64_CONVERT_S_I32
  648     UINT64_C(183),	// F64_CONVERT_S_I32_S
  649     UINT64_C(185),	// F64_CONVERT_S_I64
  650     UINT64_C(185),	// F64_CONVERT_S_I64_S
  651     UINT64_C(184),	// F64_CONVERT_U_I32
  652     UINT64_C(184),	// F64_CONVERT_U_I32_S
  653     UINT64_C(186),	// F64_CONVERT_U_I64
  654     UINT64_C(186),	// F64_CONVERT_U_I64_S
  655     UINT64_C(187),	// F64_PROMOTE_F32
  656     UINT64_C(187),	// F64_PROMOTE_F32_S
  657     UINT64_C(191),	// F64_REINTERPRET_I64
  658     UINT64_C(191),	// F64_REINTERPRET_I64_S
  659     UINT64_C(4294967295),	// FALLTHROUGH_RETURN
  660     UINT64_C(4294967295),	// FALLTHROUGH_RETURN_S
  661     UINT64_C(142),	// FLOOR_F32
  662     UINT64_C(142),	// FLOOR_F32_S
  663     UINT64_C(156),	// FLOOR_F64
  664     UINT64_C(156),	// FLOOR_F64_S
  665     UINT64_C(0),	// FP_TO_SINT_I32_F32
  666     UINT64_C(0),	// FP_TO_SINT_I32_F32_S
  667     UINT64_C(0),	// FP_TO_SINT_I32_F64
  668     UINT64_C(0),	// FP_TO_SINT_I32_F64_S
  669     UINT64_C(0),	// FP_TO_SINT_I64_F32
  670     UINT64_C(0),	// FP_TO_SINT_I64_F32_S
  671     UINT64_C(0),	// FP_TO_SINT_I64_F64
  672     UINT64_C(0),	// FP_TO_SINT_I64_F64_S
  673     UINT64_C(0),	// FP_TO_UINT_I32_F32
  674     UINT64_C(0),	// FP_TO_UINT_I32_F32_S
  675     UINT64_C(0),	// FP_TO_UINT_I32_F64
  676     UINT64_C(0),	// FP_TO_UINT_I32_F64_S
  677     UINT64_C(0),	// FP_TO_UINT_I64_F32
  678     UINT64_C(0),	// FP_TO_UINT_I64_F32_S
  679     UINT64_C(0),	// FP_TO_UINT_I64_F64
  680     UINT64_C(0),	// FP_TO_UINT_I64_F64_S
  681     UINT64_C(96),	// GE_F32
  682     UINT64_C(96),	// GE_F32_S
  683     UINT64_C(102),	// GE_F64
  684     UINT64_C(102),	// GE_F64_S
  685     UINT64_C(78),	// GE_S_I32
  686     UINT64_C(78),	// GE_S_I32_S
  687     UINT64_C(89),	// GE_S_I64
  688     UINT64_C(89),	// GE_S_I64_S
  689     UINT64_C(64800),	// GE_S_v16i8
  690     UINT64_C(64800),	// GE_S_v16i8_S
  691     UINT64_C(64820),	// GE_S_v4i32
  692     UINT64_C(64820),	// GE_S_v4i32_S
  693     UINT64_C(64810),	// GE_S_v8i16
  694     UINT64_C(64810),	// GE_S_v8i16_S
  695     UINT64_C(79),	// GE_U_I32
  696     UINT64_C(79),	// GE_U_I32_S
  697     UINT64_C(90),	// GE_U_I64
  698     UINT64_C(90),	// GE_U_I64_S
  699     UINT64_C(64801),	// GE_U_v16i8
  700     UINT64_C(64801),	// GE_U_v16i8_S
  701     UINT64_C(64821),	// GE_U_v4i32
  702     UINT64_C(64821),	// GE_U_v4i32_S
  703     UINT64_C(64811),	// GE_U_v8i16
  704     UINT64_C(64811),	// GE_U_v8i16_S
  705     UINT64_C(64843),	// GE_v2f64
  706     UINT64_C(64843),	// GE_v2f64_S
  707     UINT64_C(64837),	// GE_v4f32
  708     UINT64_C(64837),	// GE_v4f32_S
  709     UINT64_C(35),	// GLOBAL_GET_EXNREF
  710     UINT64_C(35),	// GLOBAL_GET_EXNREF_S
  711     UINT64_C(35),	// GLOBAL_GET_F32
  712     UINT64_C(35),	// GLOBAL_GET_F32_S
  713     UINT64_C(35),	// GLOBAL_GET_F64
  714     UINT64_C(35),	// GLOBAL_GET_F64_S
  715     UINT64_C(35),	// GLOBAL_GET_I32
  716     UINT64_C(35),	// GLOBAL_GET_I32_S
  717     UINT64_C(35),	// GLOBAL_GET_I64
  718     UINT64_C(35),	// GLOBAL_GET_I64_S
  719     UINT64_C(35),	// GLOBAL_GET_V128
  720     UINT64_C(35),	// GLOBAL_GET_V128_S
  721     UINT64_C(36),	// GLOBAL_SET_EXNREF
  722     UINT64_C(36),	// GLOBAL_SET_EXNREF_S
  723     UINT64_C(36),	// GLOBAL_SET_F32
  724     UINT64_C(36),	// GLOBAL_SET_F32_S
  725     UINT64_C(36),	// GLOBAL_SET_F64
  726     UINT64_C(36),	// GLOBAL_SET_F64_S
  727     UINT64_C(36),	// GLOBAL_SET_I32
  728     UINT64_C(36),	// GLOBAL_SET_I32_S
  729     UINT64_C(36),	// GLOBAL_SET_I64
  730     UINT64_C(36),	// GLOBAL_SET_I64_S
  731     UINT64_C(36),	// GLOBAL_SET_V128
  732     UINT64_C(36),	// GLOBAL_SET_V128_S
  733     UINT64_C(94),	// GT_F32
  734     UINT64_C(94),	// GT_F32_S
  735     UINT64_C(100),	// GT_F64
  736     UINT64_C(100),	// GT_F64_S
  737     UINT64_C(74),	// GT_S_I32
  738     UINT64_C(74),	// GT_S_I32_S
  739     UINT64_C(85),	// GT_S_I64
  740     UINT64_C(85),	// GT_S_I64_S
  741     UINT64_C(64796),	// GT_S_v16i8
  742     UINT64_C(64796),	// GT_S_v16i8_S
  743     UINT64_C(64816),	// GT_S_v4i32
  744     UINT64_C(64816),	// GT_S_v4i32_S
  745     UINT64_C(64806),	// GT_S_v8i16
  746     UINT64_C(64806),	// GT_S_v8i16_S
  747     UINT64_C(75),	// GT_U_I32
  748     UINT64_C(75),	// GT_U_I32_S
  749     UINT64_C(86),	// GT_U_I64
  750     UINT64_C(86),	// GT_U_I64_S
  751     UINT64_C(64797),	// GT_U_v16i8
  752     UINT64_C(64797),	// GT_U_v16i8_S
  753     UINT64_C(64817),	// GT_U_v4i32
  754     UINT64_C(64817),	// GT_U_v4i32_S
  755     UINT64_C(64807),	// GT_U_v8i16
  756     UINT64_C(64807),	// GT_U_v8i16_S
  757     UINT64_C(64841),	// GT_v2f64
  758     UINT64_C(64841),	// GT_v2f64_S
  759     UINT64_C(64835),	// GT_v4f32
  760     UINT64_C(64835),	// GT_v4f32_S
  761     UINT64_C(193),	// I32_EXTEND16_S_I32
  762     UINT64_C(193),	// I32_EXTEND16_S_I32_S
  763     UINT64_C(192),	// I32_EXTEND8_S_I32
  764     UINT64_C(192),	// I32_EXTEND8_S_I32_S
  765     UINT64_C(188),	// I32_REINTERPRET_F32
  766     UINT64_C(188),	// I32_REINTERPRET_F32_S
  767     UINT64_C(168),	// I32_TRUNC_S_F32
  768     UINT64_C(168),	// I32_TRUNC_S_F32_S
  769     UINT64_C(170),	// I32_TRUNC_S_F64
  770     UINT64_C(170),	// I32_TRUNC_S_F64_S
  771     UINT64_C(64512),	// I32_TRUNC_S_SAT_F32
  772     UINT64_C(64512),	// I32_TRUNC_S_SAT_F32_S
  773     UINT64_C(64514),	// I32_TRUNC_S_SAT_F64
  774     UINT64_C(64514),	// I32_TRUNC_S_SAT_F64_S
  775     UINT64_C(169),	// I32_TRUNC_U_F32
  776     UINT64_C(169),	// I32_TRUNC_U_F32_S
  777     UINT64_C(171),	// I32_TRUNC_U_F64
  778     UINT64_C(171),	// I32_TRUNC_U_F64_S
  779     UINT64_C(64513),	// I32_TRUNC_U_SAT_F32
  780     UINT64_C(64513),	// I32_TRUNC_U_SAT_F32_S
  781     UINT64_C(64515),	// I32_TRUNC_U_SAT_F64
  782     UINT64_C(64515),	// I32_TRUNC_U_SAT_F64_S
  783     UINT64_C(167),	// I32_WRAP_I64
  784     UINT64_C(167),	// I32_WRAP_I64_S
  785     UINT64_C(195),	// I64_EXTEND16_S_I64
  786     UINT64_C(195),	// I64_EXTEND16_S_I64_S
  787     UINT64_C(196),	// I64_EXTEND32_S_I64
  788     UINT64_C(196),	// I64_EXTEND32_S_I64_S
  789     UINT64_C(194),	// I64_EXTEND8_S_I64
  790     UINT64_C(194),	// I64_EXTEND8_S_I64_S
  791     UINT64_C(172),	// I64_EXTEND_S_I32
  792     UINT64_C(172),	// I64_EXTEND_S_I32_S
  793     UINT64_C(173),	// I64_EXTEND_U_I32
  794     UINT64_C(173),	// I64_EXTEND_U_I32_S
  795     UINT64_C(189),	// I64_REINTERPRET_F64
  796     UINT64_C(189),	// I64_REINTERPRET_F64_S
  797     UINT64_C(174),	// I64_TRUNC_S_F32
  798     UINT64_C(174),	// I64_TRUNC_S_F32_S
  799     UINT64_C(176),	// I64_TRUNC_S_F64
  800     UINT64_C(176),	// I64_TRUNC_S_F64_S
  801     UINT64_C(64516),	// I64_TRUNC_S_SAT_F32
  802     UINT64_C(64516),	// I64_TRUNC_S_SAT_F32_S
  803     UINT64_C(64518),	// I64_TRUNC_S_SAT_F64
  804     UINT64_C(64518),	// I64_TRUNC_S_SAT_F64_S
  805     UINT64_C(175),	// I64_TRUNC_U_F32
  806     UINT64_C(175),	// I64_TRUNC_U_F32_S
  807     UINT64_C(177),	// I64_TRUNC_U_F64
  808     UINT64_C(177),	// I64_TRUNC_U_F64_S
  809     UINT64_C(64517),	// I64_TRUNC_U_SAT_F32
  810     UINT64_C(64517),	// I64_TRUNC_U_SAT_F32_S
  811     UINT64_C(64519),	// I64_TRUNC_U_SAT_F64
  812     UINT64_C(64519),	// I64_TRUNC_U_SAT_F64_S
  813     UINT64_C(4),	// IF
  814     UINT64_C(4),	// IF_S
  815     UINT64_C(95),	// LE_F32
  816     UINT64_C(95),	// LE_F32_S
  817     UINT64_C(101),	// LE_F64
  818     UINT64_C(101),	// LE_F64_S
  819     UINT64_C(76),	// LE_S_I32
  820     UINT64_C(76),	// LE_S_I32_S
  821     UINT64_C(87),	// LE_S_I64
  822     UINT64_C(87),	// LE_S_I64_S
  823     UINT64_C(64798),	// LE_S_v16i8
  824     UINT64_C(64798),	// LE_S_v16i8_S
  825     UINT64_C(64818),	// LE_S_v4i32
  826     UINT64_C(64818),	// LE_S_v4i32_S
  827     UINT64_C(64808),	// LE_S_v8i16
  828     UINT64_C(64808),	// LE_S_v8i16_S
  829     UINT64_C(77),	// LE_U_I32
  830     UINT64_C(77),	// LE_U_I32_S
  831     UINT64_C(88),	// LE_U_I64
  832     UINT64_C(88),	// LE_U_I64_S
  833     UINT64_C(64799),	// LE_U_v16i8
  834     UINT64_C(64799),	// LE_U_v16i8_S
  835     UINT64_C(64819),	// LE_U_v4i32
  836     UINT64_C(64819),	// LE_U_v4i32_S
  837     UINT64_C(64809),	// LE_U_v8i16
  838     UINT64_C(64809),	// LE_U_v8i16_S
  839     UINT64_C(64842),	// LE_v2f64
  840     UINT64_C(64842),	// LE_v2f64_S
  841     UINT64_C(64836),	// LE_v4f32
  842     UINT64_C(64836),	// LE_v4f32_S
  843     UINT64_C(46),	// LOAD16_S_I32
  844     UINT64_C(46),	// LOAD16_S_I32_S
  845     UINT64_C(50),	// LOAD16_S_I64
  846     UINT64_C(50),	// LOAD16_S_I64_S
  847     UINT64_C(47),	// LOAD16_U_I32
  848     UINT64_C(47),	// LOAD16_U_I32_S
  849     UINT64_C(51),	// LOAD16_U_I64
  850     UINT64_C(51),	// LOAD16_U_I64_S
  851     UINT64_C(52),	// LOAD32_S_I64
  852     UINT64_C(52),	// LOAD32_S_I64_S
  853     UINT64_C(53),	// LOAD32_U_I64
  854     UINT64_C(53),	// LOAD32_U_I64_S
  855     UINT64_C(44),	// LOAD8_S_I32
  856     UINT64_C(44),	// LOAD8_S_I32_S
  857     UINT64_C(48),	// LOAD8_S_I64
  858     UINT64_C(48),	// LOAD8_S_I64_S
  859     UINT64_C(45),	// LOAD8_U_I32
  860     UINT64_C(45),	// LOAD8_U_I32_S
  861     UINT64_C(49),	// LOAD8_U_I64
  862     UINT64_C(49),	// LOAD8_U_I64_S
  863     UINT64_C(64982),	// LOAD_EXTEND_S_v2i64
  864     UINT64_C(64982),	// LOAD_EXTEND_S_v2i64_S
  865     UINT64_C(64980),	// LOAD_EXTEND_S_v4i32
  866     UINT64_C(64980),	// LOAD_EXTEND_S_v4i32_S
  867     UINT64_C(64978),	// LOAD_EXTEND_S_v8i16
  868     UINT64_C(64978),	// LOAD_EXTEND_S_v8i16_S
  869     UINT64_C(64983),	// LOAD_EXTEND_U_v2i64
  870     UINT64_C(64983),	// LOAD_EXTEND_U_v2i64_S
  871     UINT64_C(64981),	// LOAD_EXTEND_U_v4i32
  872     UINT64_C(64981),	// LOAD_EXTEND_U_v4i32_S
  873     UINT64_C(64979),	// LOAD_EXTEND_U_v8i16
  874     UINT64_C(64979),	// LOAD_EXTEND_U_v8i16_S
  875     UINT64_C(42),	// LOAD_F32
  876     UINT64_C(42),	// LOAD_F32_S
  877     UINT64_C(43),	// LOAD_F64
  878     UINT64_C(43),	// LOAD_F64_S
  879     UINT64_C(40),	// LOAD_I32
  880     UINT64_C(40),	// LOAD_I32_S
  881     UINT64_C(41),	// LOAD_I64
  882     UINT64_C(41),	// LOAD_I64_S
  883     UINT64_C(64963),	// LOAD_SPLAT_v16x8
  884     UINT64_C(64963),	// LOAD_SPLAT_v16x8_S
  885     UINT64_C(64964),	// LOAD_SPLAT_v32x4
  886     UINT64_C(64964),	// LOAD_SPLAT_v32x4_S
  887     UINT64_C(64965),	// LOAD_SPLAT_v64x2
  888     UINT64_C(64965),	// LOAD_SPLAT_v64x2_S
  889     UINT64_C(64962),	// LOAD_SPLAT_v8x16
  890     UINT64_C(64962),	// LOAD_SPLAT_v8x16_S
  891     UINT64_C(64768),	// LOAD_V128
  892     UINT64_C(64768),	// LOAD_V128_S
  893     UINT64_C(32),	// LOCAL_GET_EXNREF
  894     UINT64_C(32),	// LOCAL_GET_EXNREF_S
  895     UINT64_C(32),	// LOCAL_GET_F32
  896     UINT64_C(32),	// LOCAL_GET_F32_S
  897     UINT64_C(32),	// LOCAL_GET_F64
  898     UINT64_C(32),	// LOCAL_GET_F64_S
  899     UINT64_C(32),	// LOCAL_GET_I32
  900     UINT64_C(32),	// LOCAL_GET_I32_S
  901     UINT64_C(32),	// LOCAL_GET_I64
  902     UINT64_C(32),	// LOCAL_GET_I64_S
  903     UINT64_C(32),	// LOCAL_GET_V128
  904     UINT64_C(32),	// LOCAL_GET_V128_S
  905     UINT64_C(33),	// LOCAL_SET_EXNREF
  906     UINT64_C(33),	// LOCAL_SET_EXNREF_S
  907     UINT64_C(33),	// LOCAL_SET_F32
  908     UINT64_C(33),	// LOCAL_SET_F32_S
  909     UINT64_C(33),	// LOCAL_SET_F64
  910     UINT64_C(33),	// LOCAL_SET_F64_S
  911     UINT64_C(33),	// LOCAL_SET_I32
  912     UINT64_C(33),	// LOCAL_SET_I32_S
  913     UINT64_C(33),	// LOCAL_SET_I64
  914     UINT64_C(33),	// LOCAL_SET_I64_S
  915     UINT64_C(33),	// LOCAL_SET_V128
  916     UINT64_C(33),	// LOCAL_SET_V128_S
  917     UINT64_C(34),	// LOCAL_TEE_EXNREF
  918     UINT64_C(34),	// LOCAL_TEE_EXNREF_S
  919     UINT64_C(34),	// LOCAL_TEE_F32
  920     UINT64_C(34),	// LOCAL_TEE_F32_S
  921     UINT64_C(34),	// LOCAL_TEE_F64
  922     UINT64_C(34),	// LOCAL_TEE_F64_S
  923     UINT64_C(34),	// LOCAL_TEE_I32
  924     UINT64_C(34),	// LOCAL_TEE_I32_S
  925     UINT64_C(34),	// LOCAL_TEE_I64
  926     UINT64_C(34),	// LOCAL_TEE_I64_S
  927     UINT64_C(34),	// LOCAL_TEE_V128
  928     UINT64_C(34),	// LOCAL_TEE_V128_S
  929     UINT64_C(3),	// LOOP
  930     UINT64_C(3),	// LOOP_S
  931     UINT64_C(93),	// LT_F32
  932     UINT64_C(93),	// LT_F32_S
  933     UINT64_C(99),	// LT_F64
  934     UINT64_C(99),	// LT_F64_S
  935     UINT64_C(72),	// LT_S_I32
  936     UINT64_C(72),	// LT_S_I32_S
  937     UINT64_C(83),	// LT_S_I64
  938     UINT64_C(83),	// LT_S_I64_S
  939     UINT64_C(64794),	// LT_S_v16i8
  940     UINT64_C(64794),	// LT_S_v16i8_S
  941     UINT64_C(64814),	// LT_S_v4i32
  942     UINT64_C(64814),	// LT_S_v4i32_S
  943     UINT64_C(64804),	// LT_S_v8i16
  944     UINT64_C(64804),	// LT_S_v8i16_S
  945     UINT64_C(73),	// LT_U_I32
  946     UINT64_C(73),	// LT_U_I32_S
  947     UINT64_C(84),	// LT_U_I64
  948     UINT64_C(84),	// LT_U_I64_S
  949     UINT64_C(64795),	// LT_U_v16i8
  950     UINT64_C(64795),	// LT_U_v16i8_S
  951     UINT64_C(64815),	// LT_U_v4i32
  952     UINT64_C(64815),	// LT_U_v4i32_S
  953     UINT64_C(64805),	// LT_U_v8i16
  954     UINT64_C(64805),	// LT_U_v8i16_S
  955     UINT64_C(64840),	// LT_v2f64
  956     UINT64_C(64840),	// LT_v2f64_S
  957     UINT64_C(64834),	// LT_v4f32
  958     UINT64_C(64834),	// LT_v4f32_S
  959     UINT64_C(151),	// MAX_F32
  960     UINT64_C(151),	// MAX_F32_S
  961     UINT64_C(165),	// MAX_F64
  962     UINT64_C(165),	// MAX_F64_S
  963     UINT64_C(64938),	// MAX_v2f64
  964     UINT64_C(64938),	// MAX_v2f64_S
  965     UINT64_C(64927),	// MAX_v4f32
  966     UINT64_C(64927),	// MAX_v4f32_S
  967     UINT64_C(64522),	// MEMORY_COPY
  968     UINT64_C(64522),	// MEMORY_COPY_S
  969     UINT64_C(64523),	// MEMORY_FILL
  970     UINT64_C(64523),	// MEMORY_FILL_S
  971     UINT64_C(64),	// MEMORY_GROW_I32
  972     UINT64_C(64),	// MEMORY_GROW_I32_S
  973     UINT64_C(64520),	// MEMORY_INIT
  974     UINT64_C(64520),	// MEMORY_INIT_S
  975     UINT64_C(63),	// MEMORY_SIZE_I32
  976     UINT64_C(63),	// MEMORY_SIZE_I32_S
  977     UINT64_C(150),	// MIN_F32
  978     UINT64_C(150),	// MIN_F32_S
  979     UINT64_C(164),	// MIN_F64
  980     UINT64_C(164),	// MIN_F64_S
  981     UINT64_C(64937),	// MIN_v2f64
  982     UINT64_C(64937),	// MIN_v2f64_S
  983     UINT64_C(64926),	// MIN_v4f32
  984     UINT64_C(64926),	// MIN_v4f32_S
  985     UINT64_C(148),	// MUL_F32
  986     UINT64_C(148),	// MUL_F32_S
  987     UINT64_C(162),	// MUL_F64
  988     UINT64_C(162),	// MUL_F64_S
  989     UINT64_C(108),	// MUL_I32
  990     UINT64_C(108),	// MUL_I32_S
  991     UINT64_C(126),	// MUL_I64
  992     UINT64_C(126),	// MUL_I64_S
  993     UINT64_C(64861),	// MUL_v16i8
  994     UINT64_C(64861),	// MUL_v16i8_S
  995     UINT64_C(64935),	// MUL_v2f64
  996     UINT64_C(64935),	// MUL_v2f64_S
  997     UINT64_C(64924),	// MUL_v4f32
  998     UINT64_C(64924),	// MUL_v4f32_S
  999     UINT64_C(64895),	// MUL_v4i32
 1000     UINT64_C(64895),	// MUL_v4i32_S
 1001     UINT64_C(64878),	// MUL_v8i16
 1002     UINT64_C(64878),	// MUL_v8i16_S
 1003     UINT64_C(64966),	// NARROW_S_v16i8
 1004     UINT64_C(64966),	// NARROW_S_v16i8_S
 1005     UINT64_C(64968),	// NARROW_S_v8i16
 1006     UINT64_C(64968),	// NARROW_S_v8i16_S
 1007     UINT64_C(64967),	// NARROW_U_v16i8
 1008     UINT64_C(64967),	// NARROW_U_v16i8_S
 1009     UINT64_C(64969),	// NARROW_U_v8i16
 1010     UINT64_C(64969),	// NARROW_U_v8i16_S
 1011     UINT64_C(144),	// NEAREST_F32
 1012     UINT64_C(144),	// NEAREST_F32_S
 1013     UINT64_C(158),	// NEAREST_F64
 1014     UINT64_C(158),	// NEAREST_F64_S
 1015     UINT64_C(140),	// NEG_F32
 1016     UINT64_C(140),	// NEG_F32_S
 1017     UINT64_C(154),	// NEG_F64
 1018     UINT64_C(154),	// NEG_F64_S
 1019     UINT64_C(64849),	// NEG_v16i8
 1020     UINT64_C(64849),	// NEG_v16i8_S
 1021     UINT64_C(64929),	// NEG_v2f64
 1022     UINT64_C(64929),	// NEG_v2f64_S
 1023     UINT64_C(64900),	// NEG_v2i64
 1024     UINT64_C(64900),	// NEG_v2i64_S
 1025     UINT64_C(64918),	// NEG_v4f32
 1026     UINT64_C(64918),	// NEG_v4f32_S
 1027     UINT64_C(64883),	// NEG_v4i32
 1028     UINT64_C(64883),	// NEG_v4i32_S
 1029     UINT64_C(64866),	// NEG_v8i16
 1030     UINT64_C(64866),	// NEG_v8i16_S
 1031     UINT64_C(92),	// NE_F32
 1032     UINT64_C(92),	// NE_F32_S
 1033     UINT64_C(98),	// NE_F64
 1034     UINT64_C(98),	// NE_F64_S
 1035     UINT64_C(71),	// NE_I32
 1036     UINT64_C(71),	// NE_I32_S
 1037     UINT64_C(82),	// NE_I64
 1038     UINT64_C(82),	// NE_I64_S
 1039     UINT64_C(64793),	// NE_v16i8
 1040     UINT64_C(64793),	// NE_v16i8_S
 1041     UINT64_C(64839),	// NE_v2f64
 1042     UINT64_C(64839),	// NE_v2f64_S
 1043     UINT64_C(64833),	// NE_v4f32
 1044     UINT64_C(64833),	// NE_v4f32_S
 1045     UINT64_C(64813),	// NE_v4i32
 1046     UINT64_C(64813),	// NE_v4i32_S
 1047     UINT64_C(64803),	// NE_v8i16
 1048     UINT64_C(64803),	// NE_v8i16_S
 1049     UINT64_C(1),	// NOP
 1050     UINT64_C(1),	// NOP_S
 1051     UINT64_C(64844),	// NOT_v16i8
 1052     UINT64_C(64844),	// NOT_v16i8_S
 1053     UINT64_C(64844),	// NOT_v2i64
 1054     UINT64_C(64844),	// NOT_v2i64_S
 1055     UINT64_C(64844),	// NOT_v4i32
 1056     UINT64_C(64844),	// NOT_v4i32_S
 1057     UINT64_C(64844),	// NOT_v8i16
 1058     UINT64_C(64844),	// NOT_v8i16_S
 1059     UINT64_C(114),	// OR_I32
 1060     UINT64_C(114),	// OR_I32_S
 1061     UINT64_C(132),	// OR_I64
 1062     UINT64_C(132),	// OR_I64_S
 1063     UINT64_C(64846),	// OR_v16i8
 1064     UINT64_C(64846),	// OR_v16i8_S
 1065     UINT64_C(64846),	// OR_v2i64
 1066     UINT64_C(64846),	// OR_v2i64_S
 1067     UINT64_C(64846),	// OR_v4i32
 1068     UINT64_C(64846),	// OR_v4i32_S
 1069     UINT64_C(64846),	// OR_v8i16
 1070     UINT64_C(64846),	// OR_v8i16_S
 1071     UINT64_C(4294967295),	// PCALL_INDIRECT_VOID
 1072     UINT64_C(4294967295),	// PCALL_INDIRECT_VOID_S
 1073     UINT64_C(4294967295),	// PCALL_INDIRECT_exnref
 1074     UINT64_C(4294967295),	// PCALL_INDIRECT_exnref_S
 1075     UINT64_C(4294967295),	// PCALL_INDIRECT_f32
 1076     UINT64_C(4294967295),	// PCALL_INDIRECT_f32_S
 1077     UINT64_C(4294967295),	// PCALL_INDIRECT_f64
 1078     UINT64_C(4294967295),	// PCALL_INDIRECT_f64_S
 1079     UINT64_C(4294967295),	// PCALL_INDIRECT_i32
 1080     UINT64_C(4294967295),	// PCALL_INDIRECT_i32_S
 1081     UINT64_C(4294967295),	// PCALL_INDIRECT_i64
 1082     UINT64_C(4294967295),	// PCALL_INDIRECT_i64_S
 1083     UINT64_C(4294967295),	// PCALL_INDIRECT_v16i8
 1084     UINT64_C(4294967295),	// PCALL_INDIRECT_v16i8_S
 1085     UINT64_C(4294967295),	// PCALL_INDIRECT_v2f64
 1086     UINT64_C(4294967295),	// PCALL_INDIRECT_v2f64_S
 1087     UINT64_C(4294967295),	// PCALL_INDIRECT_v2i64
 1088     UINT64_C(4294967295),	// PCALL_INDIRECT_v2i64_S
 1089     UINT64_C(4294967295),	// PCALL_INDIRECT_v4f32
 1090     UINT64_C(4294967295),	// PCALL_INDIRECT_v4f32_S
 1091     UINT64_C(4294967295),	// PCALL_INDIRECT_v4i32
 1092     UINT64_C(4294967295),	// PCALL_INDIRECT_v4i32_S
 1093     UINT64_C(4294967295),	// PCALL_INDIRECT_v8i16
 1094     UINT64_C(4294967295),	// PCALL_INDIRECT_v8i16_S
 1095     UINT64_C(105),	// POPCNT_I32
 1096     UINT64_C(105),	// POPCNT_I32_S
 1097     UINT64_C(123),	// POPCNT_I64
 1098     UINT64_C(123),	// POPCNT_I64_S
 1099     UINT64_C(4294967295),	// PRET_CALL_INDIRECT
 1100     UINT64_C(4294967295),	// PRET_CALL_INDIRECT_S
 1101     UINT64_C(64931),	// QFMA_v2f64
 1102     UINT64_C(64931),	// QFMA_v2f64_S
 1103     UINT64_C(64920),	// QFMA_v4f32
 1104     UINT64_C(64920),	// QFMA_v4f32_S
 1105     UINT64_C(64932),	// QFMS_v2f64
 1106     UINT64_C(64932),	// QFMS_v2f64_S
 1107     UINT64_C(64921),	// QFMS_v4f32
 1108     UINT64_C(64921),	// QFMS_v4f32_S
 1109     UINT64_C(111),	// REM_S_I32
 1110     UINT64_C(111),	// REM_S_I32_S
 1111     UINT64_C(129),	// REM_S_I64
 1112     UINT64_C(129),	// REM_S_I64_S
 1113     UINT64_C(112),	// REM_U_I32
 1114     UINT64_C(112),	// REM_U_I32_S
 1115     UINT64_C(130),	// REM_U_I64
 1116     UINT64_C(130),	// REM_U_I64_S
 1117     UINT64_C(64775),	// REPLACE_LANE_v16i8
 1118     UINT64_C(64775),	// REPLACE_LANE_v16i8_S
 1119     UINT64_C(64791),	// REPLACE_LANE_v2f64
 1120     UINT64_C(64791),	// REPLACE_LANE_v2f64_S
 1121     UINT64_C(64785),	// REPLACE_LANE_v2i64
 1122     UINT64_C(64785),	// REPLACE_LANE_v2i64_S
 1123     UINT64_C(64788),	// REPLACE_LANE_v4f32
 1124     UINT64_C(64788),	// REPLACE_LANE_v4f32_S
 1125     UINT64_C(64782),	// REPLACE_LANE_v4i32
 1126     UINT64_C(64782),	// REPLACE_LANE_v4i32_S
 1127     UINT64_C(64779),	// REPLACE_LANE_v8i16
 1128     UINT64_C(64779),	// REPLACE_LANE_v8i16_S
 1129     UINT64_C(9),	// RETHROW
 1130     UINT64_C(9),	// RETHROW_S
 1131     UINT64_C(15),	// RETURN
 1132     UINT64_C(15),	// RETURN_S
 1133     UINT64_C(18),	// RET_CALL
 1134     UINT64_C(19),	// RET_CALL_INDIRECT
 1135     UINT64_C(19),	// RET_CALL_INDIRECT_S
 1136     UINT64_C(18),	// RET_CALL_S
 1137     UINT64_C(119),	// ROTL_I32
 1138     UINT64_C(119),	// ROTL_I32_S
 1139     UINT64_C(137),	// ROTL_I64
 1140     UINT64_C(137),	// ROTL_I64_S
 1141     UINT64_C(120),	// ROTR_I32
 1142     UINT64_C(120),	// ROTR_I32_S
 1143     UINT64_C(138),	// ROTR_I64
 1144     UINT64_C(138),	// ROTR_I64_S
 1145     UINT64_C(27),	// SELECT_EXNREF
 1146     UINT64_C(27),	// SELECT_EXNREF_S
 1147     UINT64_C(27),	// SELECT_F32
 1148     UINT64_C(27),	// SELECT_F32_S
 1149     UINT64_C(27),	// SELECT_F64
 1150     UINT64_C(27),	// SELECT_F64_S
 1151     UINT64_C(27),	// SELECT_I32
 1152     UINT64_C(27),	// SELECT_I32_S
 1153     UINT64_C(27),	// SELECT_I64
 1154     UINT64_C(27),	// SELECT_I64_S
 1155     UINT64_C(116),	// SHL_I32
 1156     UINT64_C(116),	// SHL_I32_S
 1157     UINT64_C(134),	// SHL_I64
 1158     UINT64_C(134),	// SHL_I64_S
 1159     UINT64_C(64852),	// SHL_v16i8
 1160     UINT64_C(64852),	// SHL_v16i8_S
 1161     UINT64_C(64903),	// SHL_v2i64
 1162     UINT64_C(64903),	// SHL_v2i64_S
 1163     UINT64_C(64886),	// SHL_v4i32
 1164     UINT64_C(64886),	// SHL_v4i32_S
 1165     UINT64_C(64869),	// SHL_v8i16
 1166     UINT64_C(64869),	// SHL_v8i16_S
 1167     UINT64_C(117),	// SHR_S_I32
 1168     UINT64_C(117),	// SHR_S_I32_S
 1169     UINT64_C(135),	// SHR_S_I64
 1170     UINT64_C(135),	// SHR_S_I64_S
 1171     UINT64_C(64853),	// SHR_S_v16i8
 1172     UINT64_C(64853),	// SHR_S_v16i8_S
 1173     UINT64_C(64904),	// SHR_S_v2i64
 1174     UINT64_C(64904),	// SHR_S_v2i64_S
 1175     UINT64_C(64887),	// SHR_S_v4i32
 1176     UINT64_C(64887),	// SHR_S_v4i32_S
 1177     UINT64_C(64870),	// SHR_S_v8i16
 1178     UINT64_C(64870),	// SHR_S_v8i16_S
 1179     UINT64_C(118),	// SHR_U_I32
 1180     UINT64_C(118),	// SHR_U_I32_S
 1181     UINT64_C(136),	// SHR_U_I64
 1182     UINT64_C(136),	// SHR_U_I64_S
 1183     UINT64_C(64854),	// SHR_U_v16i8
 1184     UINT64_C(64854),	// SHR_U_v16i8_S
 1185     UINT64_C(64905),	// SHR_U_v2i64
 1186     UINT64_C(64905),	// SHR_U_v2i64_S
 1187     UINT64_C(64888),	// SHR_U_v4i32
 1188     UINT64_C(64888),	// SHR_U_v4i32_S
 1189     UINT64_C(64871),	// SHR_U_v8i16
 1190     UINT64_C(64871),	// SHR_U_v8i16_S
 1191     UINT64_C(64771),	// SHUFFLE
 1192     UINT64_C(64771),	// SHUFFLE_S
 1193     UINT64_C(64772),	// SPLAT_v16i8
 1194     UINT64_C(64772),	// SPLAT_v16i8_S
 1195     UINT64_C(64789),	// SPLAT_v2f64
 1196     UINT64_C(64789),	// SPLAT_v2f64_S
 1197     UINT64_C(64783),	// SPLAT_v2i64
 1198     UINT64_C(64783),	// SPLAT_v2i64_S
 1199     UINT64_C(64786),	// SPLAT_v4f32
 1200     UINT64_C(64786),	// SPLAT_v4f32_S
 1201     UINT64_C(64780),	// SPLAT_v4i32
 1202     UINT64_C(64780),	// SPLAT_v4i32_S
 1203     UINT64_C(64776),	// SPLAT_v8i16
 1204     UINT64_C(64776),	// SPLAT_v8i16_S
 1205     UINT64_C(145),	// SQRT_F32
 1206     UINT64_C(145),	// SQRT_F32_S
 1207     UINT64_C(159),	// SQRT_F64
 1208     UINT64_C(159),	// SQRT_F64_S
 1209     UINT64_C(64930),	// SQRT_v2f64
 1210     UINT64_C(64930),	// SQRT_v2f64_S
 1211     UINT64_C(64919),	// SQRT_v4f32
 1212     UINT64_C(64919),	// SQRT_v4f32_S
 1213     UINT64_C(59),	// STORE16_I32
 1214     UINT64_C(59),	// STORE16_I32_S
 1215     UINT64_C(61),	// STORE16_I64
 1216     UINT64_C(61),	// STORE16_I64_S
 1217     UINT64_C(62),	// STORE32_I64
 1218     UINT64_C(62),	// STORE32_I64_S
 1219     UINT64_C(58),	// STORE8_I32
 1220     UINT64_C(58),	// STORE8_I32_S
 1221     UINT64_C(60),	// STORE8_I64
 1222     UINT64_C(60),	// STORE8_I64_S
 1223     UINT64_C(56),	// STORE_F32
 1224     UINT64_C(56),	// STORE_F32_S
 1225     UINT64_C(57),	// STORE_F64
 1226     UINT64_C(57),	// STORE_F64_S
 1227     UINT64_C(54),	// STORE_I32
 1228     UINT64_C(54),	// STORE_I32_S
 1229     UINT64_C(55),	// STORE_I64
 1230     UINT64_C(55),	// STORE_I64_S
 1231     UINT64_C(64769),	// STORE_V128
 1232     UINT64_C(64769),	// STORE_V128_S
 1233     UINT64_C(147),	// SUB_F32
 1234     UINT64_C(147),	// SUB_F32_S
 1235     UINT64_C(161),	// SUB_F64
 1236     UINT64_C(161),	// SUB_F64_S
 1237     UINT64_C(107),	// SUB_I32
 1238     UINT64_C(107),	// SUB_I32_S
 1239     UINT64_C(125),	// SUB_I64
 1240     UINT64_C(125),	// SUB_I64_S
 1241     UINT64_C(64859),	// SUB_SAT_S_v16i8
 1242     UINT64_C(64859),	// SUB_SAT_S_v16i8_S
 1243     UINT64_C(64876),	// SUB_SAT_S_v8i16
 1244     UINT64_C(64876),	// SUB_SAT_S_v8i16_S
 1245     UINT64_C(64860),	// SUB_SAT_U_v16i8
 1246     UINT64_C(64860),	// SUB_SAT_U_v16i8_S
 1247     UINT64_C(64877),	// SUB_SAT_U_v8i16
 1248     UINT64_C(64877),	// SUB_SAT_U_v8i16_S
 1249     UINT64_C(64858),	// SUB_v16i8
 1250     UINT64_C(64858),	// SUB_v16i8_S
 1251     UINT64_C(64934),	// SUB_v2f64
 1252     UINT64_C(64934),	// SUB_v2f64_S
 1253     UINT64_C(64909),	// SUB_v2i64
 1254     UINT64_C(64909),	// SUB_v2i64_S
 1255     UINT64_C(64923),	// SUB_v4f32
 1256     UINT64_C(64923),	// SUB_v4f32_S
 1257     UINT64_C(64892),	// SUB_v4i32
 1258     UINT64_C(64892),	// SUB_v4i32_S
 1259     UINT64_C(64875),	// SUB_v8i16
 1260     UINT64_C(64875),	// SUB_v8i16_S
 1261     UINT64_C(64960),	// SWIZZLE
 1262     UINT64_C(64960),	// SWIZZLE_S
 1263     UINT64_C(4294967295),	// TEE_EXNREF
 1264     UINT64_C(4294967295),	// TEE_EXNREF_S
 1265     UINT64_C(4294967295),	// TEE_F32
 1266     UINT64_C(4294967295),	// TEE_F32_S
 1267     UINT64_C(4294967295),	// TEE_F64
 1268     UINT64_C(4294967295),	// TEE_F64_S
 1269     UINT64_C(4294967295),	// TEE_I32
 1270     UINT64_C(4294967295),	// TEE_I32_S
 1271     UINT64_C(4294967295),	// TEE_I64
 1272     UINT64_C(4294967295),	// TEE_I64_S
 1273     UINT64_C(4294967295),	// TEE_V128
 1274     UINT64_C(4294967295),	// TEE_V128_S
 1275     UINT64_C(8),	// THROW
 1276     UINT64_C(8),	// THROW_S
 1277     UINT64_C(143),	// TRUNC_F32
 1278     UINT64_C(143),	// TRUNC_F32_S
 1279     UINT64_C(157),	// TRUNC_F64
 1280     UINT64_C(157),	// TRUNC_F64_S
 1281     UINT64_C(6),	// TRY
 1282     UINT64_C(6),	// TRY_S
 1283     UINT64_C(0),	// UNREACHABLE
 1284     UINT64_C(0),	// UNREACHABLE_S
 1285     UINT64_C(115),	// XOR_I32
 1286     UINT64_C(115),	// XOR_I32_S
 1287     UINT64_C(133),	// XOR_I64
 1288     UINT64_C(133),	// XOR_I64_S
 1289     UINT64_C(64847),	// XOR_v16i8
 1290     UINT64_C(64847),	// XOR_v16i8_S
 1291     UINT64_C(64847),	// XOR_v2i64
 1292     UINT64_C(64847),	// XOR_v2i64_S
 1293     UINT64_C(64847),	// XOR_v4i32
 1294     UINT64_C(64847),	// XOR_v4i32_S
 1295     UINT64_C(64847),	// XOR_v8i16
 1296     UINT64_C(64847),	// XOR_v8i16_S
 1297     UINT64_C(64941),	// fp_to_sint_v2i64_v2f64
 1298     UINT64_C(64941),	// fp_to_sint_v2i64_v2f64_S
 1299     UINT64_C(64939),	// fp_to_sint_v4i32_v4f32
 1300     UINT64_C(64939),	// fp_to_sint_v4i32_v4f32_S
 1301     UINT64_C(64942),	// fp_to_uint_v2i64_v2f64
 1302     UINT64_C(64942),	// fp_to_uint_v2i64_v2f64_S
 1303     UINT64_C(64940),	// fp_to_uint_v4i32_v4f32
 1304     UINT64_C(64940),	// fp_to_uint_v4i32_v4f32_S
 1305     UINT64_C(64975),	// int_wasm_widen_high_signed_v4i32_v8i16
 1306     UINT64_C(64975),	// int_wasm_widen_high_signed_v4i32_v8i16_S
 1307     UINT64_C(64971),	// int_wasm_widen_high_signed_v8i16_v16i8
 1308     UINT64_C(64971),	// int_wasm_widen_high_signed_v8i16_v16i8_S
 1309     UINT64_C(64977),	// int_wasm_widen_high_unsigned_v4i32_v8i16
 1310     UINT64_C(64977),	// int_wasm_widen_high_unsigned_v4i32_v8i16_S
 1311     UINT64_C(64973),	// int_wasm_widen_high_unsigned_v8i16_v16i8
 1312     UINT64_C(64973),	// int_wasm_widen_high_unsigned_v8i16_v16i8_S
 1313     UINT64_C(64974),	// int_wasm_widen_low_signed_v4i32_v8i16
 1314     UINT64_C(64974),	// int_wasm_widen_low_signed_v4i32_v8i16_S
 1315     UINT64_C(64970),	// int_wasm_widen_low_signed_v8i16_v16i8
 1316     UINT64_C(64970),	// int_wasm_widen_low_signed_v8i16_v16i8_S
 1317     UINT64_C(64976),	// int_wasm_widen_low_unsigned_v4i32_v8i16
 1318     UINT64_C(64976),	// int_wasm_widen_low_unsigned_v4i32_v8i16_S
 1319     UINT64_C(64972),	// int_wasm_widen_low_unsigned_v8i16_v16i8
 1320     UINT64_C(64972),	// int_wasm_widen_low_unsigned_v8i16_v16i8_S
 1321     UINT64_C(64945),	// sint_to_fp_v2f64_v2i64
 1322     UINT64_C(64945),	// sint_to_fp_v2f64_v2i64_S
 1323     UINT64_C(64943),	// sint_to_fp_v4f32_v4i32
 1324     UINT64_C(64943),	// sint_to_fp_v4f32_v4i32_S
 1325     UINT64_C(64946),	// uint_to_fp_v2f64_v2i64
 1326     UINT64_C(64946),	// uint_to_fp_v2f64_v2i64_S
 1327     UINT64_C(64944),	// uint_to_fp_v4f32_v4i32
 1328     UINT64_C(64944),	// uint_to_fp_v4f32_v4i32_S
 1329     UINT64_C(0)
include/llvm/Analysis/MemoryLocation.h
  179   enum : uint64_t { UnknownSize = ~UINT64_C(0) };
include/llvm/MC/MCCodePadder.h
  144       : KindMask(UINT64_C(1) << Kind), WindowSize(WindowSize),
include/llvm/MC/MCFragment.h
  370   static const uint64_t PFK_None = UINT64_C(0);
  383         IsInsertionPoint(false), Size(UINT64_C(0)),
include/llvm/Support/MathExtras.h
  355   return isInt<N + S>(x) && (x % (UINT64_C(1) << S) == 0);
  370   return X < (UINT64_C(1) << (N));
  398   return isUInt<N + S>(x) && (x % (UINT64_C(1) << S) == 0);
  416   return -(UINT64_C(1)<<(N-1));
  425   return (UINT64_C(1) << (N - 1)) - 1;
include/llvm/Support/ScaledNumber.h
   90                              Digits & (UINT64_C(1) << (Shift - 1)));
  199   if (Digits == UINT64_C(1) << LocalFloor)
  204   bool Round = Digits & UINT64_C(1) << (LocalFloor - 1);
  435     uint64_t Unsigned = N == INT64_MIN ? UINT64_C(1) << 63 : uint64_t(-N);
lib/Analysis/BlockFrequencyInfoImpl.cpp
  211   return (N >> Shift) + (UINT64_C(1) & N >> (Shift - 1));
  244     assert(Total == std::accumulate(Weights.begin(), Weights.end(), UINT64_C(0),
  261     W.Amount = std::max(UINT64_C(1), shiftRightAndRound(W.Amount, Shift));
  488     BFI.Freqs[Index].Integer = std::max(UINT64_C(1), Scaled.toInt<uint64_t>());
lib/CodeGen/SelectionDAG/FastISel.cpp
 1738       UINT64_C(1) << (VT.getSizeInBits() - 1), IntVT.getSimpleVT());
lib/CodeGen/SelectionDAG/TargetLowering.cpp
 6098     SDValue TwoP52 = DAG.getConstant(UINT64_C(0x4330000000000000), dl, SrcVT);
 6100         BitsToDouble(UINT64_C(0x4530000000100000)), dl, DstVT);
 6101     SDValue TwoP84 = DAG.getConstant(UINT64_C(0x4530000000000000), dl, SrcVT);
 6102     SDValue LoMask = DAG.getConstant(UINT64_C(0x00000000FFFFFFFF), dl, SrcVT);
lib/CodeGen/SpillPlacement.cpp
  258   Threshold = std::max(UINT64_C(1), Scaled);
lib/DebugInfo/Symbolize/SymbolizableObjectFile.cpp
  228   std::pair<SymbolDesc, StringRef> SD{{Address, UINT64_C(-1)}, StringRef()};
lib/LTO/SummaryBasedOptimizations.cpp
   59       return UINT64_C(0);
lib/MC/MCCodePadder.cpp
  194   uint64_t MaxFragmentSize = UINT64_C(0);
  214   if (MaxWindowSize == UINT64_C(0))
  223   uint64_t OptimalSize = UINT64_C(0);
  226   for (uint64_t Size = UINT64_C(0); Size <= MaxFragmentSize; ++Size) {
  237     for (uint64_t Offset = UINT64_C(0); Offset < MaxWindowSize;
  281     InstByte += Fragment->getInstSize() - UINT64_C(1);
  290   return alignTo(InstByte + UINT64_C(1) + Offset, WindowSize) - Offset;
lib/MC/MCFragment.cpp
   79   assert(F->Offset != ~UINT64_C(0) && "Address not set!");
  240       Parent(Parent), Atom(nullptr), Offset(~UINT64_C(0)) {
lib/Support/ScaledNumber.cpp
   52                     Shift && (Lower & UINT64_C(1) << (Shift - 1)));
  253   uint64_t Error = UINT64_C(1) << (64 - Width);
lib/Target/AMDGPU/AMDGPUAsmPrinter.cpp
  871           CalleeFrameSize = std::max(CalleeFrameSize, UINT64_C(16384));
lib/Target/AMDGPU/AMDGPUCodeGenPrepare.cpp
  732     ConstantInt *TruncMask = Builder.getInt32((UINT64_C(1) << DivBits) - 1);
lib/Target/AMDGPU/AMDGPUISelLowering.cpp
 1624     SDValue TruncMask = DAG.getConstant((UINT64_C(1) << DivBits) - 1, DL, VT);
 2102     = DAG.getConstant((UINT64_C(1) << FractBits) - 1, SL, MVT::i64);
 2559   SDValue K0 = DAG.getConstantFP(BitsToDouble(UINT64_C(0x3df0000000000000)), SL,
 2561   SDValue K1 = DAG.getConstantFP(BitsToDouble(UINT64_C(0xc1f0000000000000)), SL,
lib/Target/AMDGPU/AMDGPUInstructionSelector.cpp
 1614   const uint64_t Mask = ~((UINT64_C(1) << Align) - 1);
lib/Target/AMDGPU/AMDGPULegalizerInfo.cpp
 1414   const auto FractMask = B.buildConstant(S64, (UINT64_C(1) << FractBits) - 1);
lib/Target/AMDGPU/R600InstrInfo.h
   27  REGISTER_STORE = UINT64_C(1) << 62,
   28  REGISTER_LOAD = UINT64_C(1) << 63
lib/Target/AMDGPU/SIDefines.h
   58   VM_CNT = UINT64_C(1) << 32,
   59   EXP_CNT = UINT64_C(1) << 33,
   60   LGKM_CNT = UINT64_C(1) << 34,
   62   WQM = UINT64_C(1) << 35,
   63   DisableWQM = UINT64_C(1) << 36,
   64   Gather4 = UINT64_C(1) << 37,
   65   SOPK_ZEXT = UINT64_C(1) << 38,
   66   SCALAR_STORE = UINT64_C(1) << 39,
   67   FIXED_SIZE = UINT64_C(1) << 40,
   68   VOPAsmPrefer32Bit = UINT64_C(1) << 41,
   69   VOP3_OPSEL = UINT64_C(1) << 42,
   70   maybeAtomic = UINT64_C(1) << 43,
   71   renamedInGFX9 = UINT64_C(1) << 44,
   74   FPClamp = UINT64_C(1) << 45,
   77   IntClamp = UINT64_C(1) << 46,
   80   ClampLo = UINT64_C(1) << 47,
   84   ClampHi = UINT64_C(1) << 48,
   87   IsPacked = UINT64_C(1) << 49,
   90   D16Buf = UINT64_C(1) << 50,
   93   IsNonFlatSeg = UINT64_C(1) << 51,
   96   FPDPRounding = UINT64_C(1) << 52,
   99   FPAtomic = UINT64_C(1) << 53,
  102   IsMAI = UINT64_C(1) << 54,
  105   IsDOT = UINT64_C(1) << 55
lib/Target/AMDGPU/SIISelLowering.cpp
10517                                   RsrcDword2And3 & UINT64_C(0xFFFFFFFF));
lib/Target/AMDGPU/SIInstrInfo.h
 1128   const uint64_t RSRC_TID_ENABLE = UINT64_C(1) << (32 + 23);
lib/Target/AMDGPU/SIMachineFunctionInfo.h
  289                        UINT64_C(0));
lib/Target/AMDGPU/Utils/AMDKernelCodeTUtils.cpp
  150   const uint64_t Mask = ((UINT64_C(1)  << width) - 1) << shift;
lib/Target/Mips/MipsLegalizerInfo.cpp
  280         s64, BitsToDouble(UINT64_C(0x4330000000000000)));
lib/Target/PowerPC/PPCISelDAGToDAG.cpp
  875     uint64_t OnesMask = -(int64_t) (UINT64_C(1) << (LS+1));
 1008     uint64_t OnesMask = -(int64_t) (UINT64_C(1) << (LS+1));
 1711       Mask |= (UINT64_C(1) << i);
 2104             Mask |= (UINT64_C(1) << i);
 2107             Mask |= (UINT64_C(1) << i);
 2109             Mask |= (UINT64_C(1) << i);
 5279       uint64_t Mask = UINT64_C(0xFF) << (8*b);
 5324         if (ULim != (UINT64_C(1) << b*8))
 5349       if (Op.getConstantOperandVal(1) != (UINT64_C(0xFF) << (8*b)))
lib/Target/WebAssembly/WebAssemblySetP2AlignOperands.cpp
   63              (UINT64_C(1) << WebAssembly::GetDefaultP2Align(MI.getOpcode())) &&
lib/Transforms/InstCombine/InstCombineCasts.cpp
   50         Scale = UINT64_C(1) << RHS->getZExtValue();
unittests/ADT/APFloatTest.cpp
 3032   const APInt Payload(64, (UINT64_C(1) << 50) |
 3033                       (UINT64_C(1) << 49) |
 3034                       (UINT64_C(1234) << 32) |
 3197   const APInt Payload(64, (UINT64_C(1) << 50) |
 3198                       (UINT64_C(1) << 49) |
 3199                       (UINT64_C(1234) << 32) |
unittests/ADT/APSIntTest.cpp
   51   EXPECT_EQ(UINT64_C(0) - 7, APSInt::get(-7).getZExtValue());
   62   EXPECT_EQ(UINT64_C(0) - 7, APSInt::getUnsigned(-7).getZExtValue());
unittests/Analysis/BlockFrequencyInfoTest.cpp
   78   EXPECT_EQ(BFI.getBlockProfileCount(&BB0).getValue(), UINT64_C(100));
   79   EXPECT_EQ(BFI.getBlockProfileCount(BB3).getValue(), UINT64_C(100));
unittests/CodeGen/GlobalISel/LegalizerInfoTest.cpp
  390     const uint64_t MaxAlignment = UINT64_C(1) << 29;
unittests/Support/BranchProbabilityTest.cpp
  186   auto Two63 = UINT64_C(1) << 63;
  187   auto Two31 = UINT64_C(1) << 31;
  198   EXPECT_EQ(UINT64_C(9223372045444710399),
  219   auto Two63 = UINT64_C(1) << 63;
  220   auto Two31 = UINT64_C(1) << 31;
  235   EXPECT_EQ(UINT64_C(18446744060824649767),
  237                 .scaleByInverse(UINT64_C(9223372047592194056)));
  238   EXPECT_EQ(UINT64_C(18446744060824649739),
unittests/Support/ScaledNumberTest.cpp
   52   EXPECT_EQ(getRounded64(UINT32_MAX, 0, true), SP64(UINT64_C(1) << 32, 0));
   54   EXPECT_EQ(getRounded64(UINT64_MAX, 0, true), SP64(UINT64_C(1) << 63, 1));
  123   EXPECT_EQ(SP64(UINT64_C(1) << 63, 64),
  124             getProduct64(UINT64_C(10376293541461622786),
  125                          UINT64_C(16397105843297379211)));
  128   EXPECT_EQ(SP64(UINT64_C(9223372036854775810), 64),
  129             getProduct64(UINT64_C(18446744073709551556),
  130                          UINT64_C(9223372036854775840)));
  184   EXPECT_EQ(SP64(UINT64_C(3) << 60, -60), getQuotient64(9, 3));
  185   EXPECT_EQ(SP64(UINT64_C(9) << 58, -58), getQuotient64(63, 7));
  209   EXPECT_EQ(0, getLg(UINT64_C(1), 0));
  210   EXPECT_EQ(1, getLg(UINT64_C(1), 1));
  211   EXPECT_EQ(1, getLg(UINT64_C(2), 0));
  212   EXPECT_EQ(3, getLg(UINT64_C(1), 3));
  213   EXPECT_EQ(3, getLg(UINT64_C(7), 0));
  214   EXPECT_EQ(3, getLg(UINT64_C(8), 0));
  215   EXPECT_EQ(3, getLg(UINT64_C(9), 0));
  216   EXPECT_EQ(3, getLg(UINT64_C(64), -3));
  219   EXPECT_EQ(-1, getLg(UINT64_C(1), -1));
  220   EXPECT_EQ(-1, getLg(UINT64_C(2), -2));
  221   EXPECT_EQ(INT32_MIN, getLg(UINT64_C(0), -1));
  222   EXPECT_EQ(INT32_MIN, getLg(UINT64_C(0), 0));
  223   EXPECT_EQ(INT32_MIN, getLg(UINT64_C(0), 1));
  241   EXPECT_EQ(0, getLgFloor(UINT64_C(1), 0));
  242   EXPECT_EQ(1, getLgFloor(UINT64_C(1), 1));
  243   EXPECT_EQ(1, getLgFloor(UINT64_C(2), 0));
  244   EXPECT_EQ(2, getLgFloor(UINT64_C(7), 0));
  245   EXPECT_EQ(3, getLgFloor(UINT64_C(1), 3));
  246   EXPECT_EQ(3, getLgFloor(UINT64_C(8), 0));
  247   EXPECT_EQ(3, getLgFloor(UINT64_C(9), 0));
  248   EXPECT_EQ(3, getLgFloor(UINT64_C(64), -3));
  251   EXPECT_EQ(INT32_MIN, getLgFloor(UINT64_C(0), -1));
  252   EXPECT_EQ(INT32_MIN, getLgFloor(UINT64_C(0), 0));
  253   EXPECT_EQ(INT32_MIN, getLgFloor(UINT64_C(0), 1));
  271   EXPECT_EQ(0, getLgCeiling(UINT64_C(1), 0));
  272   EXPECT_EQ(1, getLgCeiling(UINT64_C(1), 1));
  273   EXPECT_EQ(1, getLgCeiling(UINT64_C(2), 0));
  274   EXPECT_EQ(3, getLgCeiling(UINT64_C(1), 3));
  275   EXPECT_EQ(3, getLgCeiling(UINT64_C(7), 0));
  276   EXPECT_EQ(3, getLgCeiling(UINT64_C(8), 0));
  277   EXPECT_EQ(3, getLgCeiling(UINT64_C(64), -3));
  278   EXPECT_EQ(4, getLgCeiling(UINT64_C(9), 0));
  281   EXPECT_EQ(INT32_MIN, getLgCeiling(UINT64_C(0), -1));
  282   EXPECT_EQ(INT32_MIN, getLgCeiling(UINT64_C(0), 0));
  283   EXPECT_EQ(INT32_MIN, getLgCeiling(UINT64_C(0), 1));
  304   EXPECT_EQ(0, compare(UINT64_C(0), 0, UINT64_C(0), 1));
  304   EXPECT_EQ(0, compare(UINT64_C(0), 0, UINT64_C(0), 1));
  305   EXPECT_EQ(0, compare(UINT64_C(0), 0, UINT64_C(0), -10));
  305   EXPECT_EQ(0, compare(UINT64_C(0), 0, UINT64_C(0), -10));
  306   EXPECT_EQ(0, compare(UINT64_C(0), 0, UINT64_C(0), 20));
  306   EXPECT_EQ(0, compare(UINT64_C(0), 0, UINT64_C(0), 20));
  307   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(64), -3));
  307   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(64), -3));
  308   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(32), -2));
  308   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(32), -2));
  309   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(16), -1));
  309   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(16), -1));
  310   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(8), 0));
  310   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(8), 0));
  311   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(4), 1));
  311   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(4), 1));
  312   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(2), 2));
  312   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(2), 2));
  313   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(1), 3));
  313   EXPECT_EQ(0, compare(UINT64_C(8), 0, UINT64_C(1), 3));
  314   EXPECT_EQ(-1, compare(UINT64_C(0), 0, UINT64_C(1), 3));
  314   EXPECT_EQ(-1, compare(UINT64_C(0), 0, UINT64_C(1), 3));
  315   EXPECT_EQ(-1, compare(UINT64_C(7), 0, UINT64_C(1), 3));
  315   EXPECT_EQ(-1, compare(UINT64_C(7), 0, UINT64_C(1), 3));
  316   EXPECT_EQ(-1, compare(UINT64_C(7), 0, UINT64_C(64), -3));
  316   EXPECT_EQ(-1, compare(UINT64_C(7), 0, UINT64_C(64), -3));
  317   EXPECT_EQ(1, compare(UINT64_C(9), 0, UINT64_C(1), 3));
  317   EXPECT_EQ(1, compare(UINT64_C(9), 0, UINT64_C(1), 3));
  318   EXPECT_EQ(1, compare(UINT64_C(9), 0, UINT64_C(64), -3));
  318   EXPECT_EQ(1, compare(UINT64_C(9), 0, UINT64_C(64), -3));
  319   EXPECT_EQ(1, compare(UINT64_C(9), 0, UINT64_C(0), 0));
  319   EXPECT_EQ(1, compare(UINT64_C(9), 0, UINT64_C(0), 0));
  320   EXPECT_EQ(-1, compare(UINT64_MAX, 0, UINT64_C(1), 64));
  367   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 1, 9, 0, UINT64_C(1) << 63, 4, 1);
  367   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 1, 9, 0, UINT64_C(1) << 63, 4, 1);
  368   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 2, 9, 0, UINT64_C(1) << 63, 2, 2);
  368   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 2, 9, 0, UINT64_C(1) << 63, 2, 2);
  369   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 3, 9, 0, UINT64_C(1) << 63, 1, 3);
  369   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 3, 9, 0, UINT64_C(1) << 63, 1, 3);
  370   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 4, 9, 0, UINT64_C(1) << 63, 0, 4);
  370   MATCH_SCALES(uint64_t, UINT64_C(1) << 63, 4, 9, 0, UINT64_C(1) << 63, 0, 4);
  371   MATCH_SCALES(uint64_t, UINT64_C(1) << 62, 4, 9, 0, UINT64_C(1) << 63, 1, 3);
  371   MATCH_SCALES(uint64_t, UINT64_C(1) << 62, 4, 9, 0, UINT64_C(1) << 63, 1, 3);
  372   MATCH_SCALES(uint64_t, UINT64_C(1) << 61, 4, 9, 0, UINT64_C(1) << 63, 2, 2);
  372   MATCH_SCALES(uint64_t, UINT64_C(1) << 61, 4, 9, 0, UINT64_C(1) << 63, 2, 2);
  373   MATCH_SCALES(uint64_t, UINT64_C(1) << 60, 4, 9, 0, UINT64_C(1) << 63, 4, 1);
  373   MATCH_SCALES(uint64_t, UINT64_C(1) << 60, 4, 9, 0, UINT64_C(1) << 63, 4, 1);
  374   MATCH_SCALES(uint64_t, UINT64_C(1) << 59, 4, 9, 0, UINT64_C(1) << 63, 9, 0);
  374   MATCH_SCALES(uint64_t, UINT64_C(1) << 59, 4, 9, 0, UINT64_C(1) << 63, 9, 0);
  376   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 1, 4, UINT64_C(1) << 63, 1);
  376   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 1, 4, UINT64_C(1) << 63, 1);
  377   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 2, 2, UINT64_C(1) << 63, 2);
  377   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 2, 2, UINT64_C(1) << 63, 2);
  378   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 3, 1, UINT64_C(1) << 63, 3);
  378   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 3, 1, UINT64_C(1) << 63, 3);
  379   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 4, 0, UINT64_C(1) << 63, 4);
  379   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 63, 4, 0, UINT64_C(1) << 63, 4);
  380   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 62, 4, 1, UINT64_C(1) << 63, 3);
  380   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 62, 4, 1, UINT64_C(1) << 63, 3);
  381   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 61, 4, 2, UINT64_C(1) << 63, 2);
  381   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 61, 4, 2, UINT64_C(1) << 63, 2);
  382   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 60, 4, 4, UINT64_C(1) << 63, 1);
  382   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 60, 4, 4, UINT64_C(1) << 63, 1);
  383   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 59, 4, 9, UINT64_C(1) << 63, 0);
  383   MATCH_SCALES(uint64_t, 9, 0, UINT64_C(1) << 59, 4, 9, UINT64_C(1) << 63, 0);
  441   EXPECT_EQ(SP64(UINT64_C(1) << 63, 1), getSum64(1, 64, 1, 0));
  442   EXPECT_EQ(SP64(UINT64_C(1) << 63, -63), getSum64(1, -64, 1, 0));
  445   EXPECT_EQ(SP64((UINT64_C(1) << 63) + 1, 1), getSum64(1, 64, 1, 1));
  446   EXPECT_EQ(SP64((UINT64_C(1) << 63) + 1, -64), getSum64(1, -64, 1, -1));
  449   EXPECT_EQ(SP64(UINT64_C(1) << 63, 1), getSum64(1, 0, UINT64_MAX, 0));
  459   EXPECT_EQ(SP64(UINT64_C(1) << 63, 1), getSum64(1, 0, 1, 64));
  460   EXPECT_EQ(SP64(UINT64_C(1) << 63, -63), getSum64(1, 0, 1, -64));
  461   EXPECT_EQ(SP64((UINT64_C(1) << 63) + 1, 1), getSum64(1, 1, 1, 64));
  462   EXPECT_EQ(SP64((UINT64_C(1) << 63) + 1, -64), getSum64(1, -1, 1, -64));
  463   EXPECT_EQ(SP64(UINT64_C(1) << 63, 1), getSum64(UINT64_MAX, 0, 1, 0));
  517   EXPECT_EQ(SP64((UINT64_C(1) << 63) + 1, 1),
  518             getDifference64((UINT64_C(1) << 63) + 1, 1, 1, 0));
  519   EXPECT_EQ(SP64((UINT64_C(1) << 63) + 1, -63),
  520             getDifference64((UINT64_C(1) << 63) + 1, -63, 1, -64));