reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced

References

gen/lib/Target/RISCV/RISCVGenDAGISel.inc
14196   return CurDAG->getTargetConstant(SignExtend64<12>(N->getZExtValue()),
lib/ExecutionEngine/RuntimeDyld/RuntimeDyldELF.cpp
  794     if (SignExtend64<32>(Result) != Result)
  801     if (SignExtend64<26>(delta) != delta)
  810     if (SignExtend64<32>(delta) != delta)
 1476         if (SignExtend64<26>(delta) != delta) {
lib/ExecutionEngine/RuntimeDyld/Targets/RuntimeDyldMachOARM.h
   94         return SignExtend64<23>(((HighInsn & 0x7ff) << 12) |
lib/Target/Hexagon/Disassembler/HexagonDisassembler.cpp
   89   int64_t FullValue = fullValue(Disassembler, MI, SignExtend64<T>(tmp));
   90   int64_t Extended = SignExtend64<32>(FullValue);
lib/Target/Mips/AsmParser/MipsAsmParser.cpp
 1201     Imm = SignExtend64<Bits>(Imm);
 2630       ImmValue = SignExtend64<32>(ImmValue);
lib/Target/Mips/Disassembler/MipsDisassembler.cpp
 2037   int64_t Offset = SignExtend64<9>((Insn >> 7) & 0x1ff);
lib/Target/Mips/MCTargetDesc/MipsMCExpr.cpp
  188       AbsVal = SignExtend64<16>(AbsVal);
  192       AbsVal = SignExtend64<16>((AbsVal + 0x8000) >> 16);
  195       AbsVal = SignExtend64<16>((AbsVal + 0x80008000LL) >> 32);
  198       AbsVal = SignExtend64<16>((AbsVal + 0x800080008000LL) >> 48);
lib/Target/Mips/Mips16RegisterInfo.cpp
  140     Offset = SignExtend64<16>(NewImm);
lib/Target/Mips/MipsAnalyzeImmediate.cpp
   97   int64_t Imm = SignExtend64<16>(Seq[0].ImmOpnd);
lib/Target/Mips/MipsSEISelDAGToDAG.cpp
  807     SDValue ImmOpnd = CurDAG->getTargetConstant(SignExtend64<16>(Inst->ImmOpnd),
  823       ImmOpnd = CurDAG->getTargetConstant(SignExtend64<16>(Inst->ImmOpnd), DL,
lib/Target/Mips/MipsSEInstrInfo.cpp
  634     BuildMI(MBB, II, DL, get(LUi), Reg).addImm(SignExtend64<16>(Inst->ImmOpnd));
  637       .addImm(SignExtend64<16>(Inst->ImmOpnd));
  642       .addImm(SignExtend64<16>(Inst->ImmOpnd));
lib/Target/Mips/MipsSERegisterInfo.cpp
  251       Offset = SignExtend64<16>(NewImm);
lib/Target/PowerPC/Disassembler/PPCDisassembler.cpp
  191   Inst.addOperand(MCOperand::createImm(SignExtend64<N>(Imm)));
  225   Inst.addOperand(MCOperand::createImm(SignExtend64<16>(Disp)));
  246   Inst.addOperand(MCOperand::createImm(SignExtend64<16>(Disp << 2)));
  261   Inst.addOperand(MCOperand::createImm(SignExtend64<16>(Disp << 4)));
lib/Target/RISCV/AsmParser/RISCVAsmParser.cpp
 1769       Imm = SignExtend64<32>(Imm);
lib/Target/RISCV/Disassembler/RISCVDisassembler.cpp
  194   Inst.addOperand(MCOperand::createImm(SignExtend64<N>(Imm)));
  215   Inst.addOperand(MCOperand::createImm(SignExtend64<N>(Imm << 1)));
  224     Imm = (SignExtend64<6>(Imm) & 0xfffff);
lib/Target/RISCV/MCTargetDesc/RISCVMCExpr.cpp
  293     return SignExtend64<12>(Value);
lib/Target/RISCV/Utils/RISCVMatInt.cpp
   29     int64_t Lo12 = SignExtend64<12>(Val);
   66   int64_t Lo12 = SignExtend64<12>(Val);
lib/Target/SystemZ/Disassembler/SystemZDisassembler.cpp
  183   Inst.addOperand(MCOperand::createImm(SignExtend64<N>(Imm)));
  253   uint64_t Value = SignExtend64<N>(Imm) * 2 + Address;
  308   Inst.addOperand(MCOperand::createImm(SignExtend64<20>(Disp)));
  331   Inst.addOperand(MCOperand::createImm(SignExtend64<20>(Disp)));
tools/lld/ELF/Arch/ARM.cpp
  538     return SignExtend64<32>(read32le(buf));
  540     return SignExtend64<31>(read32le(buf));
  545     return SignExtend64<26>(read32le(buf) << 2);
  547     return SignExtend64<12>(read16le(buf) << 1);
  552     return SignExtend64<20>(((hi & 0x0400) << 10) | // S
  564       return SignExtend64<22>(((hi & 0x7ff) << 12) | // imm11
  574     return SignExtend64<24>(((hi & 0x0400) << 14) |                    // S
  587     return SignExtend64<16>(((val & 0x000f0000) >> 4) | (val & 0x00fff));
  596     return SignExtend64<16>(((hi & 0x000f) << 12) | // imm4
tools/lld/ELF/Arch/Mips.cpp
  377     return SignExtend64<32>(read32(buf));
  382     return SignExtend64<28>(read32(buf) << 2);
  386     return SignExtend64<16>(read32(buf)) << 16;
  394     return SignExtend64<16>(read32(buf));
  397     return SignExtend64<16>(readShuffle<e>(buf)) << 16;
  404     return SignExtend64<16>(readShuffle<e>(buf));
  406     return SignExtend64<9>(readShuffle<e>(buf) << 2);
  408     return SignExtend64<18>(read32(buf) << 2);
  410     return SignExtend64<21>(read32(buf) << 2);
  412     return SignExtend64<23>(read32(buf) << 2);
  414     return SignExtend64<28>(read32(buf) << 2);
  416     return SignExtend64<32>(read32(buf));
  418     return SignExtend64<27>(readShuffle<e>(buf) << 1);
  420     return SignExtend64<8>(read16(buf) << 1);
  422     return SignExtend64<11>(read16(buf) << 1);
  424     return SignExtend64<17>(readShuffle<e>(buf) << 1);
  426     return SignExtend64<21>(readShuffle<e>(buf) << 3);
  428     return SignExtend64<21>(readShuffle<e>(buf) << 2);
  430     return SignExtend64<22>(readShuffle<e>(buf) << 1);
  432     return SignExtend64<25>(readShuffle<e>(buf) << 2);
  434     return SignExtend64<27>(readShuffle<e>(buf) << 1);
tools/lld/ELF/Arch/X86.cpp
  245     return SignExtend64<8>(*buf);
  248     return SignExtend64<16>(read16le(buf));
  258     return SignExtend64<32>(read32le(buf));
tools/lld/ELF/InputSection.cpp
  874                           SignExtend64<bits>(sym.getVA(addend - offset)));
  881       target->relocateOne(bufLoc, type, SignExtend64<bits>(sym.getVA(addend)));
tools/lld/ELF/Thunks.cpp
  387   return SignExtend64<32>(v);
tools/lldb/source/Plugins/Instruction/ARM/EmulateInstructionARM.cpp
 7640     int64_t signed_data = llvm::SignExtend64<8>(unsigned_data);
 7737     int64_t signed_data = llvm::SignExtend64<8>(unsigned_data);
 7888     int64_t signed_data = llvm::SignExtend64<8>(unsigned_data);
 8050       int64_t signed_data = llvm::SignExtend64<16>(data);
 8151       int64_t signed_data = llvm::SignExtend64<16>(data);
 8332       int64_t signed_data = llvm::SignExtend64<16>(data);
 8414     int64_t data = llvm::SignExtend64<8>(rotated);
 8506     int64_t data = llvm::SignExtend64<16>(rotated);
tools/lldb/source/Plugins/Instruction/ARM64/EmulateInstructionARM64.cpp
  778   idx = LSL(llvm::SignExtend64<7>(imm7), scale);
  947     offset = llvm::SignExtend64<9>(Bits32(opcode, 20, 12));
  952     offset = llvm::SignExtend64<9>(Bits32(opcode, 20, 12));
 1076   int64_t offset = llvm::SignExtend64<28>(Bits32(opcode, 25, 0) << 2);
 1113     int64_t offset = llvm::SignExtend64<21>(Bits32(opcode, 23, 5) << 2);
 1141   int32_t offset = llvm::SignExtend64<21>(Bits32(opcode, 23, 5) << 2);
 1177   int64_t offset = llvm::SignExtend64<16>(Bits32(opcode, 18, 5) << 2);
tools/lldb/source/Plugins/Language/ObjC/Cocoa.cpp
  753   return llvm::SignExtend64<7>(exp) + TAGGED_DATE_EXPONENT_BIAS;
tools/lldb/source/Plugins/Process/Utility/ARMUtils.h
  147     int64_t extended = llvm::SignExtend64<32>(value);