reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced
    1
    2
    3
    4
    5
    6
    7
    8
    9
   10
   11
   12
   13
   14
   15
   16
   17
   18
   19
   20
   21
   22
   23
   24
   25
   26
   27
   28
   29
   30
   31
   32
   33
   34
   35
   36
   37
   38
   39
   40
   41
   42
   43
   44
   45
   46
   47
   48
   49
   50
   51
   52
   53
   54
   55
   56
   57
   58
   59
   60
   61
   62
   63
   64
   65
   66
   67
   68
   69
   70
   71
   72
   73
   74
   75
   76
   77
   78
   79
   80
   81
   82
   83
   84
   85
   86
   87
   88
   89
   90
   91
   92
   93
   94
   95
   96
   97
   98
   99
  100
  101
  102
  103
  104
  105
  106
  107
  108
  109
  110
  111
  112
  113
  114
  115
  116
  117
  118
  119
  120
  121
  122
  123
  124
  125
  126
  127
  128
  129
  130
  131
  132
  133
  134
  135
  136
  137
  138
  139
  140
  141
  142
  143
  144
  145
  146
  147
  148
  149
  150
  151
  152
  153
  154
  155
  156
  157
  158
  159
  160
  161
  162
  163
  164
  165
  166
  167
  168
  169
  170
  171
  172
  173
  174
  175
  176
  177
  178
  179
  180
  181
  182
  183
  184
  185
  186
  187
  188
  189
  190
  191
  192
  193
  194
  195
  196
  197
  198
  199
  200
  201
  202
  203
  204
  205
  206
  207
  208
  209
  210
  211
  212
  213
  214
  215
  216
  217
  218
  219
  220
  221
  222
  223
  224
  225
  226
  227
  228
  229
  230
  231
  232
  233
  234
  235
  236
  237
  238
  239
  240
  241
  242
  243
  244
  245
  246
  247
  248
  249
  250
  251
  252
  253
  254
  255
  256
  257
  258
  259
  260
  261
  262
  263
  264
  265
  266
  267
  268
  269
  270
  271
  272
  273
  274
  275
  276
  277
  278
  279
  280
  281
  282
  283
  284
  285
  286
  287
  288
  289
  290
  291
  292
  293
  294
  295
  296
  297
  298
  299
  300
  301
  302
  303
  304
  305
  306
  307
  308
  309
  310
  311
  312
  313
  314
  315
  316
  317
  318
  319
  320
  321
  322
  323
  324
  325
  326
  327
  328
  329
  330
  331
  332
  333
  334
  335
  336
  337
  338
  339
  340
  341
  342
  343
  344
  345
  346
  347
  348
  349
  350
  351
  352
  353
  354
  355
  356
  357
  358
  359
  360
  361
  362
  363
  364
  365
  366
  367
  368
  369
  370
  371
  372
  373
  374
  375
  376
  377
  378
  379
  380
  381
  382
  383
  384
  385
  386
  387
  388
  389
  390
  391
  392
  393
  394
  395
  396
  397
  398
  399
  400
  401
  402
  403
  404
  405
  406
  407
  408
  409
  410
  411
  412
  413
  414
  415
  416
  417
  418
  419
  420
  421
  422
  423
  424
  425
  426
  427
  428
  429
  430
  431
  432
  433
  434
  435
  436
  437
  438
  439
  440
  441
  442
  443
  444
  445
  446
  447
  448
  449
  450
  451
  452
  453
  454
  455
  456
  457
  458
  459
  460
  461
  462
  463
  464
  465
  466
  467
  468
  469
  470
  471
  472
  473
  474
  475
  476
  477
  478
  479
  480
  481
  482
  483
  484
  485
  486
  487
  488
  489
  490
  491
  492
  493
  494
  495
  496
  497
  498
  499
  500
  501
  502
  503
  504
  505
  506
  507
  508
  509
  510
  511
  512
  513
  514
  515
  516
  517
  518
  519
  520
  521
  522
  523
  524
  525
  526
  527
  528
  529
  530
  531
  532
  533
  534
  535
  536
  537
  538
  539
  540
  541
  542
  543
  544
  545
  546
  547
  548
  549
  550
  551
  552
  553
  554
  555
  556
  557
  558
  559
  560
  561
  562
  563
  564
  565
  566
  567
  568
  569
  570
  571
  572
  573
  574
  575
  576
  577
  578
  579
  580
  581
  582
  583
  584
  585
  586
  587
  588
  589
  590
  591
  592
  593
  594
  595
  596
  597
  598
  599
  600
  601
  602
  603
  604
  605
  606
  607
  608
  609
  610
  611
  612
  613
  614
  615
  616
  617
  618
  619
  620
  621
  622
  623
  624
  625
  626
  627
  628
  629
  630
  631
  632
  633
  634
  635
  636
  637
  638
  639
  640
  641
  642
  643
  644
  645
  646
  647
  648
  649
  650
  651
  652
  653
  654
  655
  656
  657
  658
  659
  660
  661
  662
  663
  664
  665
  666
  667
  668
  669
  670
  671
  672
  673
  674
  675
  676
  677
  678
  679
  680
  681
  682
  683
  684
  685
  686
  687
  688
  689
  690
  691
  692
  693
  694
  695
  696
  697
  698
  699
  700
  701
  702
  703
  704
  705
  706
  707
  708
  709
  710
  711
  712
  713
  714
  715
  716
  717
  718
  719
  720
  721
  722
  723
  724
  725
  726
  727
  728
  729
  730
  731
  732
  733
  734
  735
  736
  737
  738
  739
  740
  741
  742
  743
  744
  745
  746
  747
  748
  749
  750
  751
  752
  753
  754
  755
  756
  757
  758
  759
  760
  761
  762
  763
  764
  765
  766
  767
  768
  769
  770
  771
  772
  773
  774
  775
  776
  777
  778
  779
  780
  781
  782
  783
  784
  785
  786
  787
  788
  789
  790
  791
  792
  793
  794
  795
  796
  797
  798
  799
  800
  801
  802
  803
  804
  805
  806
  807
  808
  809
  810
  811
  812
  813
  814
  815
  816
  817
  818
  819
  820
  821
  822
  823
  824
  825
  826
  827
  828
  829
  830
  831
  832
  833
  834
  835
  836
  837
  838
  839
  840
  841
  842
  843
  844
  845
  846
  847
  848
  849
  850
  851
  852
  853
  854
  855
  856
  857
  858
  859
  860
  861
  862
  863
  864
  865
  866
  867
  868
  869
  870
  871
  872
  873
  874
  875
  876
  877
  878
  879
  880
  881
  882
  883
  884
  885
  886
  887
  888
  889
  890
  891
  892
  893
  894
  895
  896
  897
  898
  899
  900
  901
  902
  903
  904
  905
  906
  907
  908
  909
  910
  911
  912
  913
  914
  915
  916
  917
  918
  919
  920
  921
  922
  923
  924
  925
  926
  927
  928
  929
  930
  931
  932
  933
  934
  935
  936
  937
  938
  939
  940
  941
  942
  943
  944
  945
  946
  947
  948
  949
  950
  951
  952
  953
  954
  955
  956
  957
  958
  959
  960
  961
  962
  963
  964
  965
  966
  967
  968
  969
  970
  971
  972
  973
  974
  975
  976
  977
  978
  979
  980
  981
  982
  983
  984
  985
  986
  987
  988
  989
  990
  991
  992
  993
  994
  995
  996
  997
  998
  999
 1000
 1001
 1002
 1003
 1004
 1005
 1006
 1007
 1008
 1009
 1010
 1011
 1012
 1013
 1014
 1015
 1016
 1017
 1018
 1019
 1020
 1021
 1022
 1023
 1024
 1025
 1026
 1027
 1028
 1029
 1030
 1031
 1032
 1033
 1034
 1035
 1036
 1037
 1038
 1039
 1040
 1041
 1042
 1043
 1044
 1045
 1046
 1047
 1048
 1049
 1050
 1051
 1052
 1053
 1054
 1055
 1056
 1057
 1058
 1059
 1060
 1061
 1062
 1063
 1064
 1065
 1066
 1067
 1068
 1069
 1070
 1071
 1072
 1073
 1074
 1075
 1076
 1077
 1078
 1079
 1080
 1081
 1082
 1083
 1084
 1085
 1086
 1087
 1088
 1089
 1090
 1091
 1092
 1093
 1094
 1095
 1096
 1097
 1098
 1099
 1100
 1101
 1102
 1103
 1104
 1105
 1106
 1107
 1108
 1109
 1110
 1111
 1112
 1113
 1114
 1115
 1116
 1117
 1118
 1119
 1120
 1121
 1122
 1123
 1124
 1125
 1126
 1127
 1128
 1129
 1130
 1131
 1132
 1133
 1134
 1135
 1136
 1137
 1138
 1139
 1140
 1141
 1142
 1143
 1144
 1145
 1146
 1147
 1148
 1149
 1150
 1151
 1152
 1153
 1154
 1155
 1156
 1157
 1158
 1159
 1160
 1161
 1162
 1163
 1164
 1165
 1166
 1167
 1168
 1169
 1170
 1171
 1172
 1173
 1174
 1175
 1176
 1177
 1178
 1179
 1180
 1181
 1182
 1183
 1184
 1185
 1186
 1187
 1188
 1189
 1190
 1191
 1192
 1193
 1194
 1195
 1196
 1197
 1198
 1199
 1200
 1201
 1202
 1203
 1204
 1205
 1206
 1207
 1208
 1209
 1210
 1211
 1212
 1213
 1214
 1215
 1216
 1217
 1218
 1219
 1220
 1221
 1222
 1223
 1224
 1225
 1226
 1227
 1228
 1229
 1230
 1231
 1232
 1233
 1234
 1235
 1236
 1237
 1238
 1239
 1240
 1241
 1242
 1243
 1244
 1245
 1246
 1247
 1248
 1249
 1250
 1251
 1252
 1253
 1254
 1255
 1256
 1257
 1258
 1259
 1260
 1261
 1262
 1263
 1264
 1265
 1266
 1267
 1268
 1269
 1270
 1271
 1272
 1273
 1274
 1275
 1276
 1277
 1278
 1279
 1280
 1281
 1282
 1283
 1284
 1285
 1286
 1287
 1288
 1289
 1290
 1291
 1292
 1293
 1294
 1295
 1296
 1297
 1298
 1299
 1300
 1301
 1302
 1303
 1304
 1305
 1306
 1307
 1308
 1309
 1310
 1311
 1312
 1313
 1314
 1315
 1316
 1317
 1318
 1319
 1320
 1321
 1322
 1323
 1324
 1325
 1326
 1327
 1328
 1329
 1330
 1331
 1332
 1333
 1334
 1335
 1336
 1337
 1338
 1339
 1340
 1341
 1342
 1343
 1344
 1345
 1346
 1347
 1348
 1349
 1350
 1351
 1352
 1353
 1354
 1355
 1356
 1357
 1358
 1359
 1360
 1361
 1362
 1363
 1364
 1365
 1366
 1367
 1368
 1369
 1370
 1371
 1372
 1373
 1374
 1375
 1376
 1377
 1378
 1379
 1380
 1381
 1382
 1383
 1384
 1385
 1386
 1387
 1388
 1389
 1390
 1391
 1392
 1393
 1394
 1395
 1396
 1397
 1398
 1399
 1400
 1401
 1402
 1403
 1404
 1405
 1406
 1407
 1408
 1409
 1410
 1411
 1412
 1413
 1414
 1415
 1416
 1417
 1418
 1419
 1420
 1421
 1422
 1423
 1424
 1425
 1426
 1427
 1428
 1429
 1430
 1431
 1432
 1433
 1434
 1435
 1436
 1437
 1438
 1439
 1440
 1441
 1442
 1443
 1444
 1445
 1446
 1447
 1448
 1449
 1450
 1451
 1452
 1453
 1454
 1455
 1456
 1457
 1458
 1459
 1460
 1461
 1462
 1463
 1464
 1465
 1466
 1467
 1468
 1469
 1470
 1471
 1472
 1473
 1474
 1475
 1476
 1477
 1478
 1479
 1480
 1481
 1482
 1483
 1484
 1485
 1486
 1487
 1488
 1489
 1490
 1491
 1492
 1493
 1494
 1495
 1496
 1497
 1498
 1499
 1500
 1501
 1502
 1503
 1504
 1505
 1506
 1507
 1508
 1509
 1510
 1511
 1512
 1513
 1514
 1515
 1516
 1517
 1518
 1519
 1520
 1521
 1522
 1523
 1524
 1525
 1526
 1527
 1528
 1529
 1530
 1531
 1532
 1533
 1534
 1535
 1536
 1537
 1538
 1539
 1540
 1541
 1542
 1543
 1544
 1545
 1546
 1547
 1548
 1549
 1550
 1551
 1552
 1553
 1554
 1555
 1556
 1557
 1558
 1559
 1560
 1561
 1562
 1563
 1564
 1565
 1566
 1567
 1568
 1569
 1570
 1571
 1572
 1573
 1574
 1575
 1576
 1577
 1578
 1579
 1580
 1581
 1582
 1583
 1584
 1585
 1586
 1587
 1588
 1589
 1590
 1591
 1592
 1593
 1594
 1595
 1596
 1597
 1598
 1599
 1600
 1601
 1602
 1603
 1604
 1605
 1606
 1607
 1608
 1609
 1610
 1611
 1612
 1613
 1614
 1615
 1616
 1617
 1618
 1619
 1620
 1621
 1622
 1623
 1624
 1625
 1626
 1627
 1628
 1629
 1630
 1631
 1632
 1633
 1634
 1635
 1636
 1637
 1638
 1639
 1640
 1641
 1642
 1643
 1644
 1645
 1646
 1647
 1648
 1649
 1650
 1651
 1652
 1653
 1654
 1655
 1656
 1657
 1658
 1659
 1660
 1661
 1662
 1663
 1664
 1665
 1666
 1667
 1668
 1669
 1670
 1671
 1672
 1673
 1674
 1675
 1676
 1677
 1678
 1679
 1680
 1681
 1682
 1683
 1684
 1685
 1686
 1687
 1688
 1689
 1690
 1691
 1692
 1693
 1694
 1695
 1696
 1697
 1698
 1699
 1700
 1701
 1702
 1703
 1704
 1705
 1706
 1707
 1708
 1709
 1710
 1711
 1712
 1713
 1714
 1715
 1716
 1717
 1718
 1719
 1720
 1721
 1722
 1723
 1724
 1725
 1726
 1727
 1728
 1729
 1730
 1731
 1732
 1733
 1734
 1735
 1736
 1737
 1738
 1739
 1740
 1741
 1742
 1743
 1744
 1745
 1746
 1747
 1748
 1749
 1750
 1751
 1752
 1753
 1754
 1755
 1756
 1757
 1758
 1759
 1760
 1761
 1762
 1763
 1764
 1765
 1766
 1767
 1768
 1769
 1770
 1771
 1772
 1773
 1774
 1775
 1776
 1777
 1778
 1779
 1780
 1781
 1782
 1783
 1784
 1785
 1786
 1787
 1788
 1789
 1790
 1791
 1792
 1793
 1794
 1795
 1796
 1797
 1798
 1799
 1800
 1801
 1802
 1803
 1804
 1805
 1806
 1807
 1808
 1809
 1810
 1811
 1812
 1813
 1814
 1815
 1816
 1817
 1818
 1819
 1820
 1821
 1822
 1823
 1824
 1825
 1826
 1827
 1828
 1829
 1830
 1831
 1832
 1833
 1834
 1835
 1836
 1837
 1838
 1839
 1840
 1841
 1842
 1843
 1844
 1845
 1846
 1847
 1848
 1849
 1850
 1851
 1852
 1853
 1854
 1855
 1856
 1857
 1858
 1859
 1860
 1861
 1862
 1863
 1864
 1865
 1866
 1867
 1868
 1869
 1870
 1871
 1872
 1873
 1874
 1875
 1876
 1877
 1878
 1879
 1880
 1881
 1882
 1883
 1884
 1885
 1886
 1887
 1888
 1889
 1890
 1891
 1892
 1893
 1894
 1895
 1896
 1897
 1898
 1899
 1900
 1901
 1902
 1903
 1904
 1905
 1906
 1907
 1908
 1909
 1910
 1911
 1912
 1913
 1914
 1915
 1916
 1917
 1918
 1919
 1920
 1921
 1922
 1923
 1924
 1925
 1926
 1927
 1928
 1929
 1930
 1931
 1932
 1933
 1934
 1935
 1936
 1937
 1938
 1939
 1940
 1941
 1942
 1943
 1944
 1945
 1946
 1947
 1948
 1949
 1950
 1951
 1952
 1953
 1954
 1955
 1956
 1957
 1958
 1959
 1960
 1961
 1962
 1963
 1964
 1965
 1966
 1967
 1968
 1969
 1970
 1971
 1972
 1973
 1974
 1975
 1976
 1977
 1978
 1979
 1980
 1981
 1982
 1983
 1984
 1985
 1986
 1987
 1988
 1989
 1990
 1991
 1992
 1993
 1994
 1995
 1996
 1997
 1998
 1999
 2000
 2001
 2002
 2003
 2004
 2005
 2006
 2007
 2008
 2009
 2010
 2011
 2012
 2013
 2014
 2015
 2016
 2017
 2018
 2019
 2020
 2021
 2022
 2023
 2024
 2025
 2026
 2027
 2028
 2029
 2030
 2031
 2032
 2033
 2034
 2035
 2036
 2037
 2038
 2039
 2040
 2041
 2042
 2043
 2044
 2045
 2046
 2047
 2048
 2049
 2050
 2051
 2052
 2053
 2054
 2055
 2056
 2057
 2058
 2059
 2060
 2061
 2062
 2063
 2064
 2065
 2066
 2067
 2068
 2069
 2070
 2071
 2072
 2073
 2074
 2075
 2076
 2077
 2078
 2079
 2080
 2081
 2082
 2083
 2084
 2085
 2086
 2087
 2088
 2089
 2090
 2091
 2092
 2093
 2094
 2095
 2096
 2097
 2098
 2099
 2100
 2101
 2102
 2103
 2104
 2105
 2106
 2107
 2108
 2109
 2110
 2111
 2112
 2113
 2114
 2115
 2116
 2117
 2118
 2119
 2120
 2121
 2122
 2123
 2124
 2125
 2126
 2127
 2128
 2129
 2130
 2131
 2132
 2133
 2134
 2135
 2136
 2137
 2138
 2139
 2140
 2141
 2142
 2143
 2144
 2145
 2146
 2147
 2148
 2149
 2150
 2151
 2152
 2153
 2154
 2155
 2156
 2157
 2158
 2159
 2160
 2161
 2162
 2163
 2164
 2165
 2166
 2167
 2168
 2169
 2170
 2171
 2172
 2173
 2174
 2175
 2176
 2177
 2178
 2179
 2180
 2181
 2182
 2183
 2184
 2185
 2186
 2187
 2188
 2189
 2190
 2191
 2192
 2193
 2194
 2195
 2196
 2197
 2198
 2199
 2200
 2201
 2202
 2203
 2204
 2205
 2206
 2207
 2208
 2209
 2210
 2211
 2212
 2213
 2214
 2215
 2216
 2217
 2218
 2219
 2220
 2221
 2222
 2223
 2224
 2225
 2226
 2227
 2228
 2229
 2230
 2231
 2232
 2233
 2234
 2235
 2236
 2237
 2238
 2239
 2240
 2241
 2242
 2243
 2244
 2245
 2246
 2247
 2248
 2249
 2250
 2251
 2252
 2253
 2254
 2255
 2256
 2257
 2258
 2259
 2260
 2261
 2262
 2263
 2264
 2265
 2266
 2267
 2268
 2269
 2270
 2271
 2272
 2273
 2274
 2275
 2276
 2277
 2278
 2279
 2280
 2281
 2282
 2283
 2284
 2285
 2286
 2287
 2288
 2289
 2290
 2291
 2292
 2293
 2294
 2295
 2296
 2297
 2298
 2299
 2300
 2301
 2302
 2303
 2304
 2305
 2306
 2307
 2308
 2309
 2310
 2311
 2312
 2313
 2314
 2315
 2316
 2317
 2318
 2319
 2320
 2321
 2322
 2323
 2324
 2325
 2326
 2327
 2328
 2329
 2330
 2331
 2332
 2333
 2334
 2335
 2336
 2337
 2338
 2339
 2340
 2341
 2342
 2343
 2344
 2345
 2346
 2347
 2348
 2349
 2350
 2351
 2352
 2353
 2354
 2355
 2356
 2357
 2358
 2359
 2360
 2361
 2362
 2363
 2364
 2365
 2366
 2367
 2368
 2369
 2370
 2371
 2372
 2373
 2374
 2375
 2376
 2377
 2378
 2379
 2380
 2381
 2382
 2383
 2384
 2385
 2386
 2387
 2388
 2389
 2390
 2391
 2392
 2393
 2394
 2395
 2396
 2397
 2398
 2399
 2400
 2401
 2402
 2403
 2404
 2405
 2406
 2407
 2408
 2409
 2410
 2411
 2412
 2413
 2414
 2415
 2416
 2417
 2418
 2419
 2420
 2421
 2422
 2423
 2424
 2425
 2426
 2427
 2428
 2429
 2430
 2431
 2432
 2433
 2434
 2435
 2436
 2437
 2438
 2439
 2440
 2441
 2442
 2443
 2444
 2445
 2446
 2447
 2448
 2449
 2450
 2451
 2452
 2453
 2454
 2455
 2456
 2457
 2458
 2459
 2460
 2461
 2462
 2463
 2464
 2465
 2466
 2467
 2468
 2469
 2470
 2471
 2472
 2473
 2474
 2475
 2476
 2477
 2478
 2479
 2480
 2481
 2482
 2483
 2484
 2485
 2486
 2487
 2488
 2489
 2490
 2491
 2492
 2493
 2494
 2495
 2496
 2497
 2498
 2499
 2500
 2501
 2502
 2503
 2504
 2505
 2506
 2507
 2508
 2509
 2510
 2511
 2512
 2513
 2514
 2515
 2516
 2517
 2518
 2519
 2520
 2521
 2522
 2523
 2524
 2525
 2526
 2527
 2528
 2529
 2530
 2531
 2532
 2533
 2534
 2535
 2536
 2537
 2538
 2539
 2540
 2541
 2542
 2543
 2544
 2545
 2546
 2547
 2548
 2549
 2550
 2551
 2552
 2553
 2554
 2555
 2556
 2557
 2558
 2559
 2560
 2561
 2562
 2563
 2564
 2565
 2566
 2567
 2568
 2569
 2570
 2571
 2572
 2573
 2574
 2575
 2576
 2577
 2578
 2579
 2580
 2581
 2582
 2583
 2584
 2585
 2586
 2587
 2588
 2589
 2590
 2591
 2592
 2593
 2594
 2595
 2596
 2597
 2598
 2599
 2600
 2601
 2602
 2603
 2604
 2605
 2606
 2607
 2608
 2609
 2610
 2611
 2612
 2613
 2614
 2615
 2616
 2617
 2618
 2619
 2620
 2621
 2622
 2623
 2624
 2625
 2626
 2627
 2628
 2629
 2630
 2631
 2632
 2633
 2634
 2635
 2636
 2637
 2638
 2639
 2640
 2641
 2642
 2643
 2644
 2645
 2646
 2647
 2648
 2649
 2650
 2651
 2652
 2653
 2654
 2655
 2656
 2657
 2658
 2659
 2660
 2661
 2662
 2663
 2664
 2665
 2666
 2667
 2668
 2669
 2670
 2671
 2672
 2673
 2674
 2675
 2676
 2677
 2678
 2679
 2680
 2681
 2682
 2683
 2684
 2685
 2686
 2687
 2688
 2689
 2690
 2691
 2692
 2693
 2694
 2695
 2696
 2697
 2698
 2699
 2700
 2701
 2702
 2703
 2704
 2705
 2706
 2707
 2708
 2709
 2710
 2711
 2712
 2713
 2714
 2715
 2716
 2717
 2718
 2719
 2720
 2721
 2722
 2723
 2724
 2725
 2726
 2727
 2728
 2729
 2730
 2731
 2732
 2733
 2734
 2735
 2736
 2737
 2738
 2739
 2740
 2741
 2742
 2743
 2744
 2745
 2746
 2747
 2748
 2749
 2750
 2751
 2752
 2753
 2754
 2755
 2756
 2757
 2758
 2759
 2760
 2761
 2762
 2763
 2764
 2765
 2766
 2767
 2768
 2769
 2770
 2771
 2772
 2773
 2774
 2775
 2776
 2777
 2778
 2779
 2780
 2781
 2782
 2783
 2784
 2785
 2786
 2787
 2788
 2789
 2790
 2791
 2792
 2793
 2794
 2795
 2796
 2797
 2798
 2799
 2800
 2801
 2802
 2803
 2804
 2805
 2806
 2807
 2808
 2809
 2810
 2811
 2812
 2813
 2814
 2815
 2816
 2817
 2818
 2819
 2820
 2821
 2822
 2823
 2824
 2825
 2826
 2827
 2828
 2829
 2830
 2831
 2832
 2833
 2834
 2835
 2836
 2837
 2838
 2839
 2840
 2841
 2842
 2843
 2844
 2845
 2846
 2847
 2848
 2849
 2850
 2851
 2852
 2853
 2854
 2855
 2856
 2857
 2858
 2859
 2860
 2861
 2862
 2863
 2864
 2865
 2866
 2867
 2868
 2869
 2870
 2871
 2872
 2873
 2874
 2875
 2876
 2877
 2878
 2879
 2880
 2881
 2882
 2883
 2884
 2885
 2886
 2887
 2888
 2889
 2890
 2891
 2892
 2893
 2894
 2895
 2896
 2897
 2898
 2899
 2900
 2901
 2902
 2903
 2904
 2905
 2906
 2907
 2908
 2909
 2910
 2911
 2912
 2913
 2914
 2915
 2916
 2917
 2918
 2919
 2920
 2921
 2922
 2923
 2924
 2925
 2926
 2927
 2928
 2929
 2930
 2931
 2932
 2933
 2934
 2935
 2936
 2937
 2938
 2939
 2940
 2941
 2942
 2943
 2944
 2945
 2946
 2947
 2948
 2949
 2950
 2951
 2952
 2953
 2954
 2955
 2956
 2957
 2958
 2959
 2960
 2961
 2962
 2963
 2964
 2965
 2966
 2967
 2968
 2969
 2970
 2971
 2972
 2973
 2974
 2975
 2976
 2977
 2978
 2979
 2980
 2981
 2982
 2983
 2984
 2985
 2986
 2987
 2988
 2989
 2990
 2991
 2992
 2993
 2994
 2995
 2996
 2997
 2998
 2999
 3000
 3001
 3002
 3003
 3004
 3005
 3006
 3007
 3008
 3009
 3010
 3011
 3012
 3013
 3014
 3015
 3016
 3017
 3018
 3019
 3020
 3021
 3022
 3023
 3024
 3025
 3026
 3027
 3028
 3029
 3030
 3031
 3032
 3033
 3034
 3035
 3036
 3037
 3038
 3039
 3040
 3041
 3042
 3043
 3044
 3045
 3046
 3047
 3048
 3049
 3050
 3051
 3052
 3053
 3054
 3055
 3056
 3057
 3058
 3059
 3060
 3061
 3062
 3063
 3064
 3065
 3066
 3067
 3068
 3069
 3070
 3071
 3072
 3073
 3074
 3075
 3076
 3077
 3078
 3079
 3080
 3081
 3082
 3083
 3084
 3085
 3086
 3087
 3088
 3089
 3090
 3091
 3092
 3093
 3094
 3095
 3096
 3097
 3098
 3099
 3100
 3101
 3102
 3103
 3104
 3105
 3106
 3107
 3108
 3109
 3110
 3111
 3112
 3113
 3114
 3115
 3116
 3117
 3118
 3119
 3120
 3121
 3122
 3123
 3124
 3125
 3126
 3127
 3128
 3129
 3130
 3131
 3132
 3133
 3134
 3135
 3136
 3137
 3138
 3139
 3140
 3141
 3142
 3143
 3144
 3145
 3146
 3147
 3148
 3149
 3150
 3151
 3152
 3153
 3154
 3155
 3156
 3157
 3158
 3159
 3160
 3161
 3162
 3163
 3164
 3165
 3166
 3167
 3168
 3169
 3170
 3171
 3172
 3173
 3174
 3175
 3176
 3177
 3178
 3179
 3180
 3181
 3182
 3183
 3184
 3185
 3186
 3187
 3188
 3189
 3190
 3191
 3192
 3193
 3194
 3195
 3196
 3197
 3198
 3199
 3200
 3201
 3202
 3203
 3204
 3205
 3206
 3207
 3208
 3209
 3210
 3211
 3212
 3213
 3214
 3215
 3216
 3217
 3218
 3219
 3220
 3221
 3222
 3223
 3224
 3225
 3226
 3227
 3228
 3229
 3230
 3231
 3232
 3233
 3234
 3235
 3236
 3237
 3238
 3239
 3240
 3241
 3242
 3243
 3244
 3245
 3246
 3247
 3248
 3249
 3250
 3251
 3252
 3253
 3254
 3255
 3256
 3257
 3258
 3259
 3260
 3261
 3262
 3263
 3264
 3265
 3266
 3267
 3268
 3269
 3270
 3271
 3272
 3273
 3274
 3275
 3276
 3277
 3278
 3279
 3280
 3281
 3282
 3283
 3284
 3285
 3286
 3287
 3288
 3289
 3290
 3291
 3292
 3293
 3294
 3295
 3296
 3297
 3298
 3299
 3300
 3301
 3302
 3303
 3304
 3305
 3306
 3307
 3308
 3309
 3310
 3311
 3312
 3313
 3314
 3315
 3316
 3317
 3318
 3319
 3320
 3321
 3322
 3323
 3324
 3325
 3326
 3327
 3328
 3329
 3330
 3331
 3332
 3333
 3334
 3335
 3336
 3337
 3338
 3339
 3340
 3341
 3342
 3343
 3344
 3345
 3346
 3347
 3348
 3349
 3350
 3351
 3352
 3353
 3354
 3355
 3356
 3357
 3358
 3359
 3360
 3361
 3362
 3363
 3364
 3365
 3366
 3367
 3368
 3369
 3370
 3371
 3372
 3373
 3374
 3375
 3376
 3377
 3378
 3379
 3380
 3381
 3382
 3383
 3384
 3385
 3386
 3387
 3388
 3389
 3390
 3391
 3392
 3393
 3394
 3395
 3396
 3397
 3398
 3399
 3400
 3401
 3402
 3403
 3404
 3405
 3406
 3407
 3408
 3409
 3410
 3411
 3412
 3413
 3414
 3415
 3416
 3417
 3418
 3419
 3420
 3421
 3422
 3423
 3424
 3425
 3426
 3427
 3428
 3429
 3430
 3431
 3432
 3433
 3434
 3435
 3436
 3437
 3438
 3439
 3440
 3441
 3442
 3443
 3444
 3445
 3446
 3447
 3448
 3449
 3450
 3451
 3452
 3453
 3454
 3455
 3456
 3457
 3458
 3459
 3460
 3461
 3462
 3463
 3464
 3465
 3466
 3467
 3468
 3469
 3470
 3471
 3472
 3473
 3474
 3475
 3476
 3477
 3478
 3479
 3480
 3481
 3482
 3483
 3484
 3485
 3486
 3487
 3488
 3489
 3490
 3491
 3492
 3493
 3494
 3495
 3496
 3497
 3498
 3499
 3500
 3501
 3502
 3503
 3504
 3505
 3506
 3507
 3508
 3509
 3510
 3511
 3512
 3513
 3514
 3515
 3516
 3517
 3518
 3519
 3520
 3521
 3522
 3523
 3524
 3525
 3526
 3527
 3528
 3529
 3530
 3531
 3532
 3533
 3534
 3535
 3536
 3537
 3538
 3539
 3540
 3541
 3542
 3543
 3544
 3545
 3546
 3547
 3548
 3549
 3550
 3551
 3552
 3553
 3554
 3555
 3556
 3557
 3558
 3559
 3560
 3561
 3562
 3563
 3564
 3565
 3566
 3567
 3568
 3569
 3570
 3571
 3572
 3573
 3574
 3575
 3576
 3577
 3578
 3579
 3580
 3581
 3582
 3583
 3584
 3585
 3586
 3587
 3588
 3589
 3590
 3591
 3592
 3593
 3594
 3595
 3596
 3597
 3598
 3599
 3600
 3601
 3602
 3603
 3604
 3605
 3606
 3607
 3608
 3609
 3610
 3611
 3612
 3613
 3614
 3615
 3616
 3617
 3618
 3619
 3620
 3621
 3622
 3623
 3624
 3625
 3626
 3627
 3628
 3629
 3630
 3631
 3632
 3633
 3634
 3635
 3636
 3637
 3638
 3639
 3640
 3641
 3642
 3643
 3644
 3645
 3646
 3647
 3648
 3649
 3650
 3651
 3652
 3653
 3654
 3655
 3656
 3657
 3658
 3659
 3660
 3661
 3662
 3663
 3664
 3665
 3666
 3667
 3668
 3669
 3670
 3671
 3672
 3673
 3674
 3675
 3676
 3677
 3678
 3679
 3680
 3681
 3682
 3683
 3684
 3685
 3686
 3687
 3688
 3689
 3690
 3691
 3692
 3693
 3694
 3695
 3696
 3697
 3698
 3699
 3700
 3701
 3702
 3703
 3704
 3705
 3706
 3707
 3708
 3709
 3710
 3711
 3712
 3713
 3714
 3715
 3716
 3717
 3718
 3719
 3720
 3721
 3722
 3723
 3724
 3725
 3726
 3727
 3728
 3729
 3730
 3731
 3732
 3733
 3734
 3735
 3736
 3737
 3738
 3739
 3740
 3741
 3742
 3743
 3744
 3745
 3746
 3747
 3748
 3749
 3750
 3751
 3752
 3753
 3754
 3755
 3756
 3757
 3758
 3759
 3760
 3761
 3762
 3763
 3764
 3765
 3766
 3767
 3768
 3769
 3770
 3771
 3772
 3773
 3774
 3775
 3776
 3777
 3778
 3779
 3780
 3781
 3782
 3783
 3784
 3785
 3786
 3787
 3788
 3789
 3790
 3791
 3792
 3793
 3794
 3795
 3796
 3797
 3798
 3799
 3800
 3801
 3802
 3803
 3804
 3805
 3806
 3807
 3808
 3809
 3810
 3811
 3812
 3813
 3814
 3815
 3816
 3817
 3818
 3819
 3820
 3821
 3822
 3823
 3824
 3825
 3826
 3827
 3828
 3829
 3830
 3831
 3832
 3833
 3834
 3835
 3836
 3837
 3838
 3839
 3840
 3841
 3842
 3843
 3844
 3845
 3846
 3847
 3848
 3849
 3850
 3851
 3852
 3853
 3854
 3855
 3856
 3857
 3858
 3859
 3860
 3861
 3862
 3863
 3864
 3865
 3866
 3867
 3868
 3869
 3870
 3871
 3872
 3873
 3874
 3875
 3876
 3877
 3878
 3879
 3880
 3881
 3882
 3883
 3884
 3885
 3886
 3887
 3888
 3889
 3890
 3891
 3892
 3893
 3894
 3895
 3896
 3897
 3898
 3899
 3900
 3901
 3902
 3903
 3904
 3905
 3906
 3907
 3908
 3909
 3910
 3911
 3912
 3913
 3914
 3915
 3916
 3917
 3918
 3919
 3920
 3921
 3922
 3923
 3924
 3925
 3926
 3927
 3928
 3929
 3930
 3931
 3932
 3933
 3934
 3935
 3936
 3937
 3938
 3939
 3940
 3941
 3942
 3943
 3944
 3945
 3946
 3947
 3948
 3949
 3950
 3951
 3952
 3953
 3954
 3955
 3956
 3957
 3958
 3959
 3960
 3961
 3962
 3963
 3964
 3965
 3966
 3967
 3968
 3969
 3970
 3971
 3972
 3973
 3974
 3975
 3976
 3977
 3978
 3979
 3980
 3981
 3982
 3983
 3984
 3985
 3986
 3987
 3988
 3989
 3990
 3991
 3992
 3993
 3994
 3995
 3996
 3997
 3998
 3999
 4000
 4001
 4002
 4003
 4004
 4005
 4006
 4007
 4008
 4009
 4010
 4011
 4012
 4013
 4014
 4015
 4016
 4017
 4018
 4019
 4020
 4021
 4022
 4023
 4024
 4025
 4026
 4027
 4028
 4029
 4030
 4031
 4032
 4033
 4034
 4035
 4036
 4037
 4038
 4039
 4040
 4041
 4042
 4043
 4044
 4045
 4046
 4047
 4048
 4049
 4050
 4051
 4052
 4053
 4054
 4055
 4056
 4057
 4058
 4059
 4060
 4061
 4062
 4063
 4064
 4065
 4066
 4067
 4068
 4069
 4070
 4071
 4072
 4073
 4074
 4075
 4076
 4077
 4078
 4079
 4080
 4081
 4082
 4083
 4084
 4085
 4086
 4087
 4088
 4089
 4090
 4091
 4092
 4093
 4094
 4095
 4096
 4097
 4098
 4099
 4100
 4101
 4102
 4103
 4104
 4105
 4106
 4107
 4108
 4109
 4110
 4111
 4112
 4113
 4114
 4115
 4116
 4117
 4118
 4119
 4120
 4121
 4122
 4123
 4124
 4125
 4126
 4127
 4128
 4129
 4130
 4131
 4132
 4133
 4134
 4135
 4136
 4137
 4138
 4139
 4140
 4141
 4142
 4143
 4144
 4145
 4146
 4147
 4148
 4149
 4150
 4151
 4152
 4153
 4154
 4155
 4156
 4157
 4158
 4159
 4160
 4161
 4162
 4163
 4164
 4165
 4166
 4167
 4168
 4169
 4170
 4171
 4172
 4173
 4174
 4175
 4176
 4177
 4178
 4179
 4180
 4181
 4182
 4183
 4184
 4185
 4186
 4187
 4188
 4189
 4190
 4191
 4192
 4193
 4194
 4195
 4196
 4197
 4198
 4199
 4200
 4201
 4202
 4203
 4204
 4205
 4206
 4207
 4208
 4209
 4210
 4211
 4212
 4213
 4214
 4215
 4216
 4217
 4218
 4219
 4220
 4221
 4222
 4223
 4224
 4225
 4226
 4227
 4228
 4229
 4230
 4231
 4232
 4233
 4234
 4235
 4236
 4237
 4238
 4239
 4240
 4241
 4242
 4243
 4244
 4245
 4246
 4247
 4248
 4249
 4250
 4251
 4252
 4253
 4254
 4255
 4256
 4257
 4258
 4259
 4260
 4261
 4262
 4263
 4264
 4265
 4266
 4267
 4268
 4269
 4270
 4271
 4272
 4273
 4274
 4275
 4276
 4277
 4278
 4279
 4280
 4281
 4282
 4283
 4284
 4285
 4286
 4287
 4288
 4289
 4290
 4291
 4292
 4293
 4294
 4295
 4296
 4297
 4298
 4299
 4300
 4301
 4302
 4303
 4304
 4305
 4306
 4307
 4308
 4309
 4310
 4311
 4312
 4313
 4314
 4315
 4316
 4317
 4318
 4319
 4320
 4321
 4322
 4323
 4324
 4325
 4326
 4327
 4328
 4329
 4330
 4331
 4332
 4333
 4334
 4335
 4336
 4337
 4338
 4339
 4340
 4341
 4342
 4343
 4344
 4345
 4346
 4347
 4348
 4349
 4350
 4351
 4352
 4353
 4354
 4355
 4356
 4357
 4358
 4359
 4360
 4361
 4362
 4363
 4364
 4365
 4366
 4367
 4368
 4369
 4370
 4371
 4372
 4373
 4374
 4375
 4376
 4377
 4378
 4379
 4380
 4381
 4382
 4383
 4384
 4385
 4386
 4387
 4388
 4389
 4390
 4391
 4392
 4393
 4394
 4395
 4396
 4397
 4398
 4399
 4400
 4401
 4402
 4403
 4404
 4405
 4406
 4407
 4408
 4409
 4410
 4411
 4412
 4413
 4414
 4415
 4416
 4417
 4418
 4419
 4420
 4421
 4422
 4423
 4424
 4425
 4426
 4427
 4428
 4429
 4430
 4431
 4432
 4433
 4434
 4435
 4436
 4437
 4438
 4439
 4440
 4441
 4442
 4443
 4444
 4445
 4446
 4447
 4448
 4449
 4450
 4451
 4452
 4453
 4454
 4455
 4456
 4457
 4458
 4459
 4460
 4461
 4462
 4463
 4464
 4465
 4466
 4467
 4468
 4469
 4470
 4471
 4472
 4473
 4474
 4475
 4476
 4477
 4478
 4479
 4480
 4481
 4482
 4483
 4484
 4485
 4486
 4487
 4488
 4489
 4490
 4491
 4492
 4493
 4494
 4495
 4496
 4497
 4498
 4499
 4500
 4501
 4502
 4503
 4504
 4505
 4506
 4507
 4508
 4509
 4510
 4511
 4512
 4513
 4514
 4515
 4516
 4517
 4518
 4519
 4520
 4521
 4522
 4523
 4524
 4525
 4526
 4527
 4528
 4529
 4530
 4531
 4532
 4533
 4534
 4535
 4536
 4537
 4538
 4539
 4540
 4541
 4542
 4543
 4544
 4545
 4546
 4547
 4548
 4549
 4550
 4551
 4552
 4553
 4554
 4555
 4556
 4557
 4558
 4559
 4560
 4561
 4562
 4563
 4564
 4565
 4566
 4567
 4568
 4569
 4570
 4571
 4572
 4573
 4574
 4575
 4576
 4577
 4578
 4579
 4580
 4581
 4582
 4583
 4584
 4585
 4586
 4587
 4588
 4589
 4590
 4591
 4592
 4593
 4594
 4595
 4596
 4597
 4598
 4599
 4600
 4601
 4602
 4603
 4604
 4605
 4606
 4607
 4608
 4609
 4610
 4611
 4612
 4613
 4614
 4615
 4616
 4617
 4618
 4619
 4620
 4621
 4622
 4623
 4624
 4625
 4626
 4627
 4628
 4629
 4630
 4631
 4632
 4633
 4634
 4635
 4636
 4637
 4638
 4639
 4640
 4641
 4642
 4643
 4644
 4645
 4646
 4647
 4648
 4649
 4650
 4651
 4652
 4653
 4654
 4655
 4656
 4657
 4658
 4659
 4660
 4661
 4662
 4663
 4664
 4665
 4666
 4667
 4668
 4669
 4670
 4671
 4672
 4673
 4674
 4675
 4676
 4677
 4678
 4679
 4680
 4681
 4682
 4683
 4684
 4685
 4686
 4687
 4688
 4689
 4690
 4691
 4692
 4693
 4694
 4695
 4696
 4697
 4698
 4699
 4700
 4701
 4702
 4703
 4704
 4705
 4706
 4707
 4708
 4709
 4710
 4711
 4712
 4713
 4714
 4715
 4716
 4717
 4718
 4719
 4720
 4721
 4722
 4723
 4724
 4725
 4726
 4727
 4728
 4729
 4730
 4731
 4732
 4733
 4734
 4735
 4736
 4737
 4738
 4739
 4740
 4741
 4742
 4743
 4744
 4745
 4746
 4747
 4748
 4749
 4750
 4751
 4752
 4753
 4754
 4755
 4756
 4757
 4758
 4759
 4760
 4761
 4762
 4763
 4764
 4765
 4766
 4767
 4768
 4769
 4770
 4771
 4772
 4773
 4774
 4775
 4776
 4777
 4778
 4779
 4780
 4781
 4782
 4783
 4784
 4785
 4786
 4787
 4788
 4789
 4790
 4791
 4792
 4793
 4794
 4795
 4796
 4797
 4798
 4799
 4800
 4801
 4802
 4803
 4804
 4805
 4806
 4807
 4808
 4809
 4810
 4811
 4812
 4813
 4814
 4815
 4816
 4817
 4818
 4819
 4820
 4821
 4822
 4823
 4824
 4825
 4826
 4827
 4828
 4829
 4830
 4831
 4832
 4833
 4834
 4835
 4836
 4837
 4838
 4839
 4840
 4841
 4842
 4843
 4844
 4845
 4846
 4847
 4848
 4849
 4850
 4851
 4852
 4853
 4854
 4855
 4856
 4857
 4858
 4859
 4860
 4861
 4862
 4863
 4864
 4865
 4866
 4867
 4868
 4869
 4870
 4871
 4872
 4873
 4874
 4875
 4876
 4877
 4878
 4879
 4880
 4881
 4882
 4883
 4884
 4885
 4886
 4887
 4888
 4889
 4890
 4891
 4892
 4893
 4894
 4895
 4896
 4897
 4898
 4899
 4900
 4901
 4902
 4903
 4904
 4905
 4906
 4907
 4908
 4909
 4910
 4911
 4912
 4913
 4914
 4915
 4916
 4917
 4918
 4919
 4920
 4921
 4922
 4923
 4924
 4925
 4926
 4927
 4928
 4929
 4930
 4931
 4932
 4933
 4934
 4935
 4936
 4937
 4938
 4939
 4940
 4941
 4942
 4943
 4944
 4945
 4946
 4947
 4948
 4949
 4950
 4951
 4952
 4953
 4954
 4955
 4956
 4957
 4958
 4959
 4960
 4961
 4962
 4963
 4964
 4965
 4966
 4967
 4968
 4969
 4970
 4971
 4972
 4973
 4974
 4975
 4976
 4977
 4978
 4979
 4980
 4981
 4982
 4983
 4984
 4985
 4986
 4987
 4988
 4989
 4990
 4991
 4992
 4993
 4994
 4995
 4996
 4997
 4998
 4999
 5000
 5001
 5002
 5003
 5004
 5005
 5006
 5007
 5008
 5009
 5010
 5011
 5012
 5013
 5014
 5015
 5016
 5017
 5018
 5019
 5020
 5021
 5022
 5023
 5024
 5025
 5026
 5027
 5028
 5029
 5030
 5031
 5032
 5033
 5034
 5035
 5036
 5037
 5038
 5039
 5040
 5041
 5042
 5043
 5044
 5045
 5046
 5047
 5048
 5049
 5050
 5051
 5052
 5053
 5054
 5055
 5056
 5057
 5058
 5059
 5060
 5061
 5062
 5063
 5064
 5065
 5066
 5067
 5068
 5069
 5070
 5071
 5072
 5073
 5074
 5075
 5076
 5077
 5078
 5079
 5080
 5081
 5082
 5083
 5084
 5085
 5086
 5087
 5088
 5089
 5090
 5091
 5092
 5093
 5094
 5095
 5096
 5097
 5098
 5099
 5100
 5101
 5102
 5103
 5104
 5105
 5106
 5107
 5108
 5109
 5110
 5111
 5112
 5113
 5114
 5115
 5116
 5117
 5118
 5119
 5120
 5121
 5122
 5123
 5124
 5125
 5126
 5127
 5128
 5129
 5130
 5131
 5132
 5133
 5134
 5135
 5136
 5137
 5138
 5139
 5140
 5141
 5142
 5143
 5144
 5145
 5146
 5147
 5148
 5149
 5150
 5151
 5152
 5153
 5154
 5155
 5156
 5157
 5158
 5159
 5160
 5161
 5162
 5163
 5164
 5165
 5166
 5167
 5168
 5169
 5170
 5171
 5172
 5173
 5174
 5175
 5176
 5177
 5178
 5179
 5180
 5181
 5182
 5183
 5184
 5185
 5186
 5187
 5188
 5189
 5190
 5191
 5192
 5193
 5194
 5195
 5196
 5197
 5198
 5199
 5200
 5201
 5202
 5203
 5204
 5205
 5206
 5207
 5208
 5209
 5210
 5211
 5212
 5213
 5214
 5215
 5216
 5217
 5218
 5219
 5220
 5221
 5222
 5223
 5224
 5225
 5226
 5227
 5228
 5229
 5230
 5231
 5232
 5233
 5234
 5235
 5236
 5237
 5238
 5239
 5240
 5241
 5242
 5243
 5244
 5245
 5246
 5247
 5248
 5249
 5250
 5251
 5252
 5253
 5254
 5255
 5256
 5257
 5258
 5259
 5260
 5261
 5262
 5263
 5264
 5265
 5266
 5267
 5268
 5269
 5270
 5271
 5272
 5273
 5274
 5275
 5276
 5277
 5278
 5279
 5280
 5281
 5282
 5283
 5284
 5285
 5286
 5287
 5288
 5289
 5290
 5291
 5292
 5293
 5294
 5295
 5296
 5297
 5298
 5299
 5300
 5301
 5302
 5303
 5304
 5305
 5306
 5307
 5308
 5309
 5310
 5311
 5312
 5313
 5314
 5315
 5316
 5317
 5318
 5319
 5320
 5321
 5322
 5323
 5324
 5325
 5326
 5327
 5328
 5329
 5330
 5331
 5332
 5333
 5334
 5335
 5336
 5337
 5338
 5339
 5340
 5341
 5342
 5343
 5344
 5345
 5346
 5347
 5348
 5349
 5350
 5351
 5352
 5353
 5354
 5355
 5356
 5357
 5358
 5359
 5360
 5361
 5362
 5363
 5364
 5365
 5366
 5367
 5368
 5369
 5370
 5371
 5372
 5373
 5374
 5375
 5376
 5377
 5378
 5379
 5380
 5381
 5382
 5383
 5384
 5385
 5386
 5387
 5388
 5389
 5390
 5391
 5392
 5393
 5394
 5395
 5396
 5397
 5398
 5399
 5400
 5401
 5402
 5403
 5404
 5405
 5406
 5407
 5408
 5409
 5410
 5411
 5412
 5413
 5414
 5415
 5416
 5417
 5418
 5419
 5420
 5421
 5422
 5423
 5424
 5425
 5426
 5427
 5428
 5429
 5430
 5431
 5432
 5433
 5434
 5435
 5436
 5437
 5438
 5439
 5440
 5441
 5442
 5443
 5444
 5445
 5446
 5447
 5448
 5449
 5450
 5451
 5452
 5453
 5454
 5455
 5456
 5457
 5458
 5459
 5460
 5461
 5462
 5463
 5464
 5465
 5466
 5467
 5468
 5469
 5470
 5471
 5472
 5473
 5474
 5475
 5476
 5477
 5478
 5479
 5480
 5481
 5482
 5483
 5484
 5485
 5486
 5487
 5488
 5489
 5490
 5491
 5492
 5493
 5494
 5495
 5496
 5497
 5498
 5499
 5500
 5501
 5502
 5503
 5504
 5505
 5506
 5507
 5508
 5509
 5510
 5511
 5512
 5513
 5514
 5515
 5516
 5517
 5518
 5519
 5520
 5521
 5522
 5523
 5524
 5525
 5526
 5527
 5528
 5529
 5530
 5531
 5532
 5533
 5534
 5535
 5536
 5537
 5538
 5539
 5540
 5541
 5542
 5543
 5544
 5545
 5546
 5547
 5548
 5549
 5550
 5551
 5552
 5553
 5554
 5555
 5556
 5557
 5558
 5559
 5560
 5561
 5562
 5563
 5564
 5565
 5566
 5567
 5568
 5569
 5570
 5571
 5572
 5573
 5574
 5575
 5576
 5577
 5578
 5579
 5580
 5581
 5582
 5583
 5584
 5585
 5586
 5587
 5588
 5589
 5590
 5591
 5592
 5593
 5594
 5595
 5596
 5597
 5598
 5599
 5600
 5601
 5602
 5603
 5604
 5605
 5606
 5607
 5608
 5609
 5610
 5611
 5612
 5613
 5614
 5615
 5616
 5617
 5618
 5619
 5620
 5621
 5622
 5623
 5624
 5625
 5626
 5627
 5628
 5629
 5630
 5631
 5632
 5633
 5634
 5635
 5636
 5637
 5638
 5639
 5640
 5641
 5642
 5643
 5644
 5645
 5646
 5647
 5648
 5649
 5650
 5651
 5652
 5653
 5654
 5655
 5656
 5657
 5658
 5659
 5660
 5661
 5662
 5663
 5664
 5665
 5666
 5667
 5668
 5669
 5670
 5671
 5672
 5673
 5674
 5675
 5676
 5677
 5678
 5679
 5680
 5681
 5682
 5683
 5684
 5685
 5686
 5687
 5688
 5689
 5690
 5691
 5692
 5693
 5694
 5695
 5696
 5697
 5698
 5699
 5700
 5701
 5702
 5703
 5704
 5705
 5706
 5707
 5708
 5709
 5710
 5711
 5712
 5713
 5714
 5715
 5716
 5717
 5718
 5719
 5720
 5721
 5722
 5723
 5724
 5725
 5726
 5727
 5728
 5729
 5730
 5731
 5732
 5733
 5734
 5735
 5736
 5737
 5738
 5739
 5740
 5741
 5742
 5743
 5744
 5745
 5746
 5747
 5748
 5749
 5750
 5751
 5752
 5753
 5754
 5755
 5756
 5757
 5758
 5759
 5760
 5761
 5762
 5763
 5764
 5765
 5766
 5767
 5768
 5769
 5770
 5771
 5772
 5773
 5774
 5775
 5776
 5777
 5778
 5779
 5780
 5781
 5782
 5783
 5784
 5785
 5786
 5787
 5788
 5789
 5790
 5791
 5792
 5793
 5794
 5795
 5796
 5797
 5798
 5799
 5800
 5801
 5802
 5803
 5804
 5805
 5806
 5807
 5808
 5809
 5810
 5811
 5812
 5813
 5814
 5815
 5816
 5817
 5818
 5819
 5820
 5821
 5822
 5823
 5824
 5825
 5826
 5827
 5828
 5829
 5830
 5831
 5832
 5833
 5834
 5835
 5836
 5837
 5838
 5839
 5840
 5841
 5842
 5843
 5844
 5845
 5846
 5847
 5848
 5849
 5850
 5851
 5852
 5853
 5854
 5855
 5856
 5857
 5858
 5859
 5860
 5861
 5862
 5863
 5864
 5865
 5866
 5867
 5868
 5869
 5870
 5871
 5872
 5873
 5874
 5875
 5876
 5877
 5878
 5879
 5880
 5881
 5882
 5883
 5884
 5885
 5886
 5887
 5888
 5889
 5890
 5891
 5892
 5893
 5894
 5895
 5896
 5897
 5898
 5899
 5900
 5901
 5902
 5903
 5904
 5905
 5906
 5907
 5908
 5909
 5910
 5911
 5912
 5913
 5914
 5915
 5916
 5917
 5918
 5919
 5920
 5921
 5922
 5923
 5924
 5925
 5926
 5927
 5928
 5929
 5930
 5931
 5932
 5933
 5934
 5935
 5936
 5937
 5938
 5939
 5940
 5941
 5942
 5943
 5944
 5945
 5946
 5947
 5948
 5949
 5950
 5951
 5952
 5953
 5954
 5955
 5956
 5957
 5958
 5959
 5960
 5961
 5962
 5963
 5964
 5965
 5966
 5967
 5968
 5969
 5970
 5971
 5972
 5973
 5974
 5975
 5976
 5977
 5978
 5979
 5980
 5981
 5982
 5983
 5984
 5985
 5986
 5987
 5988
 5989
 5990
 5991
 5992
 5993
 5994
 5995
 5996
 5997
 5998
 5999
 6000
 6001
 6002
 6003
 6004
 6005
 6006
 6007
 6008
 6009
 6010
 6011
 6012
 6013
 6014
 6015
 6016
 6017
 6018
 6019
 6020
 6021
 6022
 6023
 6024
 6025
 6026
 6027
 6028
 6029
 6030
 6031
 6032
 6033
 6034
 6035
 6036
 6037
 6038
 6039
 6040
 6041
 6042
 6043
 6044
 6045
 6046
 6047
 6048
 6049
 6050
 6051
 6052
 6053
 6054
 6055
 6056
 6057
 6058
 6059
 6060
 6061
 6062
 6063
 6064
 6065
 6066
 6067
 6068
 6069
 6070
 6071
 6072
 6073
 6074
 6075
 6076
 6077
 6078
 6079
 6080
 6081
 6082
 6083
 6084
 6085
 6086
 6087
 6088
 6089
 6090
 6091
 6092
 6093
 6094
 6095
 6096
 6097
 6098
 6099
 6100
 6101
 6102
 6103
 6104
 6105
 6106
 6107
 6108
 6109
 6110
 6111
 6112
 6113
 6114
 6115
 6116
 6117
 6118
 6119
 6120
 6121
 6122
 6123
 6124
 6125
 6126
 6127
 6128
 6129
 6130
 6131
 6132
 6133
 6134
 6135
 6136
 6137
 6138
 6139
 6140
 6141
 6142
 6143
 6144
 6145
 6146
 6147
 6148
 6149
 6150
 6151
 6152
 6153
 6154
 6155
 6156
 6157
 6158
 6159
 6160
 6161
 6162
 6163
 6164
 6165
 6166
 6167
 6168
 6169
 6170
 6171
 6172
 6173
 6174
 6175
 6176
 6177
 6178
 6179
 6180
 6181
 6182
 6183
 6184
 6185
 6186
 6187
 6188
 6189
 6190
 6191
 6192
 6193
 6194
 6195
 6196
 6197
 6198
 6199
 6200
 6201
 6202
 6203
 6204
 6205
 6206
 6207
 6208
 6209
 6210
 6211
 6212
 6213
 6214
 6215
 6216
 6217
 6218
 6219
 6220
 6221
 6222
 6223
 6224
 6225
 6226
 6227
 6228
 6229
 6230
 6231
 6232
 6233
 6234
 6235
 6236
 6237
 6238
 6239
 6240
 6241
 6242
 6243
 6244
 6245
 6246
 6247
 6248
 6249
 6250
 6251
 6252
 6253
 6254
 6255
 6256
 6257
 6258
 6259
 6260
 6261
 6262
 6263
 6264
 6265
 6266
 6267
 6268
 6269
 6270
 6271
 6272
 6273
 6274
 6275
 6276
 6277
 6278
 6279
 6280
 6281
 6282
 6283
 6284
 6285
 6286
 6287
 6288
 6289
 6290
 6291
 6292
 6293
 6294
 6295
 6296
 6297
 6298
 6299
 6300
 6301
 6302
 6303
 6304
 6305
 6306
 6307
 6308
 6309
 6310
 6311
 6312
 6313
 6314
 6315
 6316
 6317
 6318
 6319
 6320
 6321
 6322
 6323
 6324
 6325
 6326
 6327
 6328
 6329
 6330
 6331
 6332
 6333
 6334
 6335
 6336
 6337
 6338
 6339
 6340
 6341
 6342
 6343
 6344
 6345
 6346
 6347
 6348
 6349
 6350
 6351
 6352
 6353
 6354
 6355
 6356
 6357
 6358
 6359
 6360
 6361
 6362
 6363
 6364
 6365
 6366
 6367
 6368
 6369
 6370
 6371
 6372
 6373
 6374
 6375
 6376
 6377
 6378
 6379
 6380
 6381
 6382
 6383
 6384
 6385
 6386
 6387
 6388
 6389
 6390
 6391
 6392
 6393
 6394
 6395
 6396
 6397
 6398
 6399
 6400
 6401
 6402
 6403
 6404
 6405
 6406
 6407
 6408
 6409
 6410
 6411
 6412
 6413
 6414
 6415
 6416
 6417
 6418
 6419
 6420
 6421
 6422
 6423
 6424
 6425
 6426
 6427
 6428
 6429
 6430
 6431
 6432
 6433
 6434
 6435
 6436
 6437
 6438
 6439
 6440
 6441
 6442
 6443
 6444
 6445
 6446
 6447
 6448
 6449
 6450
 6451
 6452
 6453
 6454
 6455
 6456
 6457
 6458
 6459
 6460
 6461
 6462
 6463
 6464
 6465
 6466
 6467
 6468
 6469
 6470
 6471
 6472
 6473
 6474
 6475
 6476
 6477
 6478
 6479
 6480
 6481
 6482
 6483
 6484
 6485
 6486
 6487
 6488
 6489
 6490
 6491
 6492
 6493
 6494
 6495
 6496
 6497
 6498
 6499
 6500
 6501
 6502
 6503
 6504
 6505
 6506
 6507
 6508
 6509
 6510
 6511
 6512
 6513
 6514
 6515
 6516
 6517
 6518
 6519
 6520
 6521
 6522
 6523
 6524
 6525
 6526
 6527
 6528
 6529
 6530
 6531
 6532
 6533
 6534
 6535
 6536
 6537
 6538
 6539
 6540
 6541
 6542
 6543
 6544
 6545
 6546
 6547
 6548
 6549
 6550
 6551
 6552
 6553
 6554
 6555
 6556
 6557
 6558
 6559
 6560
 6561
 6562
 6563
 6564
 6565
 6566
 6567
 6568
 6569
 6570
 6571
 6572
 6573
 6574
 6575
 6576
 6577
 6578
 6579
 6580
 6581
 6582
 6583
 6584
 6585
 6586
 6587
 6588
 6589
 6590
 6591
 6592
 6593
 6594
 6595
 6596
 6597
 6598
 6599
 6600
 6601
 6602
 6603
 6604
 6605
 6606
 6607
 6608
 6609
 6610
 6611
 6612
 6613
 6614
 6615
 6616
 6617
 6618
 6619
 6620
 6621
 6622
 6623
 6624
 6625
 6626
 6627
 6628
 6629
 6630
 6631
 6632
 6633
 6634
 6635
 6636
 6637
 6638
 6639
 6640
 6641
 6642
 6643
 6644
 6645
 6646
 6647
 6648
 6649
 6650
 6651
 6652
 6653
 6654
 6655
 6656
 6657
 6658
 6659
 6660
 6661
 6662
 6663
 6664
 6665
 6666
 6667
 6668
 6669
 6670
 6671
 6672
 6673
 6674
 6675
 6676
 6677
 6678
 6679
 6680
 6681
 6682
 6683
 6684
 6685
 6686
 6687
 6688
 6689
 6690
 6691
 6692
 6693
 6694
 6695
 6696
 6697
 6698
 6699
 6700
 6701
 6702
 6703
 6704
 6705
 6706
 6707
 6708
 6709
 6710
 6711
 6712
 6713
 6714
 6715
 6716
 6717
 6718
 6719
 6720
 6721
 6722
 6723
 6724
 6725
 6726
 6727
 6728
 6729
 6730
 6731
 6732
 6733
 6734
 6735
 6736
 6737
 6738
 6739
 6740
 6741
 6742
 6743
 6744
 6745
 6746
 6747
 6748
 6749
 6750
 6751
 6752
 6753
 6754
 6755
 6756
 6757
 6758
 6759
 6760
 6761
 6762
 6763
 6764
 6765
 6766
 6767
 6768
 6769
 6770
 6771
 6772
 6773
 6774
 6775
 6776
 6777
 6778
 6779
 6780
 6781
 6782
 6783
 6784
 6785
 6786
 6787
 6788
 6789
 6790
 6791
 6792
 6793
 6794
 6795
 6796
 6797
 6798
 6799
 6800
 6801
 6802
 6803
 6804
 6805
 6806
 6807
 6808
 6809
 6810
 6811
 6812
 6813
 6814
 6815
 6816
 6817
 6818
 6819
 6820
 6821
 6822
 6823
 6824
 6825
 6826
 6827
 6828
 6829
 6830
 6831
 6832
 6833
 6834
 6835
 6836
 6837
 6838
 6839
 6840
 6841
 6842
 6843
 6844
 6845
 6846
 6847
 6848
 6849
 6850
 6851
 6852
 6853
 6854
 6855
 6856
 6857
 6858
 6859
 6860
 6861
 6862
 6863
 6864
 6865
 6866
 6867
 6868
 6869
 6870
 6871
 6872
 6873
 6874
 6875
 6876
 6877
 6878
 6879
 6880
 6881
 6882
 6883
 6884
 6885
 6886
 6887
 6888
 6889
 6890
 6891
 6892
 6893
 6894
 6895
 6896
 6897
 6898
 6899
 6900
 6901
 6902
 6903
 6904
 6905
 6906
 6907
 6908
 6909
 6910
 6911
 6912
 6913
 6914
 6915
 6916
 6917
 6918
 6919
 6920
 6921
 6922
 6923
 6924
 6925
 6926
 6927
 6928
 6929
 6930
 6931
 6932
 6933
 6934
 6935
 6936
 6937
 6938
 6939
 6940
 6941
 6942
 6943
 6944
 6945
 6946
 6947
 6948
 6949
 6950
 6951
 6952
 6953
 6954
 6955
 6956
 6957
 6958
 6959
 6960
 6961
 6962
 6963
 6964
 6965
 6966
 6967
 6968
 6969
 6970
 6971
 6972
 6973
 6974
 6975
 6976
 6977
 6978
 6979
 6980
 6981
 6982
 6983
 6984
 6985
 6986
 6987
 6988
 6989
 6990
 6991
 6992
 6993
 6994
 6995
 6996
 6997
 6998
 6999
 7000
 7001
 7002
 7003
 7004
 7005
 7006
 7007
 7008
 7009
 7010
 7011
 7012
 7013
 7014
 7015
 7016
 7017
 7018
 7019
 7020
 7021
 7022
 7023
 7024
 7025
 7026
 7027
 7028
 7029
 7030
 7031
 7032
 7033
 7034
 7035
 7036
 7037
 7038
 7039
 7040
 7041
 7042
 7043
 7044
 7045
 7046
 7047
 7048
 7049
 7050
 7051
 7052
 7053
 7054
 7055
 7056
 7057
 7058
 7059
 7060
 7061
 7062
 7063
 7064
 7065
 7066
 7067
 7068
 7069
 7070
 7071
 7072
 7073
 7074
 7075
 7076
 7077
 7078
 7079
 7080
 7081
 7082
 7083
 7084
 7085
 7086
 7087
 7088
 7089
 7090
 7091
 7092
 7093
 7094
 7095
 7096
 7097
 7098
 7099
 7100
 7101
 7102
 7103
 7104
 7105
 7106
 7107
 7108
 7109
 7110
 7111
 7112
 7113
 7114
 7115
 7116
 7117
 7118
 7119
 7120
 7121
 7122
 7123
 7124
 7125
 7126
 7127
 7128
 7129
 7130
 7131
 7132
 7133
 7134
 7135
 7136
 7137
 7138
 7139
 7140
 7141
 7142
 7143
 7144
 7145
 7146
 7147
 7148
 7149
 7150
 7151
 7152
 7153
 7154
 7155
 7156
 7157
 7158
 7159
 7160
 7161
 7162
 7163
 7164
 7165
 7166
 7167
 7168
 7169
 7170
 7171
 7172
 7173
 7174
 7175
 7176
 7177
 7178
 7179
 7180
 7181
 7182
 7183
 7184
 7185
 7186
 7187
 7188
 7189
 7190
 7191
 7192
 7193
 7194
 7195
 7196
 7197
 7198
 7199
 7200
 7201
 7202
 7203
 7204
 7205
 7206
 7207
 7208
 7209
 7210
 7211
 7212
 7213
 7214
 7215
 7216
 7217
 7218
 7219
 7220
 7221
 7222
 7223
 7224
 7225
 7226
 7227
 7228
 7229
 7230
 7231
 7232
 7233
 7234
 7235
 7236
 7237
 7238
 7239
 7240
 7241
 7242
 7243
 7244
 7245
 7246
 7247
 7248
 7249
 7250
 7251
 7252
 7253
 7254
 7255
 7256
 7257
 7258
 7259
 7260
 7261
 7262
 7263
 7264
 7265
 7266
 7267
 7268
 7269
 7270
 7271
 7272
 7273
 7274
 7275
 7276
 7277
 7278
 7279
 7280
 7281
 7282
 7283
 7284
 7285
 7286
 7287
 7288
 7289
 7290
 7291
 7292
 7293
 7294
 7295
 7296
 7297
 7298
 7299
 7300
 7301
 7302
 7303
 7304
 7305
 7306
 7307
 7308
 7309
 7310
 7311
 7312
 7313
 7314
 7315
 7316
 7317
 7318
 7319
 7320
 7321
 7322
 7323
 7324
 7325
 7326
 7327
 7328
 7329
 7330
 7331
 7332
 7333
 7334
 7335
 7336
 7337
 7338
 7339
 7340
 7341
 7342
 7343
 7344
 7345
 7346
 7347
 7348
 7349
 7350
 7351
 7352
 7353
 7354
 7355
 7356
 7357
 7358
 7359
 7360
 7361
 7362
 7363
 7364
 7365
 7366
 7367
 7368
 7369
 7370
 7371
 7372
 7373
 7374
 7375
 7376
 7377
 7378
 7379
 7380
 7381
 7382
 7383
 7384
 7385
 7386
 7387
 7388
 7389
 7390
 7391
 7392
 7393
 7394
 7395
 7396
 7397
 7398
 7399
 7400
 7401
 7402
 7403
 7404
 7405
 7406
 7407
 7408
 7409
 7410
 7411
 7412
 7413
 7414
 7415
 7416
 7417
 7418
 7419
 7420
 7421
 7422
 7423
 7424
 7425
 7426
 7427
 7428
 7429
 7430
 7431
 7432
 7433
 7434
 7435
 7436
 7437
 7438
 7439
 7440
 7441
 7442
 7443
 7444
 7445
 7446
 7447
 7448
 7449
 7450
 7451
 7452
 7453
 7454
 7455
 7456
 7457
 7458
 7459
 7460
 7461
 7462
 7463
 7464
 7465
 7466
 7467
 7468
 7469
 7470
 7471
 7472
 7473
 7474
 7475
 7476
 7477
 7478
 7479
 7480
 7481
 7482
 7483
 7484
 7485
 7486
 7487
 7488
 7489
 7490
 7491
 7492
 7493
 7494
 7495
 7496
 7497
 7498
 7499
 7500
 7501
 7502
 7503
 7504
 7505
 7506
 7507
 7508
 7509
 7510
 7511
 7512
 7513
 7514
 7515
 7516
 7517
 7518
 7519
 7520
 7521
 7522
 7523
 7524
 7525
 7526
 7527
 7528
 7529
 7530
 7531
 7532
 7533
 7534
 7535
 7536
 7537
 7538
 7539
 7540
 7541
 7542
 7543
 7544
 7545
 7546
 7547
 7548
 7549
 7550
 7551
 7552
 7553
 7554
 7555
 7556
 7557
 7558
 7559
 7560
 7561
 7562
 7563
 7564
 7565
 7566
 7567
 7568
 7569
 7570
 7571
 7572
 7573
 7574
 7575
 7576
 7577
 7578
 7579
 7580
 7581
 7582
 7583
 7584
 7585
 7586
 7587
 7588
 7589
 7590
 7591
 7592
 7593
 7594
 7595
 7596
 7597
 7598
 7599
 7600
 7601
 7602
 7603
 7604
 7605
 7606
 7607
 7608
 7609
 7610
 7611
 7612
 7613
 7614
 7615
 7616
 7617
 7618
 7619
 7620
 7621
 7622
 7623
 7624
 7625
 7626
 7627
 7628
 7629
 7630
 7631
 7632
 7633
 7634
 7635
 7636
 7637
 7638
 7639
 7640
 7641
 7642
 7643
 7644
 7645
 7646
 7647
 7648
 7649
 7650
 7651
 7652
 7653
 7654
 7655
 7656
 7657
 7658
 7659
 7660
 7661
 7662
 7663
 7664
 7665
 7666
 7667
 7668
 7669
 7670
 7671
 7672
 7673
 7674
 7675
 7676
 7677
 7678
 7679
 7680
 7681
 7682
 7683
 7684
 7685
 7686
 7687
 7688
 7689
 7690
 7691
 7692
 7693
 7694
 7695
 7696
 7697
 7698
 7699
 7700
 7701
 7702
 7703
 7704
 7705
 7706
 7707
 7708
 7709
 7710
 7711
 7712
 7713
 7714
 7715
 7716
 7717
 7718
 7719
 7720
 7721
 7722
 7723
 7724
 7725
 7726
 7727
 7728
 7729
 7730
 7731
 7732
 7733
 7734
 7735
 7736
 7737
 7738
 7739
 7740
 7741
 7742
 7743
 7744
 7745
 7746
 7747
 7748
 7749
 7750
 7751
 7752
 7753
 7754
 7755
 7756
 7757
 7758
 7759
 7760
 7761
 7762
 7763
 7764
 7765
 7766
 7767
 7768
 7769
 7770
 7771
 7772
 7773
 7774
 7775
 7776
 7777
 7778
 7779
 7780
 7781
 7782
 7783
 7784
 7785
 7786
 7787
 7788
 7789
 7790
 7791
 7792
 7793
 7794
 7795
 7796
 7797
 7798
 7799
 7800
 7801
 7802
 7803
 7804
 7805
 7806
 7807
 7808
 7809
 7810
 7811
 7812
 7813
 7814
 7815
 7816
 7817
 7818
 7819
 7820
 7821
 7822
 7823
 7824
 7825
 7826
 7827
 7828
 7829
 7830
 7831
 7832
 7833
 7834
 7835
 7836
 7837
 7838
 7839
 7840
 7841
 7842
 7843
 7844
 7845
 7846
 7847
 7848
 7849
 7850
 7851
 7852
 7853
 7854
 7855
 7856
 7857
 7858
 7859
 7860
 7861
 7862
 7863
 7864
 7865
 7866
 7867
 7868
 7869
 7870
 7871
 7872
 7873
 7874
 7875
 7876
 7877
 7878
 7879
 7880
 7881
 7882
 7883
 7884
 7885
 7886
 7887
 7888
 7889
 7890
 7891
 7892
 7893
 7894
 7895
 7896
 7897
 7898
 7899
 7900
 7901
 7902
 7903
 7904
 7905
 7906
 7907
 7908
 7909
 7910
 7911
 7912
 7913
 7914
 7915
 7916
 7917
 7918
 7919
 7920
 7921
 7922
 7923
 7924
 7925
 7926
 7927
 7928
 7929
 7930
 7931
 7932
 7933
 7934
 7935
 7936
 7937
 7938
 7939
 7940
 7941
 7942
 7943
 7944
 7945
 7946
 7947
 7948
 7949
 7950
 7951
 7952
 7953
 7954
 7955
 7956
 7957
 7958
 7959
 7960
 7961
 7962
 7963
 7964
 7965
 7966
 7967
 7968
 7969
 7970
 7971
 7972
 7973
 7974
 7975
 7976
 7977
 7978
 7979
 7980
 7981
 7982
 7983
 7984
 7985
 7986
 7987
 7988
 7989
 7990
 7991
 7992
 7993
 7994
 7995
 7996
 7997
 7998
 7999
 8000
 8001
 8002
 8003
 8004
 8005
 8006
 8007
 8008
 8009
 8010
 8011
 8012
 8013
 8014
 8015
 8016
 8017
 8018
 8019
 8020
 8021
 8022
 8023
 8024
 8025
 8026
 8027
 8028
 8029
 8030
 8031
 8032
 8033
 8034
 8035
 8036
 8037
 8038
 8039
 8040
 8041
 8042
 8043
 8044
 8045
 8046
 8047
 8048
 8049
 8050
 8051
 8052
 8053
 8054
 8055
 8056
 8057
 8058
 8059
 8060
 8061
 8062
 8063
 8064
 8065
 8066
 8067
 8068
 8069
 8070
 8071
 8072
 8073
 8074
 8075
 8076
 8077
 8078
 8079
 8080
 8081
 8082
 8083
 8084
 8085
 8086
 8087
 8088
 8089
 8090
 8091
 8092
 8093
 8094
 8095
 8096
 8097
 8098
 8099
 8100
 8101
 8102
 8103
 8104
 8105
 8106
 8107
 8108
 8109
 8110
 8111
 8112
 8113
 8114
 8115
 8116
 8117
 8118
 8119
 8120
 8121
 8122
 8123
 8124
 8125
 8126
 8127
 8128
 8129
 8130
 8131
 8132
 8133
 8134
 8135
 8136
 8137
 8138
 8139
 8140
 8141
 8142
 8143
 8144
 8145
 8146
 8147
 8148
 8149
 8150
 8151
 8152
 8153
 8154
 8155
 8156
 8157
 8158
 8159
 8160
 8161
 8162
 8163
 8164
 8165
 8166
 8167
 8168
 8169
 8170
 8171
 8172
 8173
 8174
 8175
 8176
 8177
 8178
 8179
 8180
 8181
 8182
 8183
 8184
 8185
 8186
 8187
 8188
 8189
 8190
 8191
 8192
 8193
 8194
 8195
 8196
 8197
 8198
 8199
 8200
 8201
 8202
 8203
 8204
 8205
 8206
 8207
 8208
 8209
 8210
 8211
 8212
 8213
 8214
 8215
 8216
 8217
 8218
 8219
 8220
 8221
 8222
 8223
 8224
 8225
 8226
 8227
 8228
 8229
 8230
 8231
 8232
 8233
 8234
 8235
 8236
 8237
 8238
 8239
 8240
 8241
 8242
 8243
 8244
 8245
 8246
 8247
 8248
 8249
 8250
 8251
 8252
 8253
 8254
 8255
 8256
 8257
 8258
 8259
 8260
 8261
 8262
 8263
 8264
 8265
 8266
 8267
 8268
 8269
 8270
 8271
 8272
 8273
 8274
 8275
 8276
 8277
 8278
 8279
 8280
 8281
 8282
 8283
 8284
 8285
 8286
 8287
 8288
 8289
 8290
 8291
 8292
 8293
 8294
 8295
 8296
 8297
 8298
 8299
 8300
 8301
 8302
 8303
 8304
 8305
 8306
 8307
 8308
 8309
 8310
 8311
 8312
 8313
 8314
 8315
 8316
 8317
 8318
 8319
 8320
 8321
 8322
 8323
 8324
 8325
 8326
 8327
 8328
 8329
 8330
 8331
 8332
 8333
 8334
 8335
 8336
 8337
 8338
 8339
 8340
 8341
 8342
 8343
 8344
 8345
 8346
 8347
 8348
 8349
 8350
 8351
 8352
 8353
 8354
 8355
 8356
 8357
 8358
 8359
 8360
 8361
 8362
 8363
 8364
 8365
 8366
 8367
 8368
 8369
 8370
 8371
 8372
 8373
 8374
 8375
 8376
 8377
 8378
 8379
 8380
 8381
 8382
 8383
 8384
 8385
 8386
 8387
 8388
 8389
 8390
 8391
 8392
 8393
 8394
 8395
 8396
 8397
 8398
 8399
 8400
 8401
 8402
 8403
 8404
 8405
 8406
 8407
 8408
 8409
 8410
 8411
 8412
 8413
 8414
 8415
 8416
 8417
 8418
 8419
 8420
 8421
 8422
 8423
 8424
 8425
 8426
 8427
 8428
 8429
 8430
 8431
 8432
 8433
 8434
 8435
 8436
 8437
 8438
 8439
 8440
 8441
 8442
 8443
 8444
 8445
 8446
 8447
 8448
 8449
 8450
 8451
 8452
 8453
 8454
 8455
 8456
 8457
 8458
 8459
 8460
 8461
 8462
 8463
 8464
 8465
 8466
 8467
 8468
 8469
 8470
 8471
 8472
 8473
 8474
 8475
 8476
 8477
 8478
 8479
 8480
 8481
 8482
 8483
 8484
 8485
 8486
 8487
 8488
 8489
 8490
 8491
 8492
 8493
 8494
 8495
 8496
 8497
 8498
 8499
 8500
 8501
 8502
 8503
 8504
 8505
 8506
 8507
 8508
 8509
 8510
 8511
 8512
 8513
 8514
 8515
 8516
 8517
 8518
 8519
 8520
 8521
 8522
 8523
 8524
 8525
 8526
 8527
 8528
 8529
 8530
 8531
 8532
 8533
 8534
 8535
 8536
 8537
 8538
 8539
 8540
 8541
 8542
 8543
 8544
 8545
 8546
 8547
 8548
 8549
 8550
 8551
 8552
 8553
 8554
 8555
 8556
 8557
 8558
 8559
 8560
 8561
 8562
 8563
 8564
 8565
 8566
 8567
 8568
 8569
 8570
 8571
 8572
 8573
 8574
 8575
 8576
 8577
 8578
 8579
 8580
 8581
 8582
 8583
 8584
 8585
 8586
 8587
 8588
 8589
 8590
 8591
 8592
 8593
 8594
 8595
 8596
 8597
 8598
 8599
 8600
 8601
 8602
 8603
 8604
 8605
 8606
 8607
 8608
 8609
 8610
 8611
 8612
 8613
 8614
 8615
 8616
 8617
 8618
 8619
 8620
 8621
 8622
 8623
 8624
 8625
 8626
 8627
 8628
 8629
 8630
 8631
 8632
 8633
 8634
 8635
 8636
 8637
 8638
 8639
 8640
 8641
 8642
 8643
 8644
 8645
 8646
 8647
 8648
 8649
 8650
 8651
 8652
 8653
 8654
 8655
 8656
 8657
 8658
 8659
 8660
 8661
 8662
 8663
 8664
 8665
 8666
 8667
 8668
 8669
 8670
 8671
 8672
 8673
 8674
 8675
 8676
 8677
 8678
 8679
 8680
 8681
 8682
 8683
 8684
 8685
 8686
 8687
 8688
 8689
 8690
 8691
 8692
 8693
 8694
 8695
 8696
 8697
 8698
 8699
 8700
 8701
 8702
 8703
 8704
 8705
 8706
 8707
 8708
 8709
 8710
 8711
 8712
 8713
 8714
 8715
 8716
 8717
 8718
 8719
 8720
 8721
 8722
 8723
 8724
 8725
 8726
 8727
 8728
 8729
 8730
 8731
 8732
 8733
 8734
 8735
 8736
 8737
 8738
 8739
 8740
 8741
 8742
 8743
 8744
 8745
 8746
 8747
 8748
 8749
 8750
 8751
 8752
 8753
 8754
 8755
 8756
 8757
 8758
 8759
 8760
 8761
 8762
 8763
 8764
 8765
 8766
 8767
 8768
 8769
 8770
 8771
 8772
 8773
 8774
 8775
 8776
 8777
 8778
 8779
 8780
 8781
 8782
 8783
 8784
 8785
 8786
 8787
 8788
 8789
 8790
 8791
 8792
 8793
 8794
 8795
 8796
 8797
 8798
 8799
 8800
 8801
 8802
 8803
 8804
 8805
 8806
 8807
 8808
 8809
 8810
 8811
 8812
 8813
 8814
 8815
 8816
 8817
 8818
 8819
 8820
 8821
 8822
 8823
 8824
 8825
 8826
 8827
 8828
 8829
 8830
 8831
 8832
 8833
 8834
 8835
 8836
 8837
 8838
 8839
 8840
 8841
 8842
 8843
 8844
 8845
 8846
 8847
 8848
 8849
 8850
 8851
 8852
 8853
 8854
 8855
 8856
 8857
 8858
 8859
 8860
 8861
 8862
 8863
 8864
 8865
 8866
 8867
 8868
 8869
 8870
 8871
 8872
 8873
 8874
 8875
 8876
 8877
 8878
 8879
 8880
 8881
 8882
 8883
 8884
 8885
 8886
 8887
 8888
 8889
 8890
 8891
 8892
 8893
 8894
 8895
 8896
 8897
 8898
 8899
 8900
 8901
 8902
 8903
 8904
 8905
 8906
 8907
 8908
 8909
 8910
 8911
 8912
 8913
 8914
 8915
 8916
 8917
 8918
 8919
 8920
 8921
 8922
 8923
 8924
 8925
 8926
 8927
 8928
 8929
 8930
 8931
 8932
 8933
 8934
 8935
 8936
 8937
 8938
 8939
 8940
 8941
 8942
 8943
 8944
 8945
 8946
 8947
 8948
 8949
 8950
 8951
 8952
 8953
 8954
 8955
 8956
 8957
 8958
 8959
 8960
 8961
 8962
 8963
 8964
 8965
 8966
 8967
 8968
 8969
 8970
 8971
 8972
 8973
 8974
 8975
 8976
 8977
 8978
 8979
 8980
 8981
 8982
 8983
 8984
 8985
 8986
 8987
 8988
 8989
 8990
 8991
 8992
 8993
 8994
 8995
 8996
 8997
 8998
 8999
 9000
 9001
 9002
 9003
 9004
 9005
 9006
 9007
 9008
 9009
 9010
 9011
 9012
 9013
 9014
 9015
 9016
 9017
 9018
 9019
 9020
 9021
 9022
 9023
 9024
 9025
 9026
 9027
 9028
 9029
 9030
 9031
 9032
 9033
 9034
 9035
 9036
 9037
 9038
 9039
 9040
 9041
 9042
 9043
 9044
 9045
 9046
 9047
 9048
 9049
 9050
 9051
 9052
 9053
 9054
 9055
 9056
 9057
 9058
 9059
 9060
 9061
 9062
 9063
 9064
 9065
 9066
 9067
 9068
 9069
 9070
 9071
 9072
 9073
 9074
 9075
 9076
 9077
 9078
 9079
 9080
 9081
 9082
 9083
 9084
 9085
 9086
 9087
 9088
 9089
 9090
 9091
 9092
 9093
 9094
 9095
 9096
 9097
 9098
 9099
 9100
 9101
 9102
 9103
 9104
 9105
 9106
 9107
 9108
 9109
 9110
 9111
 9112
 9113
 9114
 9115
 9116
 9117
 9118
 9119
 9120
 9121
 9122
 9123
 9124
 9125
 9126
 9127
 9128
 9129
 9130
 9131
 9132
 9133
 9134
 9135
 9136
 9137
 9138
 9139
 9140
 9141
 9142
 9143
 9144
 9145
 9146
 9147
 9148
 9149
 9150
 9151
 9152
 9153
 9154
 9155
 9156
 9157
 9158
 9159
 9160
 9161
 9162
 9163
 9164
 9165
 9166
 9167
 9168
 9169
 9170
 9171
 9172
 9173
 9174
 9175
 9176
 9177
 9178
 9179
 9180
 9181
 9182
 9183
 9184
 9185
 9186
 9187
 9188
 9189
 9190
 9191
 9192
 9193
 9194
 9195
 9196
 9197
 9198
 9199
 9200
 9201
 9202
 9203
 9204
 9205
 9206
 9207
 9208
 9209
 9210
 9211
 9212
 9213
 9214
 9215
 9216
 9217
 9218
 9219
 9220
 9221
 9222
 9223
 9224
 9225
 9226
 9227
 9228
 9229
 9230
 9231
 9232
 9233
 9234
 9235
 9236
 9237
 9238
 9239
 9240
 9241
 9242
 9243
 9244
 9245
 9246
 9247
 9248
 9249
 9250
 9251
 9252
 9253
 9254
 9255
 9256
 9257
 9258
 9259
 9260
 9261
 9262
 9263
 9264
 9265
 9266
 9267
 9268
 9269
 9270
 9271
 9272
 9273
 9274
 9275
 9276
 9277
 9278
 9279
 9280
 9281
 9282
 9283
 9284
 9285
 9286
 9287
 9288
 9289
 9290
 9291
 9292
 9293
 9294
 9295
 9296
 9297
 9298
 9299
 9300
 9301
 9302
 9303
 9304
 9305
 9306
 9307
 9308
 9309
 9310
 9311
 9312
 9313
 9314
 9315
 9316
 9317
 9318
 9319
 9320
 9321
 9322
 9323
 9324
 9325
 9326
 9327
 9328
 9329
 9330
 9331
 9332
 9333
 9334
 9335
 9336
 9337
 9338
 9339
 9340
 9341
 9342
 9343
 9344
 9345
 9346
 9347
 9348
 9349
 9350
 9351
 9352
 9353
 9354
 9355
 9356
 9357
 9358
 9359
 9360
 9361
 9362
 9363
 9364
 9365
 9366
 9367
 9368
 9369
 9370
 9371
 9372
 9373
 9374
 9375
 9376
 9377
 9378
 9379
 9380
 9381
 9382
 9383
 9384
 9385
 9386
 9387
 9388
 9389
 9390
 9391
 9392
 9393
 9394
 9395
 9396
 9397
 9398
 9399
 9400
 9401
 9402
 9403
 9404
 9405
 9406
 9407
 9408
 9409
 9410
 9411
 9412
 9413
 9414
 9415
 9416
 9417
 9418
 9419
 9420
 9421
 9422
 9423
 9424
 9425
 9426
 9427
 9428
 9429
 9430
 9431
 9432
 9433
 9434
 9435
 9436
 9437
 9438
 9439
 9440
 9441
 9442
 9443
 9444
 9445
 9446
 9447
 9448
 9449
 9450
 9451
 9452
 9453
 9454
 9455
 9456
 9457
 9458
 9459
 9460
 9461
 9462
 9463
 9464
 9465
 9466
 9467
 9468
 9469
 9470
 9471
 9472
 9473
 9474
 9475
 9476
 9477
 9478
 9479
 9480
 9481
 9482
 9483
 9484
 9485
 9486
 9487
 9488
 9489
 9490
 9491
 9492
 9493
 9494
 9495
 9496
 9497
 9498
 9499
 9500
 9501
 9502
 9503
 9504
 9505
 9506
 9507
 9508
 9509
 9510
 9511
 9512
 9513
 9514
 9515
 9516
 9517
 9518
 9519
 9520
 9521
 9522
 9523
 9524
 9525
 9526
 9527
 9528
 9529
 9530
 9531
 9532
 9533
 9534
 9535
 9536
 9537
 9538
 9539
 9540
 9541
 9542
 9543
 9544
 9545
 9546
 9547
 9548
 9549
 9550
 9551
 9552
 9553
 9554
 9555
 9556
 9557
 9558
 9559
 9560
 9561
 9562
 9563
 9564
 9565
 9566
 9567
 9568
 9569
 9570
 9571
 9572
 9573
 9574
 9575
 9576
 9577
 9578
 9579
 9580
 9581
 9582
 9583
 9584
 9585
 9586
 9587
 9588
 9589
 9590
 9591
 9592
 9593
 9594
 9595
 9596
 9597
 9598
 9599
 9600
 9601
 9602
 9603
 9604
 9605
 9606
 9607
 9608
 9609
 9610
 9611
 9612
 9613
 9614
 9615
 9616
 9617
 9618
 9619
 9620
 9621
 9622
 9623
 9624
 9625
 9626
 9627
 9628
 9629
 9630
 9631
 9632
 9633
 9634
 9635
 9636
 9637
 9638
 9639
 9640
 9641
 9642
 9643
 9644
 9645
 9646
 9647
 9648
 9649
 9650
 9651
 9652
 9653
 9654
 9655
 9656
 9657
 9658
 9659
 9660
 9661
 9662
 9663
 9664
 9665
 9666
 9667
 9668
 9669
 9670
 9671
 9672
 9673
 9674
 9675
 9676
 9677
 9678
 9679
 9680
 9681
 9682
 9683
 9684
 9685
 9686
 9687
 9688
 9689
 9690
 9691
 9692
 9693
 9694
 9695
 9696
 9697
 9698
 9699
 9700
 9701
 9702
 9703
 9704
 9705
 9706
 9707
 9708
 9709
 9710
 9711
 9712
 9713
 9714
 9715
 9716
 9717
 9718
 9719
 9720
 9721
 9722
 9723
 9724
 9725
 9726
 9727
 9728
 9729
 9730
 9731
 9732
 9733
 9734
 9735
 9736
 9737
 9738
 9739
 9740
 9741
 9742
 9743
 9744
 9745
 9746
 9747
 9748
 9749
 9750
 9751
 9752
 9753
 9754
 9755
 9756
 9757
 9758
 9759
 9760
 9761
 9762
 9763
 9764
 9765
 9766
 9767
 9768
 9769
 9770
 9771
 9772
 9773
 9774
 9775
 9776
 9777
 9778
 9779
 9780
 9781
 9782
 9783
 9784
 9785
 9786
 9787
 9788
 9789
 9790
 9791
 9792
 9793
 9794
 9795
 9796
 9797
 9798
 9799
 9800
 9801
 9802
 9803
 9804
 9805
 9806
 9807
 9808
 9809
 9810
 9811
 9812
 9813
 9814
 9815
 9816
 9817
 9818
 9819
 9820
 9821
 9822
 9823
 9824
 9825
 9826
 9827
 9828
 9829
 9830
 9831
 9832
 9833
 9834
 9835
 9836
 9837
 9838
 9839
 9840
 9841
 9842
 9843
 9844
 9845
 9846
 9847
 9848
 9849
 9850
 9851
 9852
 9853
 9854
 9855
 9856
 9857
 9858
 9859
 9860
 9861
 9862
 9863
 9864
 9865
 9866
 9867
 9868
 9869
 9870
 9871
 9872
 9873
 9874
 9875
 9876
 9877
 9878
 9879
 9880
 9881
 9882
 9883
 9884
 9885
 9886
 9887
 9888
 9889
 9890
 9891
 9892
 9893
 9894
 9895
 9896
 9897
 9898
 9899
 9900
 9901
 9902
 9903
 9904
 9905
 9906
 9907
 9908
 9909
 9910
 9911
 9912
 9913
 9914
 9915
 9916
 9917
 9918
 9919
 9920
 9921
 9922
 9923
 9924
 9925
 9926
 9927
 9928
 9929
 9930
 9931
 9932
 9933
 9934
 9935
 9936
 9937
 9938
 9939
 9940
 9941
 9942
 9943
 9944
 9945
 9946
 9947
 9948
 9949
 9950
 9951
 9952
 9953
 9954
 9955
 9956
 9957
 9958
 9959
 9960
 9961
 9962
 9963
 9964
 9965
 9966
 9967
 9968
 9969
 9970
 9971
 9972
 9973
 9974
 9975
 9976
 9977
 9978
 9979
 9980
 9981
 9982
 9983
 9984
 9985
 9986
 9987
 9988
 9989
 9990
 9991
 9992
 9993
 9994
 9995
 9996
 9997
 9998
 9999
10000
10001
10002
10003
10004
10005
10006
10007
10008
10009
10010
10011
10012
10013
10014
10015
10016
10017
10018
10019
10020
10021
10022
10023
10024
10025
10026
10027
10028
10029
10030
10031
10032
10033
10034
10035
10036
10037
10038
10039
10040
10041
10042
10043
10044
10045
10046
10047
10048
10049
10050
10051
10052
10053
10054
10055
10056
10057
10058
10059
10060
10061
10062
10063
10064
10065
10066
10067
10068
10069
10070
10071
10072
10073
10074
10075
10076
10077
10078
10079
10080
10081
10082
10083
10084
10085
10086
10087
10088
10089
10090
10091
10092
10093
10094
10095
10096
10097
10098
10099
10100
10101
10102
10103
10104
10105
10106
10107
10108
10109
10110
10111
10112
10113
10114
10115
10116
10117
10118
10119
10120
10121
10122
10123
10124
10125
10126
10127
10128
10129
10130
10131
10132
10133
10134
10135
10136
10137
10138
10139
10140
10141
10142
10143
10144
10145
10146
10147
10148
10149
10150
10151
10152
10153
10154
10155
10156
10157
10158
10159
10160
10161
10162
10163
10164
10165
10166
10167
10168
10169
10170
10171
10172
10173
10174
10175
10176
10177
10178
10179
10180
10181
10182
10183
10184
10185
10186
10187
10188
10189
10190
10191
10192
10193
10194
10195
10196
10197
10198
10199
10200
10201
10202
10203
10204
10205
10206
10207
10208
10209
10210
10211
10212
10213
10214
10215
10216
10217
10218
10219
10220
10221
10222
10223
10224
10225
10226
10227
10228
10229
10230
10231
10232
10233
10234
10235
10236
10237
10238
10239
10240
10241
10242
10243
10244
10245
10246
10247
10248
10249
10250
10251
10252
10253
10254
10255
10256
10257
10258
10259
10260
10261
10262
10263
10264
10265
10266
10267
10268
10269
10270
10271
10272
10273
10274
10275
10276
10277
10278
10279
10280
10281
10282
10283
10284
10285
10286
10287
10288
10289
10290
10291
10292
10293
10294
10295
10296
10297
10298
10299
10300
10301
10302
10303
10304
10305
10306
10307
10308
10309
10310
10311
10312
10313
10314
10315
10316
10317
10318
10319
10320
10321
10322
10323
10324
10325
10326
10327
10328
10329
10330
10331
10332
10333
10334
10335
10336
10337
10338
10339
10340
10341
10342
10343
10344
10345
10346
10347
10348
10349
10350
10351
10352
10353
10354
10355
10356
10357
10358
10359
10360
10361
10362
10363
10364
10365
10366
10367
10368
10369
10370
10371
10372
10373
10374
10375
10376
10377
10378
10379
10380
10381
10382
10383
10384
10385
10386
10387
10388
10389
10390
10391
10392
10393
10394
10395
10396
10397
10398
10399
10400
10401
10402
10403
10404
10405
10406
10407
10408
10409
10410
10411
10412
10413
10414
10415
10416
10417
10418
10419
10420
10421
10422
10423
10424
10425
10426
10427
10428
10429
10430
10431
10432
10433
10434
10435
10436
10437
10438
10439
10440
10441
10442
10443
10444
10445
10446
10447
10448
10449
10450
10451
10452
10453
10454
10455
10456
10457
10458
10459
10460
10461
10462
10463
10464
10465
10466
10467
10468
10469
10470
10471
10472
10473
10474
10475
10476
10477
10478
10479
10480
10481
10482
10483
10484
10485
10486
10487
10488
10489
10490
10491
10492
10493
10494
10495
10496
10497
10498
10499
10500
10501
10502
10503
10504
10505
10506
10507
10508
10509
10510
10511
10512
10513
10514
10515
10516
10517
10518
10519
10520
10521
10522
10523
10524
10525
10526
10527
10528
10529
10530
10531
10532
10533
10534
10535
10536
10537
10538
10539
10540
10541
10542
10543
10544
10545
10546
10547
10548
10549
10550
10551
10552
10553
10554
10555
10556
10557
10558
10559
10560
10561
10562
10563
10564
10565
10566
10567
10568
10569
10570
10571
10572
10573
10574
10575
10576
10577
10578
10579
10580
10581
10582
10583
10584
10585
10586
10587
10588
10589
10590
10591
10592
10593
10594
10595
10596
10597
10598
10599
10600
10601
10602
10603
10604
10605
10606
10607
10608
10609
10610
10611
10612
10613
10614
10615
10616
10617
10618
10619
10620
10621
10622
10623
10624
10625
10626
10627
10628
10629
10630
10631
10632
10633
10634
10635
10636
10637
10638
10639
10640
10641
10642
10643
10644
10645
10646
10647
10648
10649
10650
10651
10652
10653
10654
10655
10656
10657
10658
10659
10660
10661
10662
10663
10664
10665
10666
10667
10668
10669
10670
10671
10672
10673
10674
10675
10676
10677
10678
10679
10680
10681
10682
10683
10684
10685
10686
10687
10688
10689
10690
10691
10692
10693
10694
10695
10696
10697
10698
10699
10700
10701
10702
10703
10704
10705
10706
10707
10708
10709
10710
10711
10712
10713
10714
10715
10716
10717
10718
10719
10720
10721
10722
10723
10724
10725
10726
10727
10728
10729
10730
10731
10732
10733
10734
10735
10736
10737
10738
10739
10740
10741
10742
10743
10744
10745
10746
10747
10748
10749
10750
10751
10752
10753
10754
10755
10756
10757
10758
10759
10760
10761
10762
10763
10764
10765
10766
10767
10768
10769
10770
10771
10772
10773
10774
10775
10776
10777
10778
10779
10780
10781
10782
10783
10784
10785
10786
10787
10788
10789
10790
10791
10792
10793
10794
10795
10796
10797
10798
10799
10800
10801
10802
10803
10804
10805
10806
10807
10808
10809
10810
10811
10812
10813
10814
10815
10816
10817
10818
10819
10820
10821
10822
10823
10824
10825
10826
10827
10828
10829
10830
10831
10832
10833
10834
10835
10836
10837
10838
10839
10840
10841
10842
10843
10844
10845
10846
10847
10848
10849
10850
10851
10852
10853
10854
10855
10856
10857
10858
10859
10860
10861
10862
10863
10864
10865
10866
10867
10868
10869
10870
10871
10872
10873
10874
10875
10876
10877
10878
10879
10880
10881
10882
10883
10884
10885
10886
10887
10888
10889
10890
10891
10892
10893
10894
10895
10896
10897
10898
10899
10900
10901
10902
10903
10904
10905
10906
10907
10908
10909
10910
10911
10912
10913
10914
10915
10916
10917
10918
10919
10920
10921
10922
10923
10924
10925
10926
10927
10928
10929
10930
10931
10932
10933
10934
10935
10936
10937
10938
10939
10940
10941
10942
10943
10944
10945
10946
10947
10948
10949
10950
10951
10952
10953
10954
10955
10956
10957
10958
10959
10960
10961
10962
10963
10964
10965
10966
10967
10968
10969
10970
10971
10972
10973
10974
10975
10976
10977
10978
10979
10980
10981
10982
10983
10984
10985
10986
10987
10988
10989
10990
10991
10992
10993
10994
10995
10996
10997
10998
10999
11000
11001
11002
11003
11004
11005
11006
11007
11008
11009
11010
11011
11012
11013
11014
11015
11016
11017
11018
11019
11020
11021
11022
11023
11024
11025
11026
11027
11028
11029
11030
11031
11032
11033
11034
11035
11036
11037
11038
11039
11040
11041
11042
11043
11044
11045
11046
11047
11048
11049
11050
11051
11052
11053
11054
11055
11056
11057
11058
11059
11060
11061
11062
11063
11064
11065
11066
11067
11068
11069
11070
11071
11072
11073
11074
11075
11076
11077
11078
11079
11080
11081
11082
11083
11084
11085
11086
11087
11088
11089
11090
11091
11092
11093
11094
11095
11096
11097
11098
11099
11100
11101
11102
11103
11104
11105
11106
11107
11108
11109
11110
11111
11112
11113
11114
11115
11116
11117
11118
11119
11120
11121
11122
11123
11124
11125
11126
11127
11128
11129
11130
11131
11132
11133
11134
11135
11136
11137
11138
11139
11140
11141
11142
11143
11144
11145
11146
11147
11148
11149
11150
11151
11152
11153
11154
11155
11156
11157
11158
11159
11160
11161
11162
11163
11164
11165
11166
11167
11168
11169
11170
11171
11172
11173
11174
11175
11176
11177
11178
11179
11180
11181
11182
11183
11184
11185
11186
11187
11188
11189
11190
11191
11192
11193
11194
11195
11196
11197
11198
11199
11200
11201
11202
11203
11204
11205
11206
11207
11208
11209
11210
11211
11212
11213
11214
11215
11216
11217
11218
11219
11220
11221
11222
11223
11224
11225
11226
11227
11228
11229
11230
11231
11232
11233
11234
11235
11236
11237
11238
11239
11240
11241
11242
11243
11244
11245
11246
11247
11248
11249
11250
11251
11252
11253
11254
11255
11256
11257
11258
11259
11260
11261
11262
11263
11264
11265
11266
11267
11268
11269
11270
11271
11272
11273
11274
11275
11276
11277
11278
11279
11280
11281
11282
11283
11284
11285
11286
11287
11288
11289
11290
11291
11292
11293
11294
11295
11296
11297
11298
11299
11300
11301
11302
11303
11304
11305
11306
11307
11308
11309
11310
11311
11312
11313
11314
11315
11316
11317
11318
11319
11320
11321
11322
11323
11324
11325
11326
11327
11328
11329
11330
11331
11332
11333
11334
11335
11336
11337
11338
11339
11340
11341
11342
11343
11344
11345
11346
11347
11348
11349
11350
11351
11352
11353
11354
11355
11356
11357
11358
11359
11360
11361
11362
11363
11364
11365
11366
11367
11368
11369
11370
11371
11372
11373
11374
11375
11376
11377
11378
11379
11380
11381
11382
11383
11384
11385
11386
11387
11388
11389
11390
11391
11392
11393
11394
11395
11396
11397
11398
11399
11400
11401
11402
11403
11404
11405
11406
11407
11408
11409
11410
11411
11412
11413
11414
11415
11416
11417
11418
11419
11420
11421
11422
11423
11424
11425
11426
11427
11428
11429
11430
11431
11432
11433
11434
11435
11436
11437
11438
11439
11440
11441
11442
11443
11444
11445
11446
11447
11448
11449
11450
11451
11452
11453
11454
11455
11456
11457
11458
11459
11460
11461
11462
11463
11464
11465
11466
11467
11468
11469
11470
11471
11472
11473
11474
11475
11476
11477
11478
11479
11480
11481
11482
11483
11484
11485
11486
11487
11488
11489
11490
11491
11492
11493
11494
11495
11496
11497
11498
11499
11500
11501
11502
11503
11504
11505
11506
11507
11508
11509
11510
11511
11512
11513
11514
11515
11516
11517
11518
11519
11520
11521
11522
11523
11524
11525
11526
11527
11528
11529
11530
11531
11532
11533
11534
11535
11536
11537
11538
11539
11540
11541
11542
11543
11544
11545
11546
11547
11548
11549
11550
11551
11552
11553
11554
11555
11556
11557
11558
11559
11560
11561
11562
11563
11564
11565
11566
11567
11568
11569
11570
11571
11572
11573
11574
11575
11576
11577
11578
11579
11580
11581
11582
11583
11584
11585
11586
11587
11588
11589
11590
11591
11592
11593
11594
11595
11596
11597
11598
11599
11600
11601
11602
11603
11604
11605
11606
11607
11608
11609
11610
11611
11612
11613
11614
11615
11616
11617
11618
11619
11620
11621
11622
11623
11624
11625
11626
11627
11628
11629
11630
11631
11632
11633
11634
11635
11636
11637
11638
11639
11640
11641
11642
11643
11644
11645
11646
11647
11648
11649
11650
11651
11652
11653
11654
11655
11656
11657
11658
11659
11660
11661
11662
11663
11664
11665
11666
11667
11668
11669
11670
11671
11672
11673
11674
11675
11676
11677
11678
11679
11680
11681
11682
11683
11684
11685
11686
11687
11688
11689
11690
11691
11692
11693
11694
11695
11696
11697
11698
11699
11700
11701
11702
11703
11704
11705
11706
11707
11708
11709
11710
11711
11712
11713
11714
11715
11716
11717
11718
11719
11720
11721
11722
11723
11724
11725
11726
11727
11728
11729
11730
11731
11732
11733
11734
11735
11736
11737
11738
11739
11740
11741
11742
11743
11744
11745
11746
11747
11748
11749
11750
11751
11752
11753
11754
11755
11756
11757
11758
11759
11760
11761
11762
11763
11764
11765
11766
11767
11768
11769
11770
11771
11772
11773
11774
11775
11776
11777
11778
11779
11780
11781
11782
11783
11784
11785
11786
11787
11788
11789
11790
11791
11792
11793
11794
11795
11796
11797
11798
11799
11800
11801
11802
11803
11804
11805
11806
11807
11808
11809
11810
11811
11812
11813
11814
11815
11816
11817
11818
11819
11820
11821
11822
11823
11824
11825
11826
11827
11828
11829
11830
11831
11832
11833
11834
11835
11836
11837
11838
11839
11840
11841
11842
11843
11844
11845
11846
11847
11848
11849
11850
11851
11852
11853
11854
11855
11856
11857
11858
11859
11860
11861
11862
11863
11864
11865
11866
11867
11868
11869
11870
11871
11872
11873
11874
11875
11876
11877
11878
11879
11880
11881
11882
11883
11884
11885
11886
11887
11888
11889
11890
11891
11892
11893
11894
11895
11896
11897
11898
11899
11900
11901
11902
11903
11904
11905
11906
11907
11908
11909
11910
11911
11912
11913
11914
11915
11916
11917
11918
11919
11920
11921
11922
11923
11924
11925
11926
11927
11928
11929
11930
11931
11932
11933
11934
11935
11936
11937
11938
11939
11940
11941
11942
11943
11944
11945
11946
11947
11948
11949
11950
11951
11952
11953
11954
11955
11956
11957
11958
11959
11960
11961
11962
11963
11964
11965
11966
11967
11968
11969
11970
11971
11972
11973
11974
11975
11976
11977
11978
11979
11980
11981
11982
11983
11984
11985
11986
11987
11988
11989
11990
11991
11992
11993
11994
11995
11996
11997
11998
11999
12000
12001
12002
12003
12004
12005
12006
12007
12008
12009
12010
12011
12012
12013
12014
12015
12016
12017
12018
12019
12020
12021
12022
12023
12024
12025
12026
12027
12028
12029
12030
12031
12032
12033
12034
12035
12036
12037
12038
12039
12040
12041
12042
12043
12044
12045
12046
12047
12048
12049
12050
12051
12052
12053
12054
12055
12056
12057
12058
12059
12060
12061
12062
12063
12064
12065
12066
12067
12068
12069
12070
12071
12072
12073
12074
12075
12076
12077
12078
12079
12080
12081
12082
12083
12084
12085
12086
12087
12088
12089
12090
12091
12092
12093
12094
12095
12096
12097
12098
12099
12100
12101
12102
12103
12104
12105
12106
12107
12108
12109
12110
12111
12112
12113
12114
12115
12116
12117
12118
12119
12120
12121
12122
12123
12124
12125
12126
12127
12128
12129
12130
12131
12132
12133
12134
12135
12136
12137
12138
12139
12140
12141
12142
12143
12144
12145
12146
12147
12148
12149
12150
12151
12152
12153
12154
12155
12156
12157
12158
12159
12160
12161
12162
12163
12164
12165
12166
12167
12168
12169
12170
12171
12172
12173
12174
12175
12176
12177
12178
12179
12180
12181
12182
12183
12184
12185
12186
12187
12188
12189
12190
12191
12192
12193
12194
12195
12196
12197
12198
12199
12200
12201
12202
12203
12204
12205
12206
12207
12208
12209
12210
12211
12212
12213
12214
12215
12216
12217
12218
12219
12220
12221
12222
12223
12224
12225
12226
12227
12228
12229
12230
12231
12232
12233
12234
12235
12236
12237
12238
12239
12240
12241
12242
12243
12244
12245
12246
12247
12248
12249
12250
12251
12252
12253
12254
12255
12256
12257
12258
12259
12260
12261
12262
12263
12264
12265
12266
12267
12268
12269
12270
12271
12272
12273
12274
12275
12276
12277
12278
12279
12280
12281
12282
12283
12284
12285
12286
12287
12288
12289
12290
12291
12292
12293
12294
12295
12296
12297
12298
12299
12300
12301
12302
12303
12304
12305
12306
12307
12308
12309
12310
12311
12312
12313
12314
12315
12316
12317
12318
12319
12320
12321
12322
12323
12324
12325
12326
12327
12328
12329
12330
12331
12332
12333
12334
12335
12336
12337
12338
12339
12340
12341
12342
12343
12344
12345
12346
12347
12348
12349
12350
12351
12352
12353
12354
12355
12356
12357
12358
12359
12360
12361
12362
12363
12364
12365
12366
12367
12368
12369
12370
12371
12372
12373
12374
12375
12376
12377
12378
12379
12380
12381
12382
12383
12384
12385
12386
12387
12388
12389
12390
12391
12392
12393
12394
12395
12396
12397
12398
12399
12400
12401
12402
12403
12404
12405
12406
12407
12408
12409
12410
12411
12412
12413
12414
12415
12416
12417
12418
12419
12420
12421
12422
12423
12424
12425
12426
12427
12428
12429
12430
12431
12432
12433
12434
12435
12436
12437
12438
12439
12440
12441
12442
12443
12444
12445
12446
12447
12448
12449
12450
12451
12452
12453
12454
12455
12456
12457
12458
12459
12460
12461
12462
12463
12464
12465
12466
12467
12468
12469
12470
12471
12472
12473
12474
12475
12476
12477
12478
12479
12480
12481
12482
12483
12484
12485
12486
12487
12488
12489
12490
12491
12492
12493
12494
12495
12496
12497
12498
12499
12500
12501
12502
12503
12504
12505
12506
12507
12508
12509
12510
12511
12512
12513
12514
12515
12516
12517
12518
12519
12520
12521
12522
12523
12524
12525
12526
12527
12528
12529
12530
12531
12532
12533
12534
12535
12536
12537
12538
12539
12540
12541
12542
12543
12544
12545
12546
12547
12548
12549
12550
12551
12552
12553
12554
12555
12556
12557
12558
12559
12560
12561
12562
12563
12564
12565
12566
12567
12568
12569
12570
12571
12572
12573
12574
12575
12576
12577
12578
12579
12580
12581
12582
12583
12584
12585
12586
12587
12588
12589
12590
12591
12592
12593
12594
12595
12596
12597
12598
12599
12600
12601
12602
12603
12604
12605
12606
12607
12608
12609
12610
12611
12612
12613
12614
12615
12616
12617
12618
12619
12620
12621
12622
12623
12624
12625
12626
12627
12628
12629
12630
12631
12632
12633
12634
12635
12636
12637
12638
12639
12640
12641
12642
12643
12644
12645
12646
12647
12648
12649
12650
12651
12652
12653
12654
12655
12656
12657
12658
12659
12660
12661
12662
12663
12664
12665
12666
12667
12668
12669
12670
12671
12672
12673
12674
12675
12676
12677
12678
12679
12680
12681
12682
12683
12684
12685
12686
12687
12688
12689
12690
12691
12692
12693
12694
12695
12696
12697
12698
12699
12700
12701
12702
12703
12704
12705
12706
12707
12708
12709
12710
12711
12712
12713
12714
12715
12716
12717
12718
12719
12720
12721
12722
12723
12724
12725
12726
12727
12728
12729
12730
12731
12732
12733
12734
12735
12736
12737
12738
12739
12740
12741
12742
12743
12744
12745
12746
12747
12748
12749
12750
12751
12752
12753
12754
12755
12756
12757
12758
12759
12760
12761
12762
12763
12764
12765
12766
12767
12768
12769
12770
12771
12772
12773
12774
12775
12776
12777
12778
12779
12780
12781
12782
12783
12784
12785
12786
12787
12788
12789
12790
12791
12792
12793
12794
12795
12796
12797
12798
12799
12800
12801
12802
12803
12804
12805
12806
12807
12808
12809
12810
12811
12812
12813
12814
12815
12816
12817
12818
12819
12820
12821
12822
12823
12824
12825
12826
12827
12828
12829
12830
12831
12832
12833
12834
12835
12836
12837
12838
12839
12840
12841
12842
12843
12844
12845
12846
12847
12848
12849
12850
12851
12852
12853
12854
12855
12856
12857
12858
12859
12860
12861
12862
12863
12864
12865
12866
12867
12868
12869
12870
12871
12872
12873
12874
12875
12876
12877
12878
12879
12880
12881
12882
12883
12884
12885
12886
12887
12888
12889
12890
12891
12892
12893
12894
12895
12896
12897
12898
12899
12900
12901
12902
12903
12904
12905
12906
12907
12908
12909
12910
12911
12912
12913
12914
12915
12916
12917
12918
12919
12920
12921
12922
12923
12924
12925
12926
12927
12928
12929
12930
12931
12932
12933
12934
12935
12936
12937
12938
12939
12940
12941
12942
12943
12944
12945
12946
12947
12948
12949
12950
12951
12952
12953
12954
12955
12956
12957
12958
12959
12960
12961
12962
12963
12964
12965
12966
12967
12968
12969
12970
12971
12972
12973
12974
12975
12976
12977
12978
12979
12980
12981
12982
12983
12984
12985
12986
12987
12988
12989
12990
12991
12992
12993
12994
12995
12996
12997
12998
12999
13000
13001
13002
13003
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|*                                                                            *|
|* Assembly Writer Source Fragment                                            *|
|*                                                                            *|
|* Automatically generated file, do not edit!                                 *|
|*                                                                            *|
\*===----------------------------------------------------------------------===*/

/// printInstruction - This method is automatically generated by tablegen
/// from the instruction set description.
void SystemZInstPrinter::printInstruction(const MCInst *MI, raw_ostream &O) {
  static const char AsmStrs[] = {
  /* 0 */ 'c', 'u', '2', '1', 9, 0,
  /* 6 */ 'c', 'u', '4', '1', 9, 0,
  /* 12 */ 'c', 'u', '1', '2', 9, 0,
  /* 18 */ 'c', 'u', '4', '2', 9, 0,
  /* 24 */ 'c', 'u', '1', '4', 9, 0,
  /* 30 */ 'c', 'u', '2', '4', 9, 0,
  /* 36 */ 't', 'r', 'a', 'p', '4', 9, 0,
  /* 43 */ 'l', 'a', 'a', 9, 0,
  /* 48 */ 's', 'l', 'd', 'a', 9, 0,
  /* 54 */ 's', 'r', 'd', 'a', 9, 0,
  /* 60 */ 'e', 's', 'e', 'a', 9, 0,
  /* 66 */ 'l', 'p', 't', 'e', 'a', 9, 0,
  /* 73 */ 'v', 'f', 'a', 9, 0,
  /* 78 */ 's', 'i', 'g', 'a', 9, 0,
  /* 84 */ 'e', 'c', 'p', 'g', 'a', 9, 0,
  /* 91 */ 'u', 'n', 'p', 'k', 'a', 9, 0,
  /* 98 */ 's', 'p', 'k', 'a', 9, 0,
  /* 104 */ 's', 'l', 'a', 9, 0,
  /* 109 */ 'v', 'g', 'f', 'm', 'a', 9, 0,
  /* 116 */ 'v', 'f', 'm', 'a', 9, 0,
  /* 122 */ 'k', 'm', 'a', 9, 0,
  /* 127 */ 'v', 'f', 'n', 'm', 'a', 9, 0,
  /* 134 */ 'p', 'p', 'a', 9, 0,
  /* 139 */ 'l', 'e', 'd', 'b', 'r', 'a', 9, 0,
  /* 147 */ 'c', 'f', 'd', 'b', 'r', 'a', 9, 0,
  /* 155 */ 'c', 'g', 'd', 'b', 'r', 'a', 9, 0,
  /* 163 */ 'f', 'i', 'd', 'b', 'r', 'a', 9, 0,
  /* 171 */ 'c', 'f', 'e', 'b', 'r', 'a', 9, 0,
  /* 179 */ 'c', 'g', 'e', 'b', 'r', 'a', 9, 0,
  /* 187 */ 'f', 'i', 'e', 'b', 'r', 'a', 9, 0,
  /* 195 */ 'c', 'd', 'f', 'b', 'r', 'a', 9, 0,
  /* 203 */ 'c', 'e', 'f', 'b', 'r', 'a', 9, 0,
  /* 211 */ 'c', 'x', 'f', 'b', 'r', 'a', 9, 0,
  /* 219 */ 'c', 'd', 'g', 'b', 'r', 'a', 9, 0,
  /* 227 */ 'c', 'e', 'g', 'b', 'r', 'a', 9, 0,
  /* 235 */ 'c', 'x', 'g', 'b', 'r', 'a', 9, 0,
  /* 243 */ 'l', 'd', 'x', 'b', 'r', 'a', 9, 0,
  /* 251 */ 'l', 'e', 'x', 'b', 'r', 'a', 9, 0,
  /* 259 */ 'c', 'f', 'x', 'b', 'r', 'a', 9, 0,
  /* 267 */ 'c', 'g', 'x', 'b', 'r', 'a', 9, 0,
  /* 275 */ 'f', 'i', 'x', 'b', 'r', 'a', 9, 0,
  /* 283 */ 'l', 'r', 'a', 9, 0,
  /* 288 */ 'v', 'e', 's', 'r', 'a', 9, 0,
  /* 295 */ 'v', 's', 'r', 'a', 9, 0,
  /* 301 */ 'a', 'd', 't', 'r', 'a', 9, 0,
  /* 308 */ 'd', 'd', 't', 'r', 'a', 9, 0,
  /* 315 */ 'c', 'g', 'd', 't', 'r', 'a', 9, 0,
  /* 323 */ 'm', 'd', 't', 'r', 'a', 9, 0,
  /* 330 */ 's', 'd', 't', 'r', 'a', 9, 0,
  /* 337 */ 'c', 'd', 'g', 't', 'r', 'a', 9, 0,
  /* 345 */ 'c', 'x', 'g', 't', 'r', 'a', 9, 0,
  /* 353 */ 'a', 'x', 't', 'r', 'a', 9, 0,
  /* 360 */ 'd', 'x', 't', 'r', 'a', 9, 0,
  /* 367 */ 'c', 'g', 'x', 't', 'r', 'a', 9, 0,
  /* 375 */ 'm', 'x', 't', 'r', 'a', 9, 0,
  /* 382 */ 's', 'x', 't', 'r', 'a', 9, 0,
  /* 389 */ 'l', 'u', 'r', 'a', 9, 0,
  /* 395 */ 's', 't', 'u', 'r', 'a', 9, 0,
  /* 402 */ 'b', 's', 'a', 9, 0,
  /* 407 */ 'k', 'd', 's', 'a', 9, 0,
  /* 413 */ 'e', 's', 't', 'a', 9, 0,
  /* 419 */ 'm', 's', 't', 'a', 9, 0,
  /* 425 */ 'v', 'a', 9, 0,
  /* 429 */ 'c', 'p', 'y', 'a', 9, 0,
  /* 435 */ 'v', 'g', 'f', 'm', 'a', 'b', 9, 0,
  /* 443 */ 'v', 'e', 's', 'r', 'a', 'b', 9, 0,
  /* 451 */ 'v', 's', 'r', 'a', 'b', 9, 0,
  /* 458 */ 'v', 'a', 'b', 9, 0,
  /* 463 */ 'l', 'c', 'b', 'b', 9, 0,
  /* 469 */ 'v', 'l', 'b', 'b', 9, 0,
  /* 475 */ 'v', 'a', 'c', 'c', 'b', 9, 0,
  /* 482 */ 'v', 'e', 'c', 'b', 9, 0,
  /* 488 */ 'v', 'l', 'c', 'b', 9, 0,
  /* 494 */ 'v', 's', 't', 'r', 'c', 'b', 9, 0,
  /* 502 */ 'v', 'f', 'a', 'd', 'b', 9, 0,
  /* 509 */ 'w', 'f', 'a', 'd', 'b', 9, 0,
  /* 516 */ 'v', 'f', 'm', 'a', 'd', 'b', 9, 0,
  /* 524 */ 'w', 'f', 'm', 'a', 'd', 'b', 9, 0,
  /* 532 */ 'v', 'f', 'n', 'm', 'a', 'd', 'b', 9, 0,
  /* 541 */ 'w', 'f', 'n', 'm', 'a', 'd', 'b', 9, 0,
  /* 550 */ 'w', 'f', 'c', 'd', 'b', 9, 0,
  /* 557 */ 'v', 'f', 'l', 'c', 'd', 'b', 9, 0,
  /* 565 */ 'w', 'f', 'l', 'c', 'd', 'b', 9, 0,
  /* 573 */ 't', 'c', 'd', 'b', 9, 0,
  /* 579 */ 'v', 'f', 'd', 'd', 'b', 9, 0,
  /* 586 */ 'w', 'f', 'd', 'd', 'b', 9, 0,
  /* 593 */ 'v', 'f', 'c', 'e', 'd', 'b', 9, 0,
  /* 601 */ 'w', 'f', 'c', 'e', 'd', 'b', 9, 0,
  /* 609 */ 'v', 'f', 'c', 'h', 'e', 'd', 'b', 9, 0,
  /* 618 */ 'w', 'f', 'c', 'h', 'e', 'd', 'b', 9, 0,
  /* 627 */ 'v', 'f', 'k', 'h', 'e', 'd', 'b', 9, 0,
  /* 636 */ 'w', 'f', 'k', 'h', 'e', 'd', 'b', 9, 0,
  /* 645 */ 'v', 'f', 'k', 'e', 'd', 'b', 9, 0,
  /* 653 */ 'w', 'f', 'k', 'e', 'd', 'b', 9, 0,
  /* 661 */ 'v', 'l', 'e', 'd', 'b', 9, 0,
  /* 668 */ 'w', 'l', 'e', 'd', 'b', 9, 0,
  /* 675 */ 'v', 'c', 'g', 'd', 'b', 9, 0,
  /* 682 */ 'w', 'c', 'g', 'd', 'b', 9, 0,
  /* 689 */ 'v', 'c', 'l', 'g', 'd', 'b', 9, 0,
  /* 697 */ 'w', 'c', 'l', 'g', 'd', 'b', 9, 0,
  /* 705 */ 'v', 'f', 'c', 'h', 'd', 'b', 9, 0,
  /* 713 */ 'w', 'f', 'c', 'h', 'd', 'b', 9, 0,
  /* 721 */ 'v', 'f', 'k', 'h', 'd', 'b', 9, 0,
  /* 729 */ 'w', 'f', 'k', 'h', 'd', 'b', 9, 0,
  /* 737 */ 'v', 'f', 't', 'c', 'i', 'd', 'b', 9, 0,
  /* 746 */ 'w', 'f', 't', 'c', 'i', 'd', 'b', 9, 0,
  /* 755 */ 'v', 'f', 'i', 'd', 'b', 9, 0,
  /* 762 */ 'w', 'f', 'i', 'd', 'b', 9, 0,
  /* 769 */ 'w', 'f', 'k', 'd', 'b', 9, 0,
  /* 776 */ 'v', 's', 'l', 'd', 'b', 9, 0,
  /* 783 */ 'v', 'f', 'm', 'd', 'b', 9, 0,
  /* 790 */ 'w', 'f', 'm', 'd', 'b', 9, 0,
  /* 797 */ 'v', 'f', 'm', 'i', 'n', 'd', 'b', 9, 0,
  /* 806 */ 'w', 'f', 'm', 'i', 'n', 'd', 'b', 9, 0,
  /* 815 */ 'v', 'f', 'l', 'n', 'd', 'b', 9, 0,
  /* 823 */ 'w', 'f', 'l', 'n', 'd', 'b', 9, 0,
  /* 831 */ 'v', 'f', 'p', 's', 'o', 'd', 'b', 9, 0,
  /* 840 */ 'w', 'f', 'p', 's', 'o', 'd', 'b', 9, 0,
  /* 849 */ 'v', 'f', 'l', 'p', 'd', 'b', 9, 0,
  /* 857 */ 'w', 'f', 'l', 'p', 'd', 'b', 9, 0,
  /* 865 */ 'v', 'f', 's', 'q', 'd', 'b', 9, 0,
  /* 873 */ 'w', 'f', 's', 'q', 'd', 'b', 9, 0,
  /* 881 */ 'v', 'f', 's', 'd', 'b', 9, 0,
  /* 888 */ 'w', 'f', 's', 'd', 'b', 9, 0,
  /* 895 */ 'v', 'f', 'm', 's', 'd', 'b', 9, 0,
  /* 903 */ 'w', 'f', 'm', 's', 'd', 'b', 9, 0,
  /* 911 */ 'v', 'f', 'n', 'm', 's', 'd', 'b', 9, 0,
  /* 920 */ 'w', 'f', 'n', 'm', 's', 'd', 'b', 9, 0,
  /* 929 */ 'v', 'f', 'm', 'a', 'x', 'd', 'b', 9, 0,
  /* 938 */ 'w', 'f', 'm', 'a', 'x', 'd', 'b', 9, 0,
  /* 947 */ 'l', 'x', 'd', 'b', 9, 0,
  /* 953 */ 'm', 'x', 'd', 'b', 9, 0,
  /* 959 */ 'v', 'f', 'a', 'e', 'b', 9, 0,
  /* 966 */ 'v', 'm', 'a', 'e', 'b', 9, 0,
  /* 973 */ 't', 'c', 'e', 'b', 9, 0,
  /* 979 */ 'v', 'l', 'd', 'e', 'b', 9, 0,
  /* 986 */ 'w', 'l', 'd', 'e', 'b', 9, 0,
  /* 993 */ 'm', 'd', 'e', 'b', 9, 0,
  /* 999 */ 'v', 'f', 'e', 'e', 'b', 9, 0,
  /* 1006 */ 'm', 'e', 'e', 'b', 9, 0,
  /* 1012 */ 'v', 'c', 'f', 'e', 'b', 9, 0,
  /* 1019 */ 'w', 'c', 'f', 'e', 'b', 9, 0,
  /* 1026 */ 'v', 'c', 'l', 'f', 'e', 'b', 9, 0,
  /* 1034 */ 'w', 'c', 'l', 'f', 'e', 'b', 9, 0,
  /* 1042 */ 'k', 'e', 'b', 9, 0,
  /* 1047 */ 'v', 'm', 'a', 'l', 'e', 'b', 9, 0,
  /* 1055 */ 'v', 'm', 'l', 'e', 'b', 9, 0,
  /* 1062 */ 'v', 'l', 'e', 'b', 9, 0,
  /* 1068 */ 'v', 'm', 'e', 'b', 9, 0,
  /* 1074 */ 'v', 'f', 'e', 'n', 'e', 'b', 9, 0,
  /* 1082 */ 's', 'q', 'e', 'b', 9, 0,
  /* 1088 */ 'm', 's', 'e', 'b', 9, 0,
  /* 1094 */ 'v', 's', 't', 'e', 'b', 9, 0,
  /* 1101 */ 'l', 'x', 'e', 'b', 9, 0,
  /* 1107 */ 'v', 'c', 'e', 'f', 'b', 9, 0,
  /* 1114 */ 'w', 'c', 'e', 'f', 'b', 9, 0,
  /* 1121 */ 'v', 'c', 'e', 'l', 'f', 'b', 9, 0,
  /* 1129 */ 'w', 'c', 'e', 'l', 'f', 'b', 9, 0,
  /* 1137 */ 'v', 'c', 'd', 'g', 'b', 9, 0,
  /* 1144 */ 'w', 'c', 'd', 'g', 'b', 9, 0,
  /* 1151 */ 'v', 's', 'e', 'g', 'b', 9, 0,
  /* 1158 */ 'v', 'c', 'd', 'l', 'g', 'b', 9, 0,
  /* 1166 */ 'w', 'c', 'd', 'l', 'g', 'b', 9, 0,
  /* 1174 */ 'v', 'a', 'v', 'g', 'b', 9, 0,
  /* 1181 */ 'v', 'l', 'v', 'g', 'b', 9, 0,
  /* 1188 */ 'v', 'm', 'a', 'h', 'b', 9, 0,
  /* 1195 */ 'v', 'c', 'h', 'b', 9, 0,
  /* 1201 */ 'v', 'm', 'a', 'l', 'h', 'b', 9, 0,
  /* 1209 */ 'v', 'm', 'l', 'h', 'b', 9, 0,
  /* 1216 */ 'v', 'u', 'p', 'l', 'h', 'b', 9, 0,
  /* 1224 */ 'v', 'm', 'h', 'b', 9, 0,
  /* 1230 */ 'v', 'u', 'p', 'h', 'b', 9, 0,
  /* 1237 */ 'v', 'm', 'r', 'h', 'b', 9, 0,
  /* 1244 */ 'v', 's', 'c', 'b', 'i', 'b', 9, 0,
  /* 1252 */ 'c', 'i', 'b', 9, 0,
  /* 1257 */ 'v', 'l', 'e', 'i', 'b', 9, 0,
  /* 1264 */ 'c', 'g', 'i', 'b', 9, 0,
  /* 1270 */ 'c', 'l', 'g', 'i', 'b', 9, 0,
  /* 1277 */ 'c', 'l', 'i', 'b', 9, 0,
  /* 1283 */ 'v', 'r', 'e', 'p', 'i', 'b', 9, 0,
  /* 1291 */ 'v', 'm', 'a', 'l', 'b', 9, 0,
  /* 1298 */ 'v', 'e', 'c', 'l', 'b', 9, 0,
  /* 1305 */ 'v', 'a', 'v', 'g', 'l', 'b', 9, 0,
  /* 1313 */ 'v', 'c', 'h', 'l', 'b', 9, 0,
  /* 1320 */ 'v', 'u', 'p', 'l', 'l', 'b', 9, 0,
  /* 1328 */ 'v', 'e', 'r', 'l', 'l', 'b', 9, 0,
  /* 1336 */ 'v', 'm', 'l', 'b', 9, 0,
  /* 1342 */ 'v', 'm', 'n', 'l', 'b', 9, 0,
  /* 1349 */ 'v', 'u', 'p', 'l', 'b', 9, 0,
  /* 1356 */ 'v', 'm', 'r', 'l', 'b', 9, 0,
  /* 1363 */ 'v', 'e', 's', 'r', 'l', 'b', 9, 0,
  /* 1371 */ 'v', 's', 'r', 'l', 'b', 9, 0,
  /* 1378 */ 'v', 'e', 's', 'l', 'b', 9, 0,
  /* 1385 */ 'v', 's', 'l', 'b', 9, 0,
  /* 1391 */ 'v', 'm', 'x', 'l', 'b', 9, 0,
  /* 1398 */ 'v', 'g', 'f', 'm', 'b', 9, 0,
  /* 1405 */ 'v', 'g', 'm', 'b', 9, 0,
  /* 1411 */ 'v', 'e', 'r', 'i', 'm', 'b', 9, 0,
  /* 1419 */ 's', 'r', 'n', 'm', 'b', 9, 0,
  /* 1426 */ 'v', 's', 'u', 'm', 'b', 9, 0,
  /* 1433 */ 'v', 'm', 'n', 'b', 9, 0,
  /* 1439 */ 'v', 'm', 'a', 'o', 'b', 9, 0,
  /* 1446 */ 'v', 'm', 'a', 'l', 'o', 'b', 9, 0,
  /* 1454 */ 'v', 'm', 'l', 'o', 'b', 9, 0,
  /* 1461 */ 'v', 'm', 'o', 'b', 9, 0,
  /* 1467 */ 'v', 'l', 'r', 'e', 'p', 'b', 9, 0,
  /* 1475 */ 'v', 'r', 'e', 'p', 'b', 9, 0,
  /* 1482 */ 'v', 'l', 'p', 'b', 9, 0,
  /* 1488 */ 'v', 'c', 'e', 'q', 'b', 9, 0,
  /* 1495 */ 'c', 'r', 'b', 9, 0,
  /* 1500 */ 'c', 'g', 'r', 'b', 9, 0,
  /* 1506 */ 'c', 'l', 'g', 'r', 'b', 9, 0,
  /* 1513 */ 'c', 'l', 'r', 'b', 9, 0,
  /* 1519 */ 'v', 'i', 's', 't', 'r', 'b', 9, 0,
  /* 1527 */ 'v', 'f', 'a', 's', 'b', 9, 0,
  /* 1534 */ 'w', 'f', 'a', 's', 'b', 9, 0,
  /* 1541 */ 'v', 'f', 'm', 'a', 's', 'b', 9, 0,
  /* 1549 */ 'w', 'f', 'm', 'a', 's', 'b', 9, 0,
  /* 1557 */ 'v', 'f', 'n', 'm', 'a', 's', 'b', 9, 0,
  /* 1566 */ 'w', 'f', 'n', 'm', 'a', 's', 'b', 9, 0,
  /* 1575 */ 'w', 'f', 'c', 's', 'b', 9, 0,
  /* 1582 */ 'v', 'f', 'l', 'c', 's', 'b', 9, 0,
  /* 1590 */ 'w', 'f', 'l', 'c', 's', 'b', 9, 0,
  /* 1598 */ 'v', 'f', 'd', 's', 'b', 9, 0,
  /* 1605 */ 'w', 'f', 'd', 's', 'b', 9, 0,
  /* 1612 */ 'v', 'f', 'c', 'e', 's', 'b', 9, 0,
  /* 1620 */ 'w', 'f', 'c', 'e', 's', 'b', 9, 0,
  /* 1628 */ 'v', 'f', 'c', 'h', 'e', 's', 'b', 9, 0,
  /* 1637 */ 'w', 'f', 'c', 'h', 'e', 's', 'b', 9, 0,
  /* 1646 */ 'v', 'f', 'k', 'h', 'e', 's', 'b', 9, 0,
  /* 1655 */ 'w', 'f', 'k', 'h', 'e', 's', 'b', 9, 0,
  /* 1664 */ 'v', 'f', 'k', 'e', 's', 'b', 9, 0,
  /* 1672 */ 'w', 'f', 'k', 'e', 's', 'b', 9, 0,
  /* 1680 */ 'v', 'f', 'c', 'h', 's', 'b', 9, 0,
  /* 1688 */ 'w', 'f', 'c', 'h', 's', 'b', 9, 0,
  /* 1696 */ 'v', 'f', 'k', 'h', 's', 'b', 9, 0,
  /* 1704 */ 'w', 'f', 'k', 'h', 's', 'b', 9, 0,
  /* 1712 */ 'v', 'f', 't', 'c', 'i', 's', 'b', 9, 0,
  /* 1721 */ 'w', 'f', 't', 'c', 'i', 's', 'b', 9, 0,
  /* 1730 */ 'v', 'f', 'i', 's', 'b', 9, 0,
  /* 1737 */ 'w', 'f', 'i', 's', 'b', 9, 0,
  /* 1744 */ 'w', 'f', 'k', 's', 'b', 9, 0,
  /* 1751 */ 'v', 'f', 'm', 's', 'b', 9, 0,
  /* 1758 */ 'w', 'f', 'm', 's', 'b', 9, 0,
  /* 1765 */ 'v', 'f', 'm', 'i', 'n', 's', 'b', 9, 0,
  /* 1774 */ 'w', 'f', 'm', 'i', 'n', 's', 'b', 9, 0,
  /* 1783 */ 'v', 'f', 'l', 'n', 's', 'b', 9, 0,
  /* 1791 */ 'w', 'f', 'l', 'n', 's', 'b', 9, 0,
  /* 1799 */ 'v', 'f', 'p', 's', 'o', 's', 'b', 9, 0,
  /* 1808 */ 'w', 'f', 'p', 's', 'o', 's', 'b', 9, 0,
  /* 1817 */ 'v', 'f', 'l', 'p', 's', 'b', 9, 0,
  /* 1825 */ 'w', 'f', 'l', 'p', 's', 'b', 9, 0,
  /* 1833 */ 'v', 'f', 's', 'q', 's', 'b', 9, 0,
  /* 1841 */ 'w', 'f', 's', 'q', 's', 'b', 9, 0,
  /* 1849 */ 'v', 's', 't', 'r', 's', 'b', 9, 0,
  /* 1857 */ 'v', 'f', 's', 's', 'b', 9, 0,
  /* 1864 */ 'w', 'f', 's', 's', 'b', 9, 0,
  /* 1871 */ 'v', 'f', 'm', 's', 's', 'b', 9, 0,
  /* 1879 */ 'w', 'f', 'm', 's', 's', 'b', 9, 0,
  /* 1887 */ 'v', 'f', 'n', 'm', 's', 's', 'b', 9, 0,
  /* 1896 */ 'w', 'f', 'n', 'm', 's', 's', 'b', 9, 0,
  /* 1905 */ 'v', 's', 'b', 9, 0,
  /* 1910 */ 'v', 'f', 'm', 'a', 'x', 's', 'b', 9, 0,
  /* 1919 */ 'w', 'f', 'm', 'a', 'x', 's', 'b', 9, 0,
  /* 1928 */ 'v', 'p', 'o', 'p', 'c', 't', 'b', 9, 0,
  /* 1937 */ 'v', 'e', 's', 'r', 'a', 'v', 'b', 9, 0,
  /* 1946 */ 'v', 'c', 'v', 'b', 9, 0,
  /* 1952 */ 'v', 'l', 'g', 'v', 'b', 9, 0,
  /* 1959 */ 'v', 'e', 'r', 'l', 'l', 'v', 'b', 9, 0,
  /* 1968 */ 'v', 'e', 's', 'r', 'l', 'v', 'b', 9, 0,
  /* 1977 */ 'v', 'e', 's', 'l', 'v', 'b', 9, 0,
  /* 1985 */ 'w', 'f', 'a', 'x', 'b', 9, 0,
  /* 1992 */ 'w', 'f', 'm', 'a', 'x', 'b', 9, 0,
  /* 2000 */ 'w', 'f', 'n', 'm', 'a', 'x', 'b', 9, 0,
  /* 2009 */ 'w', 'f', 'c', 'x', 'b', 9, 0,
  /* 2016 */ 'w', 'f', 'l', 'c', 'x', 'b', 9, 0,
  /* 2024 */ 't', 'c', 'x', 'b', 9, 0,
  /* 2030 */ 'w', 'f', 'd', 'x', 'b', 9, 0,
  /* 2037 */ 'w', 'f', 'c', 'e', 'x', 'b', 9, 0,
  /* 2045 */ 'w', 'f', 'c', 'h', 'e', 'x', 'b', 9, 0,
  /* 2054 */ 'w', 'f', 'k', 'h', 'e', 'x', 'b', 9, 0,
  /* 2063 */ 'w', 'f', 'k', 'e', 'x', 'b', 9, 0,
  /* 2071 */ 'w', 'f', 'c', 'h', 'x', 'b', 9, 0,
  /* 2079 */ 'w', 'f', 'k', 'h', 'x', 'b', 9, 0,
  /* 2087 */ 'w', 'f', 't', 'c', 'i', 'x', 'b', 9, 0,
  /* 2096 */ 'w', 'f', 'i', 'x', 'b', 9, 0,
  /* 2103 */ 'w', 'f', 'k', 'x', 'b', 9, 0,
  /* 2110 */ 'w', 'f', 'm', 'x', 'b', 9, 0,
  /* 2117 */ 'v', 'm', 'x', 'b', 9, 0,
  /* 2123 */ 'w', 'f', 'm', 'i', 'n', 'x', 'b', 9, 0,
  /* 2132 */ 'w', 'f', 'l', 'n', 'x', 'b', 9, 0,
  /* 2140 */ 'w', 'f', 'p', 's', 'o', 'x', 'b', 9, 0,
  /* 2149 */ 'w', 'f', 'l', 'p', 'x', 'b', 9, 0,
  /* 2157 */ 'w', 'f', 's', 'q', 'x', 'b', 9, 0,
  /* 2165 */ 'w', 'f', 's', 'x', 'b', 9, 0,
  /* 2172 */ 'w', 'f', 'm', 's', 'x', 'b', 9, 0,
  /* 2180 */ 'w', 'f', 'n', 'm', 's', 'x', 'b', 9, 0,
  /* 2189 */ 'w', 'f', 'm', 'a', 'x', 'x', 'b', 9, 0,
  /* 2198 */ 'v', 's', 't', 'r', 'c', 'z', 'b', 9, 0,
  /* 2207 */ 'v', 'f', 'a', 'e', 'z', 'b', 9, 0,
  /* 2215 */ 'v', 'f', 'e', 'e', 'z', 'b', 9, 0,
  /* 2223 */ 'v', 'l', 'l', 'e', 'z', 'b', 9, 0,
  /* 2231 */ 'v', 'f', 'e', 'n', 'e', 'z', 'b', 9, 0,
  /* 2240 */ 'v', 'c', 'l', 'z', 'b', 9, 0,
  /* 2247 */ 'v', 's', 't', 'r', 's', 'z', 'b', 9, 0,
  /* 2256 */ 'v', 'c', 't', 'z', 'b', 9, 0,
  /* 2263 */ 'i', 'a', 'c', 9, 0,
  /* 2268 */ 'k', 'm', 'a', 'c', 9, 0,
  /* 2274 */ 's', 'a', 'c', 9, 0,
  /* 2279 */ 'v', 'a', 'c', 9, 0,
  /* 2284 */ 'b', 'c', 9, 0,
  /* 2288 */ 'v', 'a', 'c', 'c', 9, 0,
  /* 2294 */ 'v', 'a', 'c', 'c', 'c', 9, 0,
  /* 2301 */ 'd', 'f', 'l', 't', 'c', 'c', 9, 0,
  /* 2309 */ 'v', 'e', 'c', 9, 0,
  /* 2314 */ 'c', 'f', 'c', 9, 0,
  /* 2319 */ 'w', 'f', 'c', 9, 0,
  /* 2324 */ 'l', 'l', 'g', 'c', 9, 0,
  /* 2330 */ 'm', 's', 'g', 'c', 9, 0,
  /* 2336 */ 'b', 'i', 'c', 9, 0,
  /* 2341 */ 's', 'c', 'k', 'c', 9, 0,
  /* 2347 */ 's', 't', 'c', 'k', 'c', 9, 0,
  /* 2354 */ 'm', 's', 'g', 'r', 'k', 'c', 9, 0,
  /* 2362 */ 'm', 's', 'r', 'k', 'c', 9, 0,
  /* 2369 */ 'a', 'l', 'c', 9, 0,
  /* 2374 */ 'c', 'l', 'c', 9, 0,
  /* 2379 */ 'l', 'l', 'c', 9, 0,
  /* 2384 */ 'v', 'l', 'c', 9, 0,
  /* 2389 */ 'k', 'm', 'c', 9, 0,
  /* 2394 */ 't', 'b', 'e', 'g', 'i', 'n', 'c', 9, 0,
  /* 2403 */ 'v', 'n', 'c', 9, 0,
  /* 2408 */ 'l', 'o', 'c', 9, 0,
  /* 2413 */ 's', 't', 'o', 'c', 9, 0,
  /* 2419 */ 'v', 'o', 'c', 9, 0,
  /* 2424 */ 'e', 'f', 'p', 'c', 9, 0,
  /* 2430 */ 'l', 'f', 'p', 'c', 9, 0,
  /* 2436 */ 's', 'f', 'p', 'c', 9, 0,
  /* 2442 */ 's', 't', 'f', 'p', 'c', 9, 0,
  /* 2449 */ 'b', 'r', 'c', 9, 0,
  /* 2454 */ 'v', 's', 't', 'r', 'c', 9, 0,
  /* 2461 */ 'l', 'g', 's', 'c', 9, 0,
  /* 2467 */ 's', 't', 'g', 's', 'c', 9, 0,
  /* 2474 */ 'm', 's', 'c', 9, 0,
  /* 2479 */ 'c', 'm', 'p', 's', 'c', 9, 0,
  /* 2486 */ 's', 't', 'c', 9, 0,
  /* 2491 */ 'm', 'v', 'c', 9, 0,
  /* 2496 */ 's', 'v', 'c', 9, 0,
  /* 2501 */ 'x', 'c', 9, 0,
  /* 2505 */ 'm', 'a', 'd', 9, 0,
  /* 2510 */ 'c', 'd', 9, 0,
  /* 2514 */ 'd', 'd', 9, 0,
  /* 2518 */ 'v', 'l', 'e', 'd', 9, 0,
  /* 2524 */ 'p', 'f', 'd', 9, 0,
  /* 2529 */ 'v', 'f', 'd', 9, 0,
  /* 2534 */ 'v', 'c', 'g', 'd', 9, 0,
  /* 2540 */ 'v', 'c', 'l', 'g', 'd', 9, 0,
  /* 2547 */ 'w', 'f', 'l', 'l', 'd', 9, 0,
  /* 2554 */ 'v', 's', 'l', 'd', 9, 0,
  /* 2560 */ 'k', 'i', 'm', 'd', 9, 0,
  /* 2566 */ 'k', 'l', 'm', 'd', 9, 0,
  /* 2572 */ 'e', 't', 'n', 'd', 9, 0,
  /* 2578 */ 'l', 'p', 'd', 9, 0,
  /* 2583 */ 's', 'q', 'd', 9, 0,
  /* 2588 */ 'v', 'f', 'l', 'r', 'd', 9, 0,
  /* 2595 */ 'w', 'f', 'l', 'r', 'd', 9, 0,
  /* 2602 */ 'v', 's', 'r', 'd', 9, 0,
  /* 2608 */ 'm', 's', 'd', 9, 0,
  /* 2613 */ 's', 't', 'd', 9, 0,
  /* 2618 */ 'v', 'c', 'v', 'd', 9, 0,
  /* 2624 */ 'l', 'x', 'd', 9, 0,
  /* 2629 */ 'm', 'x', 'd', 9, 0,
  /* 2634 */ 'v', 'f', 'a', 'e', 9, 0,
  /* 2640 */ 'l', 'a', 'e', 9, 0,
  /* 2645 */ 'v', 'm', 'a', 'e', 9, 0,
  /* 2651 */ 'c', 'i', 'b', 'e', 9, 0,
  /* 2657 */ 'c', 'g', 'i', 'b', 'e', 9, 0,
  /* 2664 */ 'c', 'l', 'g', 'i', 'b', 'e', 9, 0,
  /* 2672 */ 'c', 'l', 'i', 'b', 'e', 9, 0,
  /* 2679 */ 'c', 'r', 'b', 'e', 9, 0,
  /* 2685 */ 'c', 'g', 'r', 'b', 'e', 9, 0,
  /* 2692 */ 'c', 'l', 'g', 'r', 'b', 'e', 9, 0,
  /* 2700 */ 'c', 'l', 'r', 'b', 'e', 9, 0,
  /* 2707 */ 'r', 'r', 'b', 'e', 9, 0,
  /* 2713 */ 't', 'r', 'a', 'c', 'e', 9, 0,
  /* 2720 */ 'v', 'f', 'c', 'e', 9, 0,
  /* 2726 */ 'l', 'o', 'c', 'e', 9, 0,
  /* 2732 */ 's', 't', 'o', 'c', 'e', 9, 0,
  /* 2739 */ 'v', 'l', 'd', 'e', 9, 0,
  /* 2745 */ 'm', 'd', 'e', 9, 0,
  /* 2750 */ 'v', 'f', 'e', 'e', 9, 0,
  /* 2756 */ 'm', 'e', 'e', 9, 0,
  /* 2761 */ 'l', 'o', 'c', 'g', 'e', 9, 0,
  /* 2768 */ 's', 't', 'o', 'c', 'g', 'e', 9, 0,
  /* 2776 */ 'j', 'g', 'e', 9, 0,
  /* 2781 */ 'c', 'i', 'b', 'h', 'e', 9, 0,
  /* 2788 */ 'c', 'g', 'i', 'b', 'h', 'e', 9, 0,
  /* 2796 */ 'c', 'l', 'g', 'i', 'b', 'h', 'e', 9, 0,
  /* 2805 */ 'c', 'l', 'i', 'b', 'h', 'e', 9, 0,
  /* 2813 */ 'c', 'r', 'b', 'h', 'e', 9, 0,
  /* 2820 */ 'c', 'g', 'r', 'b', 'h', 'e', 9, 0,
  /* 2828 */ 'c', 'l', 'g', 'r', 'b', 'h', 'e', 9, 0,
  /* 2837 */ 'c', 'l', 'r', 'b', 'h', 'e', 9, 0,
  /* 2845 */ 'v', 'f', 'c', 'h', 'e', 9, 0,
  /* 2852 */ 'l', 'o', 'c', 'h', 'e', 9, 0,
  /* 2859 */ 's', 't', 'o', 'c', 'h', 'e', 9, 0,
  /* 2867 */ 'l', 'o', 'c', 'f', 'h', 'e', 9, 0,
  /* 2875 */ 's', 't', 'o', 'c', 'f', 'h', 'e', 9, 0,
  /* 2884 */ 'l', 'o', 'c', 'g', 'h', 'e', 9, 0,
  /* 2892 */ 's', 't', 'o', 'c', 'g', 'h', 'e', 9, 0,
  /* 2901 */ 'j', 'g', 'h', 'e', 9, 0,
  /* 2907 */ 'l', 'o', 'c', 'f', 'h', 'h', 'e', 9, 0,
  /* 2916 */ 's', 't', 'o', 'c', 'f', 'h', 'h', 'e', 9, 0,
  /* 2926 */ 'b', 'i', 'h', 'e', 9, 0,
  /* 2932 */ 'l', 'o', 'c', 'h', 'i', 'h', 'e', 9, 0,
  /* 2941 */ 'l', 'o', 'c', 'g', 'h', 'i', 'h', 'e', 9, 0,
  /* 2951 */ 'l', 'o', 'c', 'h', 'h', 'i', 'h', 'e', 9, 0,
  /* 2961 */ 'c', 'i', 'j', 'h', 'e', 9, 0,
  /* 2968 */ 'c', 'g', 'i', 'j', 'h', 'e', 9, 0,
  /* 2976 */ 'c', 'l', 'g', 'i', 'j', 'h', 'e', 9, 0,
  /* 2985 */ 'c', 'l', 'i', 'j', 'h', 'e', 9, 0,
  /* 2993 */ 'c', 'r', 'j', 'h', 'e', 9, 0,
  /* 3000 */ 'c', 'g', 'r', 'j', 'h', 'e', 9, 0,
  /* 3008 */ 'c', 'l', 'g', 'r', 'j', 'h', 'e', 9, 0,
  /* 3017 */ 'c', 'l', 'r', 'j', 'h', 'e', 9, 0,
  /* 3025 */ 'c', 'i', 'b', 'n', 'h', 'e', 9, 0,
  /* 3033 */ 'c', 'g', 'i', 'b', 'n', 'h', 'e', 9, 0,
  /* 3042 */ 'c', 'l', 'g', 'i', 'b', 'n', 'h', 'e', 9, 0,
  /* 3052 */ 'c', 'l', 'i', 'b', 'n', 'h', 'e', 9, 0,
  /* 3061 */ 'c', 'r', 'b', 'n', 'h', 'e', 9, 0,
  /* 3069 */ 'c', 'g', 'r', 'b', 'n', 'h', 'e', 9, 0,
  /* 3078 */ 'c', 'l', 'g', 'r', 'b', 'n', 'h', 'e', 9, 0,
  /* 3088 */ 'c', 'l', 'r', 'b', 'n', 'h', 'e', 9, 0,
  /* 3097 */ 'l', 'o', 'c', 'n', 'h', 'e', 9, 0,
  /* 3105 */ 's', 't', 'o', 'c', 'n', 'h', 'e', 9, 0,
  /* 3114 */ 'l', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
  /* 3123 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
  /* 3133 */ 'j', 'g', 'n', 'h', 'e', 9, 0,
  /* 3140 */ 'l', 'o', 'c', 'f', 'h', 'n', 'h', 'e', 9, 0,
  /* 3150 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'h', 'e', 9, 0,
  /* 3161 */ 'b', 'i', 'n', 'h', 'e', 9, 0,
  /* 3168 */ 'l', 'o', 'c', 'h', 'i', 'n', 'h', 'e', 9, 0,
  /* 3178 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'h', 'e', 9, 0,
  /* 3189 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'h', 'e', 9, 0,
  /* 3200 */ 'c', 'i', 'j', 'n', 'h', 'e', 9, 0,
  /* 3208 */ 'c', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
  /* 3217 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
  /* 3227 */ 'c', 'l', 'i', 'j', 'n', 'h', 'e', 9, 0,
  /* 3236 */ 'c', 'r', 'j', 'n', 'h', 'e', 9, 0,
  /* 3244 */ 'c', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
  /* 3253 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
  /* 3263 */ 'c', 'l', 'r', 'j', 'n', 'h', 'e', 9, 0,
  /* 3272 */ 'l', 'o', 'c', 'r', 'n', 'h', 'e', 9, 0,
  /* 3281 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 'e', 9, 0,
  /* 3291 */ 's', 'e', 'l', 'g', 'r', 'n', 'h', 'e', 9, 0,
  /* 3301 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'h', 'e', 9, 0,
  /* 3312 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'h', 'e', 9, 0,
  /* 3323 */ 's', 'e', 'l', 'r', 'n', 'h', 'e', 9, 0,
  /* 3332 */ 'c', 'l', 'g', 't', 'n', 'h', 'e', 9, 0,
  /* 3341 */ 'c', 'i', 't', 'n', 'h', 'e', 9, 0,
  /* 3349 */ 'c', 'l', 'f', 'i', 't', 'n', 'h', 'e', 9, 0,
  /* 3359 */ 'c', 'g', 'i', 't', 'n', 'h', 'e', 9, 0,
  /* 3368 */ 'c', 'l', 'g', 'i', 't', 'n', 'h', 'e', 9, 0,
  /* 3378 */ 'c', 'l', 't', 'n', 'h', 'e', 9, 0,
  /* 3386 */ 'c', 'r', 't', 'n', 'h', 'e', 9, 0,
  /* 3394 */ 'c', 'g', 'r', 't', 'n', 'h', 'e', 9, 0,
  /* 3403 */ 'c', 'l', 'g', 'r', 't', 'n', 'h', 'e', 9, 0,
  /* 3413 */ 'c', 'l', 'r', 't', 'n', 'h', 'e', 9, 0,
  /* 3422 */ 'l', 'o', 'c', 'r', 'h', 'e', 9, 0,
  /* 3430 */ 'l', 'o', 'c', 'g', 'r', 'h', 'e', 9, 0,
  /* 3439 */ 's', 'e', 'l', 'g', 'r', 'h', 'e', 9, 0,
  /* 3448 */ 'l', 'o', 'c', 'f', 'h', 'r', 'h', 'e', 9, 0,
  /* 3458 */ 's', 'e', 'l', 'f', 'h', 'r', 'h', 'e', 9, 0,
  /* 3468 */ 's', 'e', 'l', 'r', 'h', 'e', 9, 0,
  /* 3476 */ 'c', 'l', 'g', 't', 'h', 'e', 9, 0,
  /* 3484 */ 'c', 'i', 't', 'h', 'e', 9, 0,
  /* 3491 */ 'c', 'l', 'f', 'i', 't', 'h', 'e', 9, 0,
  /* 3500 */ 'c', 'g', 'i', 't', 'h', 'e', 9, 0,
  /* 3508 */ 'c', 'l', 'g', 'i', 't', 'h', 'e', 9, 0,
  /* 3517 */ 'c', 'l', 't', 'h', 'e', 9, 0,
  /* 3524 */ 'c', 'r', 't', 'h', 'e', 9, 0,
  /* 3531 */ 'c', 'g', 'r', 't', 'h', 'e', 9, 0,
  /* 3539 */ 'c', 'l', 'g', 'r', 't', 'h', 'e', 9, 0,
  /* 3548 */ 'c', 'l', 'r', 't', 'h', 'e', 9, 0,
  /* 3556 */ 'b', 'i', 'e', 9, 0,
  /* 3561 */ 'l', 'o', 'c', 'h', 'i', 'e', 9, 0,
  /* 3569 */ 'l', 'o', 'c', 'g', 'h', 'i', 'e', 9, 0,
  /* 3578 */ 'l', 'o', 'c', 'h', 'h', 'i', 'e', 9, 0,
  /* 3587 */ 's', 'i', 'e', 9, 0,
  /* 3592 */ 'c', 'i', 'j', 'e', 9, 0,
  /* 3598 */ 'c', 'g', 'i', 'j', 'e', 9, 0,
  /* 3605 */ 'c', 'l', 'g', 'i', 'j', 'e', 9, 0,
  /* 3613 */ 'c', 'l', 'i', 'j', 'e', 9, 0,
  /* 3620 */ 'c', 'r', 'j', 'e', 9, 0,
  /* 3626 */ 'c', 'g', 'r', 'j', 'e', 9, 0,
  /* 3633 */ 'c', 'l', 'g', 'r', 'j', 'e', 9, 0,
  /* 3641 */ 'c', 'l', 'r', 'j', 'e', 9, 0,
  /* 3648 */ 's', 't', 'c', 'k', 'e', 9, 0,
  /* 3655 */ 'i', 's', 'k', 'e', 9, 0,
  /* 3661 */ 's', 's', 'k', 'e', 9, 0,
  /* 3667 */ 'v', 'm', 'a', 'l', 'e', 9, 0,
  /* 3674 */ 'c', 'i', 'b', 'l', 'e', 9, 0,
  /* 3681 */ 'c', 'g', 'i', 'b', 'l', 'e', 9, 0,
  /* 3689 */ 'c', 'l', 'g', 'i', 'b', 'l', 'e', 9, 0,
  /* 3698 */ 'c', 'l', 'i', 'b', 'l', 'e', 9, 0,
  /* 3706 */ 'c', 'r', 'b', 'l', 'e', 9, 0,
  /* 3713 */ 'c', 'g', 'r', 'b', 'l', 'e', 9, 0,
  /* 3721 */ 'c', 'l', 'g', 'r', 'b', 'l', 'e', 9, 0,
  /* 3730 */ 'c', 'l', 'r', 'b', 'l', 'e', 9, 0,
  /* 3738 */ 'c', 'l', 'c', 'l', 'e', 9, 0,
  /* 3745 */ 'l', 'o', 'c', 'l', 'e', 9, 0,
  /* 3752 */ 's', 't', 'o', 'c', 'l', 'e', 9, 0,
  /* 3760 */ 'm', 'v', 'c', 'l', 'e', 9, 0,
  /* 3767 */ 's', 't', 'f', 'l', 'e', 9, 0,
  /* 3774 */ 'l', 'o', 'c', 'g', 'l', 'e', 9, 0,
  /* 3782 */ 's', 't', 'o', 'c', 'g', 'l', 'e', 9, 0,
  /* 3791 */ 'j', 'g', 'l', 'e', 9, 0,
  /* 3797 */ 'l', 'o', 'c', 'f', 'h', 'l', 'e', 9, 0,
  /* 3806 */ 's', 't', 'o', 'c', 'f', 'h', 'l', 'e', 9, 0,
  /* 3816 */ 'b', 'i', 'l', 'e', 9, 0,
  /* 3822 */ 'l', 'o', 'c', 'h', 'i', 'l', 'e', 9, 0,
  /* 3831 */ 'l', 'o', 'c', 'g', 'h', 'i', 'l', 'e', 9, 0,
  /* 3841 */ 'l', 'o', 'c', 'h', 'h', 'i', 'l', 'e', 9, 0,
  /* 3851 */ 'c', 'i', 'j', 'l', 'e', 9, 0,
  /* 3858 */ 'c', 'g', 'i', 'j', 'l', 'e', 9, 0,
  /* 3866 */ 'c', 'l', 'g', 'i', 'j', 'l', 'e', 9, 0,
  /* 3875 */ 'c', 'l', 'i', 'j', 'l', 'e', 9, 0,
  /* 3883 */ 'c', 'r', 'j', 'l', 'e', 9, 0,
  /* 3890 */ 'c', 'g', 'r', 'j', 'l', 'e', 9, 0,
  /* 3898 */ 'c', 'l', 'g', 'r', 'j', 'l', 'e', 9, 0,
  /* 3907 */ 'c', 'l', 'r', 'j', 'l', 'e', 9, 0,
  /* 3915 */ 'v', 'm', 'l', 'e', 9, 0,
  /* 3921 */ 'c', 'i', 'b', 'n', 'l', 'e', 9, 0,
  /* 3929 */ 'c', 'g', 'i', 'b', 'n', 'l', 'e', 9, 0,
  /* 3938 */ 'c', 'l', 'g', 'i', 'b', 'n', 'l', 'e', 9, 0,
  /* 3948 */ 'c', 'l', 'i', 'b', 'n', 'l', 'e', 9, 0,
  /* 3957 */ 'c', 'r', 'b', 'n', 'l', 'e', 9, 0,
  /* 3965 */ 'c', 'g', 'r', 'b', 'n', 'l', 'e', 9, 0,
  /* 3974 */ 'c', 'l', 'g', 'r', 'b', 'n', 'l', 'e', 9, 0,
  /* 3984 */ 'c', 'l', 'r', 'b', 'n', 'l', 'e', 9, 0,
  /* 3993 */ 'l', 'o', 'c', 'n', 'l', 'e', 9, 0,
  /* 4001 */ 's', 't', 'o', 'c', 'n', 'l', 'e', 9, 0,
  /* 4010 */ 'l', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
  /* 4019 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
  /* 4029 */ 'j', 'g', 'n', 'l', 'e', 9, 0,
  /* 4036 */ 'l', 'o', 'c', 'f', 'h', 'n', 'l', 'e', 9, 0,
  /* 4046 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'l', 'e', 9, 0,
  /* 4057 */ 'b', 'i', 'n', 'l', 'e', 9, 0,
  /* 4064 */ 'l', 'o', 'c', 'h', 'i', 'n', 'l', 'e', 9, 0,
  /* 4074 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'l', 'e', 9, 0,
  /* 4085 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'l', 'e', 9, 0,
  /* 4096 */ 'c', 'i', 'j', 'n', 'l', 'e', 9, 0,
  /* 4104 */ 'c', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
  /* 4113 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
  /* 4123 */ 'c', 'l', 'i', 'j', 'n', 'l', 'e', 9, 0,
  /* 4132 */ 'c', 'r', 'j', 'n', 'l', 'e', 9, 0,
  /* 4140 */ 'c', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
  /* 4149 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
  /* 4159 */ 'c', 'l', 'r', 'j', 'n', 'l', 'e', 9, 0,
  /* 4168 */ 'l', 'o', 'c', 'r', 'n', 'l', 'e', 9, 0,
  /* 4177 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'e', 9, 0,
  /* 4187 */ 's', 'e', 'l', 'g', 'r', 'n', 'l', 'e', 9, 0,
  /* 4197 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'l', 'e', 9, 0,
  /* 4208 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'l', 'e', 9, 0,
  /* 4219 */ 's', 'e', 'l', 'r', 'n', 'l', 'e', 9, 0,
  /* 4228 */ 'c', 'l', 'g', 't', 'n', 'l', 'e', 9, 0,
  /* 4237 */ 'c', 'i', 't', 'n', 'l', 'e', 9, 0,
  /* 4245 */ 'c', 'l', 'f', 'i', 't', 'n', 'l', 'e', 9, 0,
  /* 4255 */ 'c', 'g', 'i', 't', 'n', 'l', 'e', 9, 0,
  /* 4264 */ 'c', 'l', 'g', 'i', 't', 'n', 'l', 'e', 9, 0,
  /* 4274 */ 'c', 'l', 't', 'n', 'l', 'e', 9, 0,
  /* 4282 */ 'c', 'r', 't', 'n', 'l', 'e', 9, 0,
  /* 4290 */ 'c', 'g', 'r', 't', 'n', 'l', 'e', 9, 0,
  /* 4299 */ 'c', 'l', 'g', 'r', 't', 'n', 'l', 'e', 9, 0,
  /* 4309 */ 'c', 'l', 'r', 't', 'n', 'l', 'e', 9, 0,
  /* 4318 */ 'l', 'o', 'c', 'r', 'l', 'e', 9, 0,
  /* 4326 */ 'l', 'o', 'c', 'g', 'r', 'l', 'e', 9, 0,
  /* 4335 */ 's', 'e', 'l', 'g', 'r', 'l', 'e', 9, 0,
  /* 4344 */ 'l', 'o', 'c', 'f', 'h', 'r', 'l', 'e', 9, 0,
  /* 4354 */ 's', 'e', 'l', 'f', 'h', 'r', 'l', 'e', 9, 0,
  /* 4364 */ 's', 'e', 'l', 'r', 'l', 'e', 9, 0,
  /* 4372 */ 'c', 'l', 'g', 't', 'l', 'e', 9, 0,
  /* 4380 */ 'c', 'i', 't', 'l', 'e', 9, 0,
  /* 4387 */ 'c', 'l', 'f', 'i', 't', 'l', 'e', 9, 0,
  /* 4396 */ 'c', 'g', 'i', 't', 'l', 'e', 9, 0,
  /* 4404 */ 'c', 'l', 'g', 'i', 't', 'l', 'e', 9, 0,
  /* 4413 */ 'c', 'l', 't', 'l', 'e', 9, 0,
  /* 4420 */ 'c', 'r', 't', 'l', 'e', 9, 0,
  /* 4427 */ 'c', 'g', 'r', 't', 'l', 'e', 9, 0,
  /* 4435 */ 'c', 'l', 'g', 'r', 't', 'l', 'e', 9, 0,
  /* 4444 */ 'c', 'l', 'r', 't', 'l', 'e', 9, 0,
  /* 4452 */ 'b', 'x', 'l', 'e', 9, 0,
  /* 4458 */ 'b', 'r', 'x', 'l', 'e', 9, 0,
  /* 4465 */ 'v', 'm', 'e', 9, 0,
  /* 4470 */ 'c', 'i', 'b', 'n', 'e', 9, 0,
  /* 4477 */ 'c', 'g', 'i', 'b', 'n', 'e', 9, 0,
  /* 4485 */ 'c', 'l', 'g', 'i', 'b', 'n', 'e', 9, 0,
  /* 4494 */ 'c', 'l', 'i', 'b', 'n', 'e', 9, 0,
  /* 4502 */ 'c', 'r', 'b', 'n', 'e', 9, 0,
  /* 4509 */ 'c', 'g', 'r', 'b', 'n', 'e', 9, 0,
  /* 4517 */ 'c', 'l', 'g', 'r', 'b', 'n', 'e', 9, 0,
  /* 4526 */ 'c', 'l', 'r', 'b', 'n', 'e', 9, 0,
  /* 4534 */ 'l', 'o', 'c', 'n', 'e', 9, 0,
  /* 4541 */ 's', 't', 'o', 'c', 'n', 'e', 9, 0,
  /* 4549 */ 'v', 'f', 'e', 'n', 'e', 9, 0,
  /* 4556 */ 'l', 'o', 'c', 'g', 'n', 'e', 9, 0,
  /* 4564 */ 's', 't', 'o', 'c', 'g', 'n', 'e', 9, 0,
  /* 4573 */ 'j', 'g', 'n', 'e', 9, 0,
  /* 4579 */ 'l', 'o', 'c', 'f', 'h', 'n', 'e', 9, 0,
  /* 4588 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'e', 9, 0,
  /* 4598 */ 'b', 'i', 'n', 'e', 9, 0,
  /* 4604 */ 'l', 'o', 'c', 'h', 'i', 'n', 'e', 9, 0,
  /* 4613 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'e', 9, 0,
  /* 4623 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'e', 9, 0,
  /* 4633 */ 'c', 'i', 'j', 'n', 'e', 9, 0,
  /* 4640 */ 'c', 'g', 'i', 'j', 'n', 'e', 9, 0,
  /* 4648 */ 'c', 'l', 'g', 'i', 'j', 'n', 'e', 9, 0,
  /* 4657 */ 'c', 'l', 'i', 'j', 'n', 'e', 9, 0,
  /* 4665 */ 'c', 'r', 'j', 'n', 'e', 9, 0,
  /* 4672 */ 'c', 'g', 'r', 'j', 'n', 'e', 9, 0,
  /* 4680 */ 'c', 'l', 'g', 'r', 'j', 'n', 'e', 9, 0,
  /* 4689 */ 'c', 'l', 'r', 'j', 'n', 'e', 9, 0,
  /* 4697 */ 'v', 'o', 'n', 'e', 9, 0,
  /* 4703 */ 'l', 'o', 'c', 'r', 'n', 'e', 9, 0,
  /* 4711 */ 'l', 'o', 'c', 'g', 'r', 'n', 'e', 9, 0,
  /* 4720 */ 's', 'e', 'l', 'g', 'r', 'n', 'e', 9, 0,
  /* 4729 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'e', 9, 0,
  /* 4739 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'e', 9, 0,
  /* 4749 */ 's', 'e', 'l', 'r', 'n', 'e', 9, 0,
  /* 4757 */ 'c', 'l', 'g', 't', 'n', 'e', 9, 0,
  /* 4765 */ 'c', 'i', 't', 'n', 'e', 9, 0,
  /* 4772 */ 'c', 'l', 'f', 'i', 't', 'n', 'e', 9, 0,
  /* 4781 */ 'c', 'g', 'i', 't', 'n', 'e', 9, 0,
  /* 4789 */ 'c', 'l', 'g', 'i', 't', 'n', 'e', 9, 0,
  /* 4798 */ 'c', 'l', 't', 'n', 'e', 9, 0,
  /* 4805 */ 'c', 'r', 't', 'n', 'e', 9, 0,
  /* 4812 */ 'c', 'g', 'r', 't', 'n', 'e', 9, 0,
  /* 4820 */ 'c', 'l', 'g', 'r', 't', 'n', 'e', 9, 0,
  /* 4829 */ 'c', 'l', 'r', 't', 'n', 'e', 9, 0,
  /* 4837 */ 's', 'q', 'e', 9, 0,
  /* 4842 */ 'l', 'o', 'c', 'r', 'e', 9, 0,
  /* 4849 */ 'l', 'o', 'c', 'g', 'r', 'e', 9, 0,
  /* 4857 */ 's', 'e', 'l', 'g', 'r', 'e', 9, 0,
  /* 4865 */ 'l', 'o', 'c', 'f', 'h', 'r', 'e', 9, 0,
  /* 4874 */ 's', 'e', 'l', 'f', 'h', 'r', 'e', 9, 0,
  /* 4883 */ 's', 'e', 'l', 'r', 'e', 9, 0,
  /* 4890 */ 't', 'r', 't', 'r', 'e', 9, 0,
  /* 4897 */ 'm', 's', 'e', 9, 0,
  /* 4902 */ 'c', 'u', 's', 'e', 9, 0,
  /* 4908 */ 'i', 'd', 't', 'e', 9, 0,
  /* 4914 */ 'c', 'r', 'd', 't', 'e', 9, 0,
  /* 4921 */ 'c', 'l', 'g', 't', 'e', 9, 0,
  /* 4928 */ 'c', 'i', 't', 'e', 9, 0,
  /* 4934 */ 'c', 'l', 'f', 'i', 't', 'e', 9, 0,
  /* 4942 */ 'c', 'g', 'i', 't', 'e', 9, 0,
  /* 4949 */ 'c', 'l', 'g', 'i', 't', 'e', 9, 0,
  /* 4957 */ 'c', 'l', 't', 'e', 9, 0,
  /* 4963 */ 'i', 'p', 't', 'e', 9, 0,
  /* 4969 */ 'c', 'r', 't', 'e', 9, 0,
  /* 4975 */ 'c', 'g', 'r', 't', 'e', 9, 0,
  /* 4982 */ 'c', 'l', 'g', 'r', 't', 'e', 9, 0,
  /* 4990 */ 'c', 'l', 'r', 't', 'e', 9, 0,
  /* 4997 */ 't', 'r', 't', 'e', 9, 0,
  /* 5003 */ 's', 't', 'e', 9, 0,
  /* 5008 */ 'l', 'p', 's', 'w', 'e', 9, 0,
  /* 5015 */ 'l', 'x', 'e', 9, 0,
  /* 5020 */ 'v', 'l', 'l', 'e', 'b', 'r', 'z', 'e', 9, 0,
  /* 5030 */ 'v', 'g', 'f', 'm', 'a', 'f', 9, 0,
  /* 5038 */ 'v', 'e', 's', 'r', 'a', 'f', 9, 0,
  /* 5046 */ 'v', 'a', 'f', 9, 0,
  /* 5051 */ 's', 'a', 'c', 'f', 9, 0,
  /* 5057 */ 'v', 'a', 'c', 'c', 'f', 9, 0,
  /* 5064 */ 'v', 'e', 'c', 'f', 9, 0,
  /* 5070 */ 'v', 'l', 'c', 'f', 9, 0,
  /* 5076 */ 'v', 's', 't', 'r', 'c', 'f', 9, 0,
  /* 5084 */ 'v', 'f', 'a', 'e', 'f', 9, 0,
  /* 5091 */ 'v', 'm', 'a', 'e', 'f', 9, 0,
  /* 5098 */ 'v', 's', 'c', 'e', 'f', 9, 0,
  /* 5105 */ 'v', 'f', 'e', 'e', 'f', 9, 0,
  /* 5112 */ 'v', 'g', 'e', 'f', 9, 0,
  /* 5118 */ 'v', 'm', 'a', 'l', 'e', 'f', 9, 0,
  /* 5126 */ 'v', 'm', 'l', 'e', 'f', 9, 0,
  /* 5133 */ 'v', 'l', 'e', 'f', 9, 0,
  /* 5139 */ 'v', 'm', 'e', 'f', 9, 0,
  /* 5145 */ 'v', 'f', 'e', 'n', 'e', 'f', 9, 0,
  /* 5153 */ 'v', 's', 't', 'e', 'f', 9, 0,
  /* 5160 */ 'a', 'g', 'f', 9, 0,
  /* 5165 */ 'c', 'g', 'f', 9, 0,
  /* 5170 */ 'v', 's', 'e', 'g', 'f', 9, 0,
  /* 5177 */ 'a', 'l', 'g', 'f', 9, 0,
  /* 5183 */ 'c', 'l', 'g', 'f', 9, 0,
  /* 5189 */ 'l', 'l', 'g', 'f', 9, 0,
  /* 5195 */ 's', 'l', 'g', 'f', 9, 0,
  /* 5201 */ 'v', 's', 'u', 'm', 'g', 'f', 9, 0,
  /* 5209 */ 'l', 'l', 'z', 'r', 'g', 'f', 9, 0,
  /* 5217 */ 'd', 's', 'g', 'f', 9, 0,
  /* 5223 */ 'm', 's', 'g', 'f', 9, 0,
  /* 5229 */ 'l', 't', 'g', 'f', 9, 0,
  /* 5235 */ 'v', 'a', 'v', 'g', 'f', 9, 0,
  /* 5242 */ 'v', 'l', 'v', 'g', 'f', 9, 0,
  /* 5249 */ 'v', 'm', 'a', 'h', 'f', 9, 0,
  /* 5256 */ 'v', 'c', 'h', 'f', 9, 0,
  /* 5262 */ 'i', 'i', 'h', 'f', 9, 0,
  /* 5268 */ 'l', 'l', 'i', 'h', 'f', 9, 0,
  /* 5275 */ 'n', 'i', 'h', 'f', 9, 0,
  /* 5281 */ 'o', 'i', 'h', 'f', 9, 0,
  /* 5287 */ 'x', 'i', 'h', 'f', 9, 0,
  /* 5293 */ 'v', 'm', 'a', 'l', 'h', 'f', 9, 0,
  /* 5301 */ 'c', 'l', 'h', 'f', 9, 0,
  /* 5307 */ 'v', 'm', 'l', 'h', 'f', 9, 0,
  /* 5314 */ 'v', 'u', 'p', 'l', 'h', 'f', 9, 0,
  /* 5322 */ 'v', 'm', 'h', 'f', 9, 0,
  /* 5328 */ 'v', 'u', 'p', 'h', 'f', 9, 0,
  /* 5335 */ 'v', 'm', 'r', 'h', 'f', 9, 0,
  /* 5342 */ 'v', 's', 'c', 'b', 'i', 'f', 9, 0,
  /* 5350 */ 'v', 'l', 'e', 'i', 'f', 9, 0,
  /* 5357 */ 'v', 'r', 'e', 'p', 'i', 'f', 9, 0,
  /* 5365 */ 's', 't', 'c', 'k', 'f', 9, 0,
  /* 5372 */ 'v', 'p', 'k', 'f', 9, 0,
  /* 5378 */ 'v', 'm', 'a', 'l', 'f', 9, 0,
  /* 5385 */ 'v', 'e', 'c', 'l', 'f', 9, 0,
  /* 5392 */ 'v', 'a', 'v', 'g', 'l', 'f', 9, 0,
  /* 5400 */ 'v', 'c', 'h', 'l', 'f', 9, 0,
  /* 5407 */ 'i', 'i', 'l', 'f', 9, 0,
  /* 5413 */ 'l', 'l', 'i', 'l', 'f', 9, 0,
  /* 5420 */ 'n', 'i', 'l', 'f', 9, 0,
  /* 5426 */ 'o', 'i', 'l', 'f', 9, 0,
  /* 5432 */ 'x', 'i', 'l', 'f', 9, 0,
  /* 5438 */ 'v', 'u', 'p', 'l', 'l', 'f', 9, 0,
  /* 5446 */ 'v', 'e', 'r', 'l', 'l', 'f', 9, 0,
  /* 5454 */ 'v', 'm', 'l', 'f', 9, 0,
  /* 5460 */ 'v', 'm', 'n', 'l', 'f', 9, 0,
  /* 5467 */ 'v', 'u', 'p', 'l', 'f', 9, 0,
  /* 5474 */ 'v', 'm', 'r', 'l', 'f', 9, 0,
  /* 5481 */ 'v', 'e', 's', 'r', 'l', 'f', 9, 0,
  /* 5489 */ 'v', 'e', 's', 'l', 'f', 9, 0,
  /* 5496 */ 'v', 'm', 'x', 'l', 'f', 9, 0,
  /* 5503 */ 'v', 'l', 'l', 'e', 'z', 'l', 'f', 9, 0,
  /* 5512 */ 'v', 'g', 'f', 'm', 'f', 9, 0,
  /* 5519 */ 'p', 'f', 'm', 'f', 9, 0,
  /* 5525 */ 'v', 'g', 'm', 'f', 9, 0,
  /* 5531 */ 'v', 'e', 'r', 'i', 'm', 'f', 9, 0,
  /* 5539 */ 'k', 'm', 'f', 9, 0,
  /* 5544 */ 'v', 'm', 'n', 'f', 9, 0,
  /* 5550 */ 'v', 'm', 'a', 'o', 'f', 9, 0,
  /* 5557 */ 'v', 'm', 'a', 'l', 'o', 'f', 9, 0,
  /* 5565 */ 'v', 'm', 'l', 'o', 'f', 9, 0,
  /* 5572 */ 'v', 'm', 'o', 'f', 9, 0,
  /* 5578 */ 'v', 'l', 'r', 'e', 'p', 'f', 9, 0,
  /* 5586 */ 'v', 'l', 'b', 'r', 'r', 'e', 'p', 'f', 9, 0,
  /* 5596 */ 'v', 'r', 'e', 'p', 'f', 9, 0,
  /* 5603 */ 'v', 'l', 'p', 'f', 9, 0,
  /* 5609 */ 'v', 'c', 'e', 'q', 'f', 9, 0,
  /* 5616 */ 'v', 's', 'u', 'm', 'q', 'f', 9, 0,
  /* 5624 */ 'v', 'l', 'e', 'b', 'r', 'f', 9, 0,
  /* 5632 */ 'v', 's', 't', 'e', 'b', 'r', 'f', 9, 0,
  /* 5641 */ 'v', 'l', 'b', 'r', 'f', 9, 0,
  /* 5648 */ 'v', 's', 't', 'b', 'r', 'f', 9, 0,
  /* 5656 */ 'v', 'l', 'e', 'r', 'f', 9, 0,
  /* 5663 */ 'v', 's', 't', 'e', 'r', 'f', 9, 0,
  /* 5671 */ 'v', 'i', 's', 't', 'r', 'f', 9, 0,
  /* 5679 */ 'l', 'z', 'r', 'f', 9, 0,
  /* 5685 */ 'v', 'p', 'k', 's', 'f', 9, 0,
  /* 5692 */ 'v', 'p', 'k', 'l', 's', 'f', 9, 0,
  /* 5700 */ 'v', 's', 't', 'r', 's', 'f', 9, 0,
  /* 5708 */ 'v', 's', 'f', 9, 0,
  /* 5713 */ 'v', 'p', 'o', 'p', 'c', 't', 'f', 9, 0,
  /* 5722 */ 'p', 't', 'f', 9, 0,
  /* 5727 */ 'c', 'u', 'u', 't', 'f', 9, 0,
  /* 5734 */ 'v', 'e', 's', 'r', 'a', 'v', 'f', 9, 0,
  /* 5743 */ 'v', 'l', 'g', 'v', 'f', 9, 0,
  /* 5750 */ 'v', 'e', 'r', 'l', 'l', 'v', 'f', 9, 0,
  /* 5759 */ 'v', 'e', 's', 'r', 'l', 'v', 'f', 9, 0,
  /* 5768 */ 'v', 'e', 's', 'l', 'v', 'f', 9, 0,
  /* 5776 */ 'v', 'm', 'x', 'f', 9, 0,
  /* 5782 */ 'v', 's', 't', 'r', 'c', 'z', 'f', 9, 0,
  /* 5791 */ 'v', 'f', 'a', 'e', 'z', 'f', 9, 0,
  /* 5799 */ 'v', 'f', 'e', 'e', 'z', 'f', 9, 0,
  /* 5807 */ 'v', 'l', 'l', 'e', 'z', 'f', 9, 0,
  /* 5815 */ 'v', 'f', 'e', 'n', 'e', 'z', 'f', 9, 0,
  /* 5824 */ 'v', 'c', 'l', 'z', 'f', 9, 0,
  /* 5831 */ 'v', 'l', 'l', 'e', 'b', 'r', 'z', 'f', 9, 0,
  /* 5841 */ 'v', 's', 't', 'r', 's', 'z', 'f', 9, 0,
  /* 5850 */ 'v', 'c', 't', 'z', 'f', 9, 0,
  /* 5857 */ 'l', 'a', 'a', 'g', 9, 0,
  /* 5863 */ 'e', 'c', 'a', 'g', 9, 0,
  /* 5869 */ 'd', 'i', 'a', 'g', 9, 0,
  /* 5875 */ 's', 'l', 'a', 'g', 9, 0,
  /* 5881 */ 'v', 'g', 'f', 'm', 'a', 'g', 9, 0,
  /* 5889 */ 'l', 'r', 'a', 'g', 9, 0,
  /* 5895 */ 'v', 'e', 's', 'r', 'a', 'g', 9, 0,
  /* 5903 */ 's', 't', 'r', 'a', 'g', 9, 0,
  /* 5910 */ 'l', 'u', 'r', 'a', 'g', 9, 0,
  /* 5917 */ 'v', 'a', 'g', 9, 0,
  /* 5922 */ 's', 'l', 'b', 'g', 9, 0,
  /* 5928 */ 'r', 'i', 's', 'b', 'g', 9, 0,
  /* 5935 */ 'r', 'n', 's', 'b', 'g', 9, 0,
  /* 5942 */ 'r', 'o', 's', 'b', 'g', 9, 0,
  /* 5949 */ 'r', 'x', 's', 'b', 'g', 9, 0,
  /* 5956 */ 'v', 'c', 'v', 'b', 'g', 9, 0,
  /* 5963 */ 't', 'r', 'a', 'c', 'g', 9, 0,
  /* 5970 */ 'v', 'a', 'c', 'c', 'g', 9, 0,
  /* 5977 */ 'v', 'e', 'c', 'g', 9, 0,
  /* 5983 */ 'a', 'l', 'c', 'g', 9, 0,
  /* 5989 */ 'v', 'l', 'c', 'g', 9, 0,
  /* 5995 */ 'l', 'o', 'c', 'g', 9, 0,
  /* 6001 */ 's', 't', 'o', 'c', 'g', 9, 0,
  /* 6008 */ 'v', 'c', 'd', 'g', 9, 0,
  /* 6014 */ 'l', 'p', 'd', 'g', 9, 0,
  /* 6020 */ 'v', 'c', 'v', 'd', 'g', 9, 0,
  /* 6027 */ 'v', 's', 'c', 'e', 'g', 9, 0,
  /* 6034 */ 'v', 'g', 'e', 'g', 9, 0,
  /* 6040 */ 'v', 'l', 'e', 'g', 9, 0,
  /* 6046 */ 'b', 'x', 'l', 'e', 'g', 9, 0,
  /* 6053 */ 'e', 'r', 'e', 'g', 9, 0,
  /* 6059 */ 'v', 's', 'e', 'g', 9, 0,
  /* 6065 */ 'v', 's', 't', 'e', 'g', 9, 0,
  /* 6072 */ 'e', 'r', 'e', 'g', 'g', 9, 0,
  /* 6079 */ 'l', 'g', 'g', 9, 0,
  /* 6084 */ 'v', 'a', 'v', 'g', 'g', 9, 0,
  /* 6091 */ 'v', 'l', 'v', 'g', 'g', 9, 0,
  /* 6098 */ 'r', 'i', 's', 'b', 'h', 'g', 9, 0,
  /* 6106 */ 'v', 'c', 'h', 'g', 9, 0,
  /* 6112 */ 'v', 'm', 'r', 'h', 'g', 9, 0,
  /* 6119 */ 'b', 'x', 'h', 'g', 9, 0,
  /* 6125 */ 'b', 'r', 'x', 'h', 'g', 9, 0,
  /* 6132 */ 'v', 's', 'c', 'b', 'i', 'g', 9, 0,
  /* 6140 */ 'v', 'l', 'e', 'i', 'g', 9, 0,
  /* 6147 */ 'v', 'r', 'e', 'p', 'i', 'g', 9, 0,
  /* 6155 */ 'j', 'g', 9, 0,
  /* 6159 */ 'v', 'p', 'k', 'g', 9, 0,
  /* 6165 */ 'l', 'a', 'a', 'l', 'g', 9, 0,
  /* 6172 */ 'r', 'i', 's', 'b', 'l', 'g', 9, 0,
  /* 6180 */ 'v', 'e', 'c', 'l', 'g', 9, 0,
  /* 6187 */ 'v', 'c', 'd', 'l', 'g', 9, 0,
  /* 6194 */ 'v', 'a', 'v', 'g', 'l', 'g', 9, 0,
  /* 6202 */ 'v', 'c', 'h', 'l', 'g', 9, 0,
  /* 6209 */ 'v', 'e', 'r', 'l', 'l', 'g', 9, 0,
  /* 6217 */ 's', 'l', 'l', 'g', 9, 0,
  /* 6223 */ 'm', 'l', 'g', 9, 0,
  /* 6228 */ 'v', 'm', 'n', 'l', 'g', 9, 0,
  /* 6235 */ 'v', 'm', 'r', 'l', 'g', 9, 0,
  /* 6242 */ 'v', 'e', 's', 'r', 'l', 'g', 9, 0,
  /* 6250 */ 'v', 'e', 's', 'l', 'g', 9, 0,
  /* 6257 */ 'v', 'm', 's', 'l', 'g', 9, 0,
  /* 6264 */ 'l', 'c', 't', 'l', 'g', 9, 0,
  /* 6271 */ 'v', 'm', 'x', 'l', 'g', 9, 0,
  /* 6278 */ 'b', 'r', 'x', 'l', 'g', 9, 0,
  /* 6285 */ 'v', 'g', 'f', 'm', 'g', 9, 0,
  /* 6292 */ 'v', 'g', 'm', 'g', 9, 0,
  /* 6298 */ 'v', 'e', 'r', 'i', 'm', 'g', 9, 0,
  /* 6306 */ 'l', 'm', 'g', 9, 0,
  /* 6311 */ 's', 't', 'm', 'g', 9, 0,
  /* 6317 */ 'v', 's', 'u', 'm', 'g', 9, 0,
  /* 6324 */ 'l', 'a', 'n', 'g', 9, 0,
  /* 6330 */ 'v', 'm', 'n', 'g', 9, 0,
  /* 6336 */ 'l', 'a', 'o', 'g', 9, 0,
  /* 6342 */ 'v', 'l', 'r', 'e', 'p', 'g', 9, 0,
  /* 6350 */ 'v', 'l', 'b', 'r', 'r', 'e', 'p', 'g', 9, 0,
  /* 6360 */ 'v', 'r', 'e', 'p', 'g', 9, 0,
  /* 6367 */ 'v', 'l', 'p', 'g', 9, 0,
  /* 6373 */ 'c', 's', 'p', 'g', 9, 0,
  /* 6379 */ 'm', 'v', 'p', 'g', 9, 0,
  /* 6385 */ 'v', 'c', 'e', 'q', 'g', 9, 0,
  /* 6392 */ 'v', 's', 'u', 'm', 'q', 'g', 9, 0,
  /* 6400 */ 'v', 'l', 'e', 'b', 'r', 'g', 9, 0,
  /* 6408 */ 'v', 's', 't', 'e', 'b', 'r', 'g', 9, 0,
  /* 6417 */ 'v', 'l', 'b', 'r', 'g', 9, 0,
  /* 6424 */ 'v', 's', 't', 'b', 'r', 'g', 9, 0,
  /* 6432 */ 'v', 'l', 'e', 'r', 'g', 9, 0,
  /* 6439 */ 'v', 's', 't', 'e', 'r', 'g', 9, 0,
  /* 6447 */ 's', 't', 'u', 'r', 'g', 9, 0,
  /* 6454 */ 'l', 'z', 'r', 'g', 9, 0,
  /* 6460 */ 'b', 's', 'g', 9, 0,
  /* 6465 */ 'c', 's', 'g', 9, 0,
  /* 6470 */ 'c', 'd', 's', 'g', 9, 0,
  /* 6476 */ 'l', 'l', 'g', 'f', 's', 'g', 9, 0,
  /* 6484 */ 'v', 'p', 'k', 's', 'g', 9, 0,
  /* 6491 */ 'v', 'p', 'k', 'l', 's', 'g', 9, 0,
  /* 6499 */ 'm', 's', 'g', 9, 0,
  /* 6504 */ 'v', 's', 'g', 9, 0,
  /* 6509 */ 'b', 'c', 't', 'g', 9, 0,
  /* 6515 */ 'e', 'c', 't', 'g', 9, 0,
  /* 6521 */ 'v', 'p', 'o', 'p', 'c', 't', 'g', 9, 0,
  /* 6530 */ 'b', 'r', 'c', 't', 'g', 9, 0,
  /* 6537 */ 's', 't', 'c', 't', 'g', 9, 0,
  /* 6544 */ 'l', 't', 'g', 9, 0,
  /* 6549 */ 'n', 't', 's', 't', 'g', 9, 0,
  /* 6556 */ 'v', 'e', 's', 'r', 'a', 'v', 'g', 9, 0,
  /* 6565 */ 'v', 'a', 'v', 'g', 9, 0,
  /* 6571 */ 'v', 'l', 'g', 'v', 'g', 9, 0,
  /* 6578 */ 'v', 'e', 'r', 'l', 'l', 'v', 'g', 9, 0,
  /* 6587 */ 'v', 'e', 's', 'r', 'l', 'v', 'g', 9, 0,
  /* 6596 */ 'v', 'e', 's', 'l', 'v', 'g', 9, 0,
  /* 6604 */ 'v', 'l', 'v', 'g', 9, 0,
  /* 6610 */ 'l', 'r', 'v', 'g', 9, 0,
  /* 6616 */ 's', 't', 'r', 'v', 'g', 9, 0,
  /* 6623 */ 'l', 'a', 'x', 'g', 9, 0,
  /* 6629 */ 'v', 'm', 'x', 'g', 9, 0,
  /* 6635 */ 'v', 'l', 'l', 'e', 'z', 'g', 9, 0,
  /* 6643 */ 'v', 'c', 'l', 'z', 'g', 9, 0,
  /* 6650 */ 'v', 'l', 'l', 'e', 'b', 'r', 'z', 'g', 9, 0,
  /* 6660 */ 'v', 'c', 't', 'z', 'g', 9, 0,
  /* 6667 */ 'v', 'g', 'f', 'm', 'a', 'h', 9, 0,
  /* 6675 */ 'v', 'm', 'a', 'h', 9, 0,
  /* 6681 */ 'v', 'e', 's', 'r', 'a', 'h', 9, 0,
  /* 6689 */ 'v', 'a', 'h', 9, 0,
  /* 6694 */ 'c', 'i', 'b', 'h', 9, 0,
  /* 6700 */ 'c', 'g', 'i', 'b', 'h', 9, 0,
  /* 6707 */ 'c', 'l', 'g', 'i', 'b', 'h', 9, 0,
  /* 6715 */ 'c', 'l', 'i', 'b', 'h', 9, 0,
  /* 6722 */ 'l', 'b', 'h', 9, 0,
  /* 6727 */ 'c', 'r', 'b', 'h', 9, 0,
  /* 6733 */ 'c', 'g', 'r', 'b', 'h', 9, 0,
  /* 6740 */ 'c', 'l', 'g', 'r', 'b', 'h', 9, 0,
  /* 6748 */ 'c', 'l', 'r', 'b', 'h', 9, 0,
  /* 6755 */ 'v', 'a', 'c', 'c', 'h', 9, 0,
  /* 6762 */ 'v', 'e', 'c', 'h', 9, 0,
  /* 6768 */ 'v', 'f', 'c', 'h', 9, 0,
  /* 6774 */ 'l', 'l', 'c', 'h', 9, 0,
  /* 6780 */ 'v', 'l', 'c', 'h', 9, 0,
  /* 6786 */ 'l', 'o', 'c', 'h', 9, 0,
  /* 6792 */ 's', 't', 'o', 'c', 'h', 9, 0,
  /* 6799 */ 'v', 's', 't', 'r', 'c', 'h', 9, 0,
  /* 6807 */ 'm', 's', 'c', 'h', 9, 0,
  /* 6813 */ 's', 's', 'c', 'h', 9, 0,
  /* 6819 */ 's', 't', 's', 'c', 'h', 9, 0,
  /* 6826 */ 's', 't', 'c', 'h', 9, 0,
  /* 6832 */ 'v', 'c', 'h', 9, 0,
  /* 6837 */ 'v', 'f', 'a', 'e', 'h', 9, 0,
  /* 6844 */ 'v', 'm', 'a', 'e', 'h', 9, 0,
  /* 6851 */ 'v', 'f', 'e', 'e', 'h', 9, 0,
  /* 6858 */ 'v', 'm', 'a', 'l', 'e', 'h', 9, 0,
  /* 6866 */ 'v', 'm', 'l', 'e', 'h', 9, 0,
  /* 6873 */ 'v', 'l', 'e', 'h', 9, 0,
  /* 6879 */ 'v', 'm', 'e', 'h', 9, 0,
  /* 6885 */ 'v', 'f', 'e', 'n', 'e', 'h', 9, 0,
  /* 6893 */ 'v', 's', 't', 'e', 'h', 9, 0,
  /* 6900 */ 'l', 'o', 'c', 'f', 'h', 9, 0,
  /* 6907 */ 's', 't', 'o', 'c', 'f', 'h', 9, 0,
  /* 6915 */ 'l', 'f', 'h', 9, 0,
  /* 6920 */ 's', 't', 'f', 'h', 9, 0,
  /* 6926 */ 'a', 'g', 'h', 9, 0,
  /* 6931 */ 'l', 'o', 'c', 'g', 'h', 9, 0,
  /* 6938 */ 's', 't', 'o', 'c', 'g', 'h', 9, 0,
  /* 6946 */ 'v', 's', 'e', 'g', 'h', 9, 0,
  /* 6953 */ 'j', 'g', 'h', 9, 0,
  /* 6958 */ 'l', 'l', 'g', 'h', 9, 0,
  /* 6964 */ 'v', 's', 'u', 'm', 'g', 'h', 9, 0,
  /* 6972 */ 's', 'g', 'h', 9, 0,
  /* 6977 */ 'v', 'a', 'v', 'g', 'h', 9, 0,
  /* 6984 */ 'v', 'l', 'v', 'g', 'h', 9, 0,
  /* 6991 */ 'v', 'm', 'a', 'h', 'h', 9, 0,
  /* 6998 */ 'v', 'c', 'h', 'h', 9, 0,
  /* 7004 */ 'l', 'o', 'c', 'f', 'h', 'h', 9, 0,
  /* 7012 */ 's', 't', 'o', 'c', 'f', 'h', 'h', 9, 0,
  /* 7021 */ 'i', 'i', 'h', 'h', 9, 0,
  /* 7027 */ 'l', 'l', 'i', 'h', 'h', 9, 0,
  /* 7034 */ 'n', 'i', 'h', 'h', 9, 0,
  /* 7040 */ 'o', 'i', 'h', 'h', 9, 0,
  /* 7046 */ 'v', 'm', 'a', 'l', 'h', 'h', 9, 0,
  /* 7054 */ 'l', 'l', 'h', 'h', 9, 0,
  /* 7060 */ 'v', 'm', 'l', 'h', 'h', 9, 0,
  /* 7067 */ 'v', 'u', 'p', 'l', 'h', 'h', 9, 0,
  /* 7075 */ 't', 'm', 'h', 'h', 9, 0,
  /* 7081 */ 'v', 'm', 'h', 'h', 9, 0,
  /* 7087 */ 'v', 'u', 'p', 'h', 'h', 9, 0,
  /* 7094 */ 'v', 'm', 'r', 'h', 'h', 9, 0,
  /* 7101 */ 's', 't', 'h', 'h', 9, 0,
  /* 7107 */ 'a', 'i', 'h', 9, 0,
  /* 7112 */ 'v', 's', 'c', 'b', 'i', 'h', 9, 0,
  /* 7120 */ 'c', 'i', 'h', 9, 0,
  /* 7125 */ 'v', 'l', 'e', 'i', 'h', 9, 0,
  /* 7132 */ 'l', 'o', 'c', 'h', 'i', 'h', 9, 0,
  /* 7140 */ 'l', 'o', 'c', 'g', 'h', 'i', 'h', 9, 0,
  /* 7149 */ 'l', 'o', 'c', 'h', 'h', 'i', 'h', 9, 0,
  /* 7158 */ 'c', 'l', 'i', 'h', 9, 0,
  /* 7164 */ 'v', 'r', 'e', 'p', 'i', 'h', 9, 0,
  /* 7172 */ 'a', 'l', 's', 'i', 'h', 9, 0,
  /* 7179 */ 'c', 'i', 'j', 'h', 9, 0,
  /* 7185 */ 'c', 'g', 'i', 'j', 'h', 9, 0,
  /* 7192 */ 'c', 'l', 'g', 'i', 'j', 'h', 9, 0,
  /* 7200 */ 'c', 'l', 'i', 'j', 'h', 9, 0,
  /* 7207 */ 'c', 'r', 'j', 'h', 9, 0,
  /* 7213 */ 'c', 'g', 'r', 'j', 'h', 9, 0,
  /* 7220 */ 'c', 'l', 'g', 'r', 'j', 'h', 9, 0,
  /* 7228 */ 'c', 'l', 'r', 'j', 'h', 9, 0,
  /* 7235 */ 'v', 'p', 'k', 'h', 9, 0,
  /* 7241 */ 'v', 'm', 'a', 'l', 'h', 9, 0,
  /* 7248 */ 'c', 'i', 'b', 'l', 'h', 9, 0,
  /* 7255 */ 'c', 'g', 'i', 'b', 'l', 'h', 9, 0,
  /* 7263 */ 'c', 'l', 'g', 'i', 'b', 'l', 'h', 9, 0,
  /* 7272 */ 'c', 'l', 'i', 'b', 'l', 'h', 9, 0,
  /* 7280 */ 'c', 'r', 'b', 'l', 'h', 9, 0,
  /* 7287 */ 'c', 'g', 'r', 'b', 'l', 'h', 9, 0,
  /* 7295 */ 'c', 'l', 'g', 'r', 'b', 'l', 'h', 9, 0,
  /* 7304 */ 'c', 'l', 'r', 'b', 'l', 'h', 9, 0,
  /* 7312 */ 'v', 'e', 'c', 'l', 'h', 9, 0,
  /* 7319 */ 'l', 'o', 'c', 'l', 'h', 9, 0,
  /* 7326 */ 's', 't', 'o', 'c', 'l', 'h', 9, 0,
  /* 7334 */ 'l', 'o', 'c', 'g', 'l', 'h', 9, 0,
  /* 7342 */ 's', 't', 'o', 'c', 'g', 'l', 'h', 9, 0,
  /* 7351 */ 'j', 'g', 'l', 'h', 9, 0,
  /* 7357 */ 'v', 'a', 'v', 'g', 'l', 'h', 9, 0,
  /* 7365 */ 'v', 'c', 'h', 'l', 'h', 9, 0,
  /* 7372 */ 'l', 'o', 'c', 'f', 'h', 'l', 'h', 9, 0,
  /* 7381 */ 's', 't', 'o', 'c', 'f', 'h', 'l', 'h', 9, 0,
  /* 7391 */ 'b', 'i', 'l', 'h', 9, 0,
  /* 7397 */ 'l', 'o', 'c', 'h', 'i', 'l', 'h', 9, 0,
  /* 7406 */ 'l', 'o', 'c', 'g', 'h', 'i', 'l', 'h', 9, 0,
  /* 7416 */ 'l', 'o', 'c', 'h', 'h', 'i', 'l', 'h', 9, 0,
  /* 7426 */ 'i', 'i', 'l', 'h', 9, 0,
  /* 7432 */ 'l', 'l', 'i', 'l', 'h', 9, 0,
  /* 7439 */ 'n', 'i', 'l', 'h', 9, 0,
  /* 7445 */ 'o', 'i', 'l', 'h', 9, 0,
  /* 7451 */ 'c', 'i', 'j', 'l', 'h', 9, 0,
  /* 7458 */ 'c', 'g', 'i', 'j', 'l', 'h', 9, 0,
  /* 7466 */ 'c', 'l', 'g', 'i', 'j', 'l', 'h', 9, 0,
  /* 7475 */ 'c', 'l', 'i', 'j', 'l', 'h', 9, 0,
  /* 7483 */ 'c', 'r', 'j', 'l', 'h', 9, 0,
  /* 7490 */ 'c', 'g', 'r', 'j', 'l', 'h', 9, 0,
  /* 7498 */ 'c', 'l', 'g', 'r', 'j', 'l', 'h', 9, 0,
  /* 7507 */ 'c', 'l', 'r', 'j', 'l', 'h', 9, 0,
  /* 7515 */ 'v', 'u', 'p', 'l', 'l', 'h', 9, 0,
  /* 7523 */ 'v', 'e', 'r', 'l', 'l', 'h', 9, 0,
  /* 7531 */ 't', 'm', 'l', 'h', 9, 0,
  /* 7537 */ 'v', 'm', 'l', 'h', 9, 0,
  /* 7543 */ 'c', 'i', 'b', 'n', 'l', 'h', 9, 0,
  /* 7551 */ 'c', 'g', 'i', 'b', 'n', 'l', 'h', 9, 0,
  /* 7560 */ 'c', 'l', 'g', 'i', 'b', 'n', 'l', 'h', 9, 0,
  /* 7570 */ 'c', 'l', 'i', 'b', 'n', 'l', 'h', 9, 0,
  /* 7579 */ 'c', 'r', 'b', 'n', 'l', 'h', 9, 0,
  /* 7587 */ 'c', 'g', 'r', 'b', 'n', 'l', 'h', 9, 0,
  /* 7596 */ 'c', 'l', 'g', 'r', 'b', 'n', 'l', 'h', 9, 0,
  /* 7606 */ 'c', 'l', 'r', 'b', 'n', 'l', 'h', 9, 0,
  /* 7615 */ 'l', 'o', 'c', 'n', 'l', 'h', 9, 0,
  /* 7623 */ 's', 't', 'o', 'c', 'n', 'l', 'h', 9, 0,
  /* 7632 */ 'l', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
  /* 7641 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
  /* 7651 */ 'j', 'g', 'n', 'l', 'h', 9, 0,
  /* 7658 */ 'l', 'o', 'c', 'f', 'h', 'n', 'l', 'h', 9, 0,
  /* 7668 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'l', 'h', 9, 0,
  /* 7679 */ 'b', 'i', 'n', 'l', 'h', 9, 0,
  /* 7686 */ 'l', 'o', 'c', 'h', 'i', 'n', 'l', 'h', 9, 0,
  /* 7696 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'l', 'h', 9, 0,
  /* 7707 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'l', 'h', 9, 0,
  /* 7718 */ 'c', 'i', 'j', 'n', 'l', 'h', 9, 0,
  /* 7726 */ 'c', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
  /* 7735 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
  /* 7745 */ 'c', 'l', 'i', 'j', 'n', 'l', 'h', 9, 0,
  /* 7754 */ 'c', 'r', 'j', 'n', 'l', 'h', 9, 0,
  /* 7762 */ 'c', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
  /* 7771 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
  /* 7781 */ 'c', 'l', 'r', 'j', 'n', 'l', 'h', 9, 0,
  /* 7790 */ 'v', 'm', 'n', 'l', 'h', 9, 0,
  /* 7797 */ 'l', 'o', 'c', 'r', 'n', 'l', 'h', 9, 0,
  /* 7806 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'h', 9, 0,
  /* 7816 */ 's', 'e', 'l', 'g', 'r', 'n', 'l', 'h', 9, 0,
  /* 7826 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'l', 'h', 9, 0,
  /* 7837 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'l', 'h', 9, 0,
  /* 7848 */ 's', 'e', 'l', 'r', 'n', 'l', 'h', 9, 0,
  /* 7857 */ 'c', 'l', 'g', 't', 'n', 'l', 'h', 9, 0,
  /* 7866 */ 'c', 'i', 't', 'n', 'l', 'h', 9, 0,
  /* 7874 */ 'c', 'l', 'f', 'i', 't', 'n', 'l', 'h', 9, 0,
  /* 7884 */ 'c', 'g', 'i', 't', 'n', 'l', 'h', 9, 0,
  /* 7893 */ 'c', 'l', 'g', 'i', 't', 'n', 'l', 'h', 9, 0,
  /* 7903 */ 'c', 'l', 't', 'n', 'l', 'h', 9, 0,
  /* 7911 */ 'c', 'r', 't', 'n', 'l', 'h', 9, 0,
  /* 7919 */ 'c', 'g', 'r', 't', 'n', 'l', 'h', 9, 0,
  /* 7928 */ 'c', 'l', 'g', 'r', 't', 'n', 'l', 'h', 9, 0,
  /* 7938 */ 'c', 'l', 'r', 't', 'n', 'l', 'h', 9, 0,
  /* 7947 */ 'v', 'u', 'p', 'l', 'h', 9, 0,
  /* 7954 */ 'l', 'o', 'c', 'r', 'l', 'h', 9, 0,
  /* 7962 */ 'l', 'o', 'c', 'g', 'r', 'l', 'h', 9, 0,
  /* 7971 */ 's', 'e', 'l', 'g', 'r', 'l', 'h', 9, 0,
  /* 7980 */ 'l', 'o', 'c', 'f', 'h', 'r', 'l', 'h', 9, 0,
  /* 7990 */ 's', 'e', 'l', 'f', 'h', 'r', 'l', 'h', 9, 0,
  /* 8000 */ 's', 'e', 'l', 'r', 'l', 'h', 9, 0,
  /* 8008 */ 'v', 'm', 'r', 'l', 'h', 9, 0,
  /* 8015 */ 'v', 'e', 's', 'r', 'l', 'h', 9, 0,
  /* 8023 */ 'v', 'e', 's', 'l', 'h', 9, 0,
  /* 8030 */ 'c', 'l', 'g', 't', 'l', 'h', 9, 0,
  /* 8038 */ 'c', 'i', 't', 'l', 'h', 9, 0,
  /* 8045 */ 'c', 'l', 'f', 'i', 't', 'l', 'h', 9, 0,
  /* 8054 */ 'c', 'g', 'i', 't', 'l', 'h', 9, 0,
  /* 8062 */ 'c', 'l', 'g', 'i', 't', 'l', 'h', 9, 0,
  /* 8071 */ 'c', 'l', 't', 'l', 'h', 9, 0,
  /* 8078 */ 'c', 'r', 't', 'l', 'h', 9, 0,
  /* 8085 */ 'c', 'g', 'r', 't', 'l', 'h', 9, 0,
  /* 8093 */ 'c', 'l', 'g', 'r', 't', 'l', 'h', 9, 0,
  /* 8102 */ 'c', 'l', 'r', 't', 'l', 'h', 9, 0,
  /* 8110 */ 'v', 'm', 'x', 'l', 'h', 9, 0,
  /* 8117 */ 'i', 'c', 'm', 'h', 9, 0,
  /* 8123 */ 's', 't', 'c', 'm', 'h', 9, 0,
  /* 8130 */ 'v', 'g', 'f', 'm', 'h', 9, 0,
  /* 8137 */ 'v', 'g', 'm', 'h', 9, 0,
  /* 8143 */ 'v', 'e', 'r', 'i', 'm', 'h', 9, 0,
  /* 8151 */ 'c', 'l', 'm', 'h', 9, 0,
  /* 8157 */ 's', 't', 'm', 'h', 9, 0,
  /* 8163 */ 'v', 's', 'u', 'm', 'h', 9, 0,
  /* 8170 */ 'v', 'm', 'h', 9, 0,
  /* 8175 */ 'c', 'i', 'b', 'n', 'h', 9, 0,
  /* 8182 */ 'c', 'g', 'i', 'b', 'n', 'h', 9, 0,
  /* 8190 */ 'c', 'l', 'g', 'i', 'b', 'n', 'h', 9, 0,
  /* 8199 */ 'c', 'l', 'i', 'b', 'n', 'h', 9, 0,
  /* 8207 */ 'c', 'r', 'b', 'n', 'h', 9, 0,
  /* 8214 */ 'c', 'g', 'r', 'b', 'n', 'h', 9, 0,
  /* 8222 */ 'c', 'l', 'g', 'r', 'b', 'n', 'h', 9, 0,
  /* 8231 */ 'c', 'l', 'r', 'b', 'n', 'h', 9, 0,
  /* 8239 */ 'l', 'o', 'c', 'n', 'h', 9, 0,
  /* 8246 */ 's', 't', 'o', 'c', 'n', 'h', 9, 0,
  /* 8254 */ 'l', 'o', 'c', 'g', 'n', 'h', 9, 0,
  /* 8262 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 9, 0,
  /* 8271 */ 'j', 'g', 'n', 'h', 9, 0,
  /* 8277 */ 'l', 'o', 'c', 'f', 'h', 'n', 'h', 9, 0,
  /* 8286 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'h', 9, 0,
  /* 8296 */ 'b', 'i', 'n', 'h', 9, 0,
  /* 8302 */ 'l', 'o', 'c', 'h', 'i', 'n', 'h', 9, 0,
  /* 8311 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'h', 9, 0,
  /* 8321 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'h', 9, 0,
  /* 8331 */ 'c', 'i', 'j', 'n', 'h', 9, 0,
  /* 8338 */ 'c', 'g', 'i', 'j', 'n', 'h', 9, 0,
  /* 8346 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 9, 0,
  /* 8355 */ 'c', 'l', 'i', 'j', 'n', 'h', 9, 0,
  /* 8363 */ 'c', 'r', 'j', 'n', 'h', 9, 0,
  /* 8370 */ 'c', 'g', 'r', 'j', 'n', 'h', 9, 0,
  /* 8378 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 9, 0,
  /* 8387 */ 'c', 'l', 'r', 'j', 'n', 'h', 9, 0,
  /* 8395 */ 'v', 'm', 'n', 'h', 9, 0,
  /* 8401 */ 'l', 'o', 'c', 'r', 'n', 'h', 9, 0,
  /* 8409 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 9, 0,
  /* 8418 */ 's', 'e', 'l', 'g', 'r', 'n', 'h', 9, 0,
  /* 8427 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'h', 9, 0,
  /* 8437 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'h', 9, 0,
  /* 8447 */ 's', 'e', 'l', 'r', 'n', 'h', 9, 0,
  /* 8455 */ 'c', 'l', 'g', 't', 'n', 'h', 9, 0,
  /* 8463 */ 'c', 'i', 't', 'n', 'h', 9, 0,
  /* 8470 */ 'c', 'l', 'f', 'i', 't', 'n', 'h', 9, 0,
  /* 8479 */ 'c', 'g', 'i', 't', 'n', 'h', 9, 0,
  /* 8487 */ 'c', 'l', 'g', 'i', 't', 'n', 'h', 9, 0,
  /* 8496 */ 'c', 'l', 't', 'n', 'h', 9, 0,
  /* 8503 */ 'c', 'r', 't', 'n', 'h', 9, 0,
  /* 8510 */ 'c', 'g', 'r', 't', 'n', 'h', 9, 0,
  /* 8518 */ 'c', 'l', 'g', 'r', 't', 'n', 'h', 9, 0,
  /* 8527 */ 'c', 'l', 'r', 't', 'n', 'h', 9, 0,
  /* 8535 */ 'v', 'm', 'a', 'o', 'h', 9, 0,
  /* 8542 */ 'v', 'm', 'a', 'l', 'o', 'h', 9, 0,
  /* 8550 */ 'v', 'm', 'l', 'o', 'h', 9, 0,
  /* 8557 */ 'v', 'm', 'o', 'h', 9, 0,
  /* 8563 */ 'v', 'l', 'r', 'e', 'p', 'h', 9, 0,
  /* 8571 */ 'v', 'l', 'b', 'r', 'r', 'e', 'p', 'h', 9, 0,
  /* 8581 */ 'v', 'r', 'e', 'p', 'h', 9, 0,
  /* 8588 */ 'v', 'l', 'p', 'h', 9, 0,
  /* 8594 */ 'v', 'u', 'p', 'h', 9, 0,
  /* 8600 */ 'v', 'c', 'e', 'q', 'h', 9, 0,
  /* 8607 */ 'v', 'l', 'e', 'b', 'r', 'h', 9, 0,
  /* 8615 */ 'v', 's', 't', 'e', 'b', 'r', 'h', 9, 0,
  /* 8624 */ 'v', 'l', 'b', 'r', 'h', 9, 0,
  /* 8631 */ 'v', 's', 't', 'b', 'r', 'h', 9, 0,
  /* 8639 */ 'l', 'o', 'c', 'r', 'h', 9, 0,
  /* 8646 */ 'v', 'l', 'e', 'r', 'h', 9, 0,
  /* 8653 */ 'v', 's', 't', 'e', 'r', 'h', 9, 0,
  /* 8661 */ 'l', 'o', 'c', 'g', 'r', 'h', 9, 0,
  /* 8669 */ 's', 'e', 'l', 'g', 'r', 'h', 9, 0,
  /* 8677 */ 'l', 'o', 'c', 'f', 'h', 'r', 'h', 9, 0,
  /* 8686 */ 's', 'e', 'l', 'f', 'h', 'r', 'h', 9, 0,
  /* 8695 */ 's', 'e', 'l', 'r', 'h', 9, 0,
  /* 8702 */ 'v', 'm', 'r', 'h', 9, 0,
  /* 8708 */ 'v', 'i', 's', 't', 'r', 'h', 9, 0,
  /* 8716 */ 'v', 'p', 'k', 's', 'h', 9, 0,
  /* 8723 */ 'v', 'p', 'k', 'l', 's', 'h', 9, 0,
  /* 8731 */ 'v', 's', 't', 'r', 's', 'h', 9, 0,
  /* 8739 */ 'v', 's', 'h', 9, 0,
  /* 8744 */ 'v', 'p', 'o', 'p', 'c', 't', 'h', 9, 0,
  /* 8753 */ 'b', 'r', 'c', 't', 'h', 9, 0,
  /* 8760 */ 'c', 'l', 'g', 't', 'h', 9, 0,
  /* 8767 */ 'c', 'i', 't', 'h', 9, 0,
  /* 8773 */ 'c', 'l', 'f', 'i', 't', 'h', 9, 0,
  /* 8781 */ 'c', 'g', 'i', 't', 'h', 9, 0,
  /* 8788 */ 'c', 'l', 'g', 'i', 't', 'h', 9, 0,
  /* 8796 */ 'c', 'l', 't', 'h', 9, 0,
  /* 8802 */ 'c', 'r', 't', 'h', 9, 0,
  /* 8808 */ 'c', 'g', 'r', 't', 'h', 9, 0,
  /* 8815 */ 'c', 'l', 'g', 'r', 't', 'h', 9, 0,
  /* 8823 */ 'c', 'l', 'r', 't', 'h', 9, 0,
  /* 8830 */ 's', 't', 'h', 9, 0,
  /* 8835 */ 'v', 'e', 's', 'r', 'a', 'v', 'h', 9, 0,
  /* 8844 */ 'v', 'l', 'g', 'v', 'h', 9, 0,
  /* 8851 */ 'v', 'e', 'r', 'l', 'l', 'v', 'h', 9, 0,
  /* 8860 */ 'v', 'e', 's', 'r', 'l', 'v', 'h', 9, 0,
  /* 8869 */ 'v', 'e', 's', 'l', 'v', 'h', 9, 0,
  /* 8877 */ 'l', 'r', 'v', 'h', 9, 0,
  /* 8883 */ 's', 't', 'r', 'v', 'h', 9, 0,
  /* 8890 */ 'b', 'x', 'h', 9, 0,
  /* 8895 */ 'v', 'm', 'x', 'h', 9, 0,
  /* 8901 */ 'b', 'r', 'x', 'h', 9, 0,
  /* 8907 */ 'm', 'a', 'y', 'h', 9, 0,
  /* 8913 */ 'm', 'y', 'h', 9, 0,
  /* 8918 */ 'v', 's', 't', 'r', 'c', 'z', 'h', 9, 0,
  /* 8927 */ 'v', 'f', 'a', 'e', 'z', 'h', 9, 0,
  /* 8935 */ 'v', 'f', 'e', 'e', 'z', 'h', 9, 0,
  /* 8943 */ 'v', 'l', 'l', 'e', 'z', 'h', 9, 0,
  /* 8951 */ 'v', 'f', 'e', 'n', 'e', 'z', 'h', 9, 0,
  /* 8960 */ 'v', 'c', 'l', 'z', 'h', 9, 0,
  /* 8967 */ 'v', 'l', 'l', 'e', 'b', 'r', 'z', 'h', 9, 0,
  /* 8977 */ 'v', 's', 't', 'r', 's', 'z', 'h', 9, 0,
  /* 8986 */ 'v', 'c', 't', 'z', 'h', 9, 0,
  /* 8993 */ 'n', 'i', 'a', 'i', 9, 0,
  /* 8999 */ 'v', 's', 'b', 'c', 'b', 'i', 9, 0,
  /* 9007 */ 'v', 's', 'c', 'b', 'i', 9, 0,
  /* 9014 */ 'v', 's', 'b', 'i', 9, 0,
  /* 9020 */ 'v', 'f', 't', 'c', 'i', 9, 0,
  /* 9027 */ 'v', 'p', 'd', 'i', 9, 0,
  /* 9033 */ 'a', 'f', 'i', 9, 0,
  /* 9038 */ 'c', 'f', 'i', 9, 0,
  /* 9043 */ 'a', 'g', 'f', 'i', 9, 0,
  /* 9049 */ 'c', 'g', 'f', 'i', 9, 0,
  /* 9055 */ 'a', 'l', 'g', 'f', 'i', 9, 0,
  /* 9062 */ 'c', 'l', 'g', 'f', 'i', 9, 0,
  /* 9069 */ 's', 'l', 'g', 'f', 'i', 9, 0,
  /* 9076 */ 'm', 's', 'g', 'f', 'i', 9, 0,
  /* 9083 */ 'a', 'l', 'f', 'i', 9, 0,
  /* 9089 */ 'c', 'l', 'f', 'i', 9, 0,
  /* 9095 */ 's', 'l', 'f', 'i', 9, 0,
  /* 9101 */ 'm', 's', 'f', 'i', 9, 0,
  /* 9107 */ 'v', 'f', 'i', 9, 0,
  /* 9112 */ 'a', 'h', 'i', 9, 0,
  /* 9117 */ 'l', 'o', 'c', 'h', 'i', 9, 0,
  /* 9124 */ 'a', 'g', 'h', 'i', 9, 0,
  /* 9130 */ 'l', 'o', 'c', 'g', 'h', 'i', 9, 0,
  /* 9138 */ 'l', 'g', 'h', 'i', 9, 0,
  /* 9144 */ 'm', 'g', 'h', 'i', 9, 0,
  /* 9150 */ 'm', 'v', 'g', 'h', 'i', 9, 0,
  /* 9157 */ 'l', 'o', 'c', 'h', 'h', 'i', 9, 0,
  /* 9165 */ 'm', 'v', 'h', 'h', 'i', 9, 0,
  /* 9172 */ 'l', 'h', 'i', 9, 0,
  /* 9177 */ 'm', 'h', 'i', 9, 0,
  /* 9182 */ 'm', 'v', 'h', 'i', 9, 0,
  /* 9188 */ 'c', 'l', 'i', 9, 0,
  /* 9193 */ 'n', 'i', 9, 0,
  /* 9197 */ 'o', 'i', 9, 0,
  /* 9201 */ 'v', 'r', 'e', 'p', 'i', 9, 0,
  /* 9208 */ 't', 'p', 'i', 9, 0,
  /* 9213 */ 'q', 'c', 't', 'r', 'i', 9, 0,
  /* 9220 */ 'a', 's', 'i', 9, 0,
  /* 9225 */ 'a', 'g', 's', 'i', 9, 0,
  /* 9231 */ 'a', 'l', 'g', 's', 'i', 9, 0,
  /* 9238 */ 'c', 'h', 's', 'i', 9, 0,
  /* 9244 */ 'c', 'l', 'f', 'h', 's', 'i', 9, 0,
  /* 9252 */ 'c', 'g', 'h', 's', 'i', 9, 0,
  /* 9259 */ 'c', 'l', 'g', 'h', 's', 'i', 9, 0,
  /* 9267 */ 'c', 'h', 'h', 's', 'i', 9, 0,
  /* 9274 */ 'c', 'l', 'h', 'h', 's', 'i', 9, 0,
  /* 9282 */ 'a', 'l', 's', 'i', 9, 0,
  /* 9288 */ 'q', 's', 'i', 9, 0,
  /* 9293 */ 's', 't', 's', 'i', 9, 0,
  /* 9299 */ 'p', 't', 'i', 9, 0,
  /* 9304 */ 'm', 'v', 'i', 9, 0,
  /* 9309 */ 'x', 'i', 9, 0,
  /* 9313 */ 'c', 'i', 'j', 9, 0,
  /* 9318 */ 'c', 'g', 'i', 'j', 9, 0,
  /* 9324 */ 'c', 'l', 'g', 'i', 'j', 9, 0,
  /* 9331 */ 'c', 'l', 'i', 'j', 9, 0,
  /* 9337 */ 'c', 'r', 'j', 9, 0,
  /* 9342 */ 'c', 'g', 'r', 'j', 9, 0,
  /* 9348 */ 'c', 'l', 'g', 'r', 'j', 9, 0,
  /* 9355 */ 'c', 'l', 'r', 'j', 9, 0,
  /* 9361 */ 's', 'l', 'a', 'k', 9, 0,
  /* 9367 */ 's', 'r', 'a', 'k', 9, 0,
  /* 9373 */ 'p', 'a', 'c', 'k', 9, 0,
  /* 9379 */ 's', 'c', 'k', 9, 0,
  /* 9384 */ 's', 't', 'c', 'k', 9, 0,
  /* 9390 */ 'm', 'v', 'c', 'k', 9, 0,
  /* 9396 */ 'm', 'v', 'c', 'd', 'k', 9, 0,
  /* 9403 */ 'w', 'f', 'k', 9, 0,
  /* 9408 */ 'a', 'h', 'i', 'k', 9, 0,
  /* 9414 */ 'a', 'g', 'h', 'i', 'k', 9, 0,
  /* 9421 */ 'a', 'l', 'g', 'h', 's', 'i', 'k', 9, 0,
  /* 9430 */ 'a', 'l', 'h', 's', 'i', 'k', 9, 0,
  /* 9438 */ 's', 'l', 'l', 'k', 9, 0,
  /* 9444 */ 's', 'r', 'l', 'k', 9, 0,
  /* 9450 */ 'e', 'd', 'm', 'k', 9, 0,
  /* 9456 */ 'u', 'n', 'p', 'k', 9, 0,
  /* 9462 */ 'v', 'p', 'k', 9, 0,
  /* 9467 */ 'a', 'r', 'k', 9, 0,
  /* 9472 */ 'n', 'c', 'r', 'k', 9, 0,
  /* 9478 */ 'o', 'c', 'r', 'k', 9, 0,
  /* 9484 */ 'a', 'g', 'r', 'k', 9, 0,
  /* 9490 */ 'n', 'c', 'g', 'r', 'k', 9, 0,
  /* 9497 */ 'o', 'c', 'g', 'r', 'k', 9, 0,
  /* 9504 */ 'a', 'l', 'g', 'r', 'k', 9, 0,
  /* 9511 */ 's', 'l', 'g', 'r', 'k', 9, 0,
  /* 9518 */ 'm', 'g', 'r', 'k', 9, 0,
  /* 9524 */ 'n', 'n', 'g', 'r', 'k', 9, 0,
  /* 9531 */ 'n', 'o', 'g', 'r', 'k', 9, 0,
  /* 9538 */ 's', 'g', 'r', 'k', 9, 0,
  /* 9544 */ 'n', 'x', 'g', 'r', 'k', 9, 0,
  /* 9551 */ 'a', 'l', 'r', 'k', 9, 0,
  /* 9557 */ 's', 'l', 'r', 'k', 9, 0,
  /* 9563 */ 'n', 'n', 'r', 'k', 9, 0,
  /* 9569 */ 'n', 'o', 'r', 'k', 9, 0,
  /* 9575 */ 's', 'r', 'k', 9, 0,
  /* 9580 */ 'n', 'x', 'r', 'k', 9, 0,
  /* 9586 */ 'm', 'v', 'c', 's', 'k', 9, 0,
  /* 9593 */ 'i', 'v', 's', 'k', 9, 0,
  /* 9599 */ 'l', 'a', 'a', 'l', 9, 0,
  /* 9605 */ 'b', 'a', 'l', 9, 0,
  /* 9610 */ 'v', 'm', 'a', 'l', 9, 0,
  /* 9616 */ 'c', 'i', 'b', 'l', 9, 0,
  /* 9622 */ 'c', 'g', 'i', 'b', 'l', 9, 0,
  /* 9629 */ 'c', 'l', 'g', 'i', 'b', 'l', 9, 0,
  /* 9637 */ 'c', 'l', 'i', 'b', 'l', 9, 0,
  /* 9644 */ 'c', 'r', 'b', 'l', 9, 0,
  /* 9650 */ 'c', 'g', 'r', 'b', 'l', 9, 0,
  /* 9657 */ 'c', 'l', 'g', 'r', 'b', 'l', 9, 0,
  /* 9665 */ 'c', 'l', 'r', 'b', 'l', 9, 0,
  /* 9672 */ 'v', 'e', 'c', 'l', 9, 0,
  /* 9678 */ 'c', 'l', 'c', 'l', 9, 0,
  /* 9684 */ 'l', 'o', 'c', 'l', 9, 0,
  /* 9690 */ 's', 't', 'o', 'c', 'l', 9, 0,
  /* 9697 */ 'b', 'r', 'c', 'l', 9, 0,
  /* 9703 */ 'm', 'v', 'c', 'l', 9, 0,
  /* 9709 */ 's', 'l', 'd', 'l', 9, 0,
  /* 9715 */ 's', 'r', 'd', 'l', 9, 0,
  /* 9721 */ 'v', 's', 'e', 'l', 9, 0,
  /* 9727 */ 's', 't', 'f', 'l', 9, 0,
  /* 9733 */ 'l', 'o', 'c', 'g', 'l', 9, 0,
  /* 9740 */ 's', 't', 'o', 'c', 'g', 'l', 9, 0,
  /* 9748 */ 'j', 'g', 'l', 9, 0,
  /* 9753 */ 'v', 'a', 'v', 'g', 'l', 9, 0,
  /* 9760 */ 'v', 'c', 'h', 'l', 9, 0,
  /* 9766 */ 'l', 'o', 'c', 'f', 'h', 'l', 9, 0,
  /* 9774 */ 's', 't', 'o', 'c', 'f', 'h', 'l', 9, 0,
  /* 9783 */ 'i', 'i', 'h', 'l', 9, 0,
  /* 9789 */ 'l', 'l', 'i', 'h', 'l', 9, 0,
  /* 9796 */ 'n', 'i', 'h', 'l', 9, 0,
  /* 9802 */ 'o', 'i', 'h', 'l', 9, 0,
  /* 9808 */ 't', 'm', 'h', 'l', 9, 0,
  /* 9814 */ 'b', 'i', 'l', 9, 0,
  /* 9819 */ 'l', 'o', 'c', 'h', 'i', 'l', 9, 0,
  /* 9827 */ 'l', 'o', 'c', 'g', 'h', 'i', 'l', 9, 0,
  /* 9836 */ 'l', 'o', 'c', 'h', 'h', 'i', 'l', 9, 0,
  /* 9845 */ 'c', 'i', 'j', 'l', 9, 0,
  /* 9851 */ 'c', 'g', 'i', 'j', 'l', 9, 0,
  /* 9858 */ 'c', 'l', 'g', 'i', 'j', 'l', 9, 0,
  /* 9866 */ 'c', 'l', 'i', 'j', 'l', 9, 0,
  /* 9873 */ 'c', 'r', 'j', 'l', 9, 0,
  /* 9879 */ 'c', 'g', 'r', 'j', 'l', 9, 0,
  /* 9886 */ 'c', 'l', 'g', 'r', 'j', 'l', 9, 0,
  /* 9894 */ 'c', 'l', 'r', 'j', 'l', 9, 0,
  /* 9901 */ 'v', 'f', 'l', 'l', 9, 0,
  /* 9907 */ 'i', 'i', 'l', 'l', 9, 0,
  /* 9913 */ 'l', 'l', 'i', 'l', 'l', 9, 0,
  /* 9920 */ 'n', 'i', 'l', 'l', 9, 0,
  /* 9926 */ 'o', 'i', 'l', 'l', 9, 0,
  /* 9932 */ 't', 'm', 'l', 'l', 9, 0,
  /* 9938 */ 'v', 'u', 'p', 'l', 'l', 9, 0,
  /* 9945 */ 'v', 'e', 'r', 'l', 'l', 9, 0,
  /* 9952 */ 's', 'l', 'l', 9, 0,
  /* 9957 */ 'v', 'l', 'l', 9, 0,
  /* 9962 */ 'v', 'm', 'l', 9, 0,
  /* 9967 */ 'c', 'i', 'b', 'n', 'l', 9, 0,
  /* 9974 */ 'c', 'g', 'i', 'b', 'n', 'l', 9, 0,
  /* 9982 */ 'c', 'l', 'g', 'i', 'b', 'n', 'l', 9, 0,
  /* 9991 */ 'c', 'l', 'i', 'b', 'n', 'l', 9, 0,
  /* 9999 */ 'c', 'r', 'b', 'n', 'l', 9, 0,
  /* 10006 */ 'c', 'g', 'r', 'b', 'n', 'l', 9, 0,
  /* 10014 */ 'c', 'l', 'g', 'r', 'b', 'n', 'l', 9, 0,
  /* 10023 */ 'c', 'l', 'r', 'b', 'n', 'l', 9, 0,
  /* 10031 */ 'l', 'o', 'c', 'n', 'l', 9, 0,
  /* 10038 */ 's', 't', 'o', 'c', 'n', 'l', 9, 0,
  /* 10046 */ 'l', 'o', 'c', 'g', 'n', 'l', 9, 0,
  /* 10054 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 9, 0,
  /* 10063 */ 'j', 'g', 'n', 'l', 9, 0,
  /* 10069 */ 'l', 'o', 'c', 'f', 'h', 'n', 'l', 9, 0,
  /* 10078 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'l', 9, 0,
  /* 10088 */ 'b', 'i', 'n', 'l', 9, 0,
  /* 10094 */ 'l', 'o', 'c', 'h', 'i', 'n', 'l', 9, 0,
  /* 10103 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'l', 9, 0,
  /* 10113 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'l', 9, 0,
  /* 10123 */ 'c', 'i', 'j', 'n', 'l', 9, 0,
  /* 10130 */ 'c', 'g', 'i', 'j', 'n', 'l', 9, 0,
  /* 10138 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 9, 0,
  /* 10147 */ 'c', 'l', 'i', 'j', 'n', 'l', 9, 0,
  /* 10155 */ 'c', 'r', 'j', 'n', 'l', 9, 0,
  /* 10162 */ 'c', 'g', 'r', 'j', 'n', 'l', 9, 0,
  /* 10170 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 9, 0,
  /* 10179 */ 'c', 'l', 'r', 'j', 'n', 'l', 9, 0,
  /* 10187 */ 'v', 'm', 'n', 'l', 9, 0,
  /* 10193 */ 'l', 'o', 'c', 'r', 'n', 'l', 9, 0,
  /* 10201 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 9, 0,
  /* 10210 */ 's', 'e', 'l', 'g', 'r', 'n', 'l', 9, 0,
  /* 10219 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'l', 9, 0,
  /* 10229 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'l', 9, 0,
  /* 10239 */ 's', 'e', 'l', 'r', 'n', 'l', 9, 0,
  /* 10247 */ 'c', 'l', 'g', 't', 'n', 'l', 9, 0,
  /* 10255 */ 'c', 'i', 't', 'n', 'l', 9, 0,
  /* 10262 */ 'c', 'l', 'f', 'i', 't', 'n', 'l', 9, 0,
  /* 10271 */ 'c', 'g', 'i', 't', 'n', 'l', 9, 0,
  /* 10279 */ 'c', 'l', 'g', 'i', 't', 'n', 'l', 9, 0,
  /* 10288 */ 'c', 'l', 't', 'n', 'l', 9, 0,
  /* 10295 */ 'c', 'r', 't', 'n', 'l', 9, 0,
  /* 10302 */ 'c', 'g', 'r', 't', 'n', 'l', 9, 0,
  /* 10310 */ 'c', 'l', 'g', 'r', 't', 'n', 'l', 9, 0,
  /* 10319 */ 'c', 'l', 'r', 't', 'n', 'l', 9, 0,
  /* 10327 */ 'v', 'c', 'f', 'p', 'l', 9, 0,
  /* 10334 */ 'v', 'u', 'p', 'l', 9, 0,
  /* 10340 */ 'l', 'a', 'r', 'l', 9, 0,
  /* 10346 */ 'l', 'o', 'c', 'r', 'l', 9, 0,
  /* 10353 */ 'm', 'v', 'c', 'r', 'l', 9, 0,
  /* 10360 */ 'p', 'f', 'd', 'r', 'l', 9, 0,
  /* 10367 */ 'c', 'g', 'f', 'r', 'l', 9, 0,
  /* 10374 */ 'c', 'l', 'g', 'f', 'r', 'l', 9, 0,
  /* 10382 */ 'l', 'l', 'g', 'f', 'r', 'l', 9, 0,
  /* 10390 */ 'l', 'o', 'c', 'g', 'r', 'l', 9, 0,
  /* 10398 */ 'c', 'l', 'g', 'r', 'l', 9, 0,
  /* 10405 */ 's', 'e', 'l', 'g', 'r', 'l', 9, 0,
  /* 10413 */ 's', 't', 'g', 'r', 'l', 9, 0,
  /* 10420 */ 'c', 'h', 'r', 'l', 9, 0,
  /* 10426 */ 'l', 'o', 'c', 'f', 'h', 'r', 'l', 9, 0,
  /* 10435 */ 's', 'e', 'l', 'f', 'h', 'r', 'l', 9, 0,
  /* 10444 */ 'c', 'g', 'h', 'r', 'l', 9, 0,
  /* 10451 */ 'c', 'l', 'g', 'h', 'r', 'l', 9, 0,
  /* 10459 */ 'l', 'l', 'g', 'h', 'r', 'l', 9, 0,
  /* 10467 */ 'c', 'l', 'h', 'r', 'l', 9, 0,
  /* 10474 */ 'l', 'l', 'h', 'r', 'l', 9, 0,
  /* 10481 */ 's', 't', 'h', 'r', 'l', 9, 0,
  /* 10488 */ 'c', 'l', 'r', 'l', 9, 0,
  /* 10494 */ 's', 'e', 'l', 'r', 'l', 9, 0,
  /* 10501 */ 'v', 'l', 'r', 'l', 9, 0,
  /* 10507 */ 'v', 'm', 'r', 'l', 9, 0,
  /* 10513 */ 'v', 'e', 's', 'r', 'l', 9, 0,
  /* 10520 */ 'v', 's', 'r', 'l', 9, 0,
  /* 10526 */ 'v', 's', 't', 'r', 'l', 9, 0,
  /* 10533 */ 'e', 'x', 'r', 'l', 9, 0,
  /* 10539 */ 'b', 'r', 'a', 's', 'l', 9, 0,
  /* 10546 */ 'v', 'e', 's', 'l', 9, 0,
  /* 10552 */ 'v', 'm', 's', 'l', 9, 0,
  /* 10558 */ 'v', 's', 'l', 9, 0,
  /* 10563 */ 'l', 'c', 'c', 't', 'l', 9, 0,
  /* 10570 */ 'l', 'c', 't', 'l', 9, 0,
  /* 10576 */ 'l', 'p', 'c', 't', 'l', 9, 0,
  /* 10583 */ 'l', 's', 'c', 't', 'l', 9, 0,
  /* 10590 */ 's', 't', 'c', 't', 'l', 9, 0,
  /* 10597 */ 'c', 'l', 'g', 't', 'l', 9, 0,
  /* 10604 */ 'c', 'i', 't', 'l', 9, 0,
  /* 10610 */ 'c', 'l', 'f', 'i', 't', 'l', 9, 0,
  /* 10618 */ 'c', 'g', 'i', 't', 'l', 9, 0,
  /* 10625 */ 'c', 'l', 'g', 'i', 't', 'l', 9, 0,
  /* 10633 */ 'c', 'l', 't', 'l', 9, 0,
  /* 10639 */ 'c', 'r', 't', 'l', 9, 0,
  /* 10645 */ 'c', 'g', 'r', 't', 'l', 9, 0,
  /* 10652 */ 'c', 'l', 'g', 'r', 't', 'l', 9, 0,
  /* 10660 */ 'c', 'l', 'r', 't', 'l', 9, 0,
  /* 10667 */ 's', 'o', 'r', 't', 'l', 9, 0,
  /* 10674 */ 'v', 's', 't', 'l', 9, 0,
  /* 10680 */ 'v', 'l', 9, 0,
  /* 10684 */ 'v', 'm', 'x', 'l', 9, 0,
  /* 10690 */ 'm', 'a', 'y', 'l', 9, 0,
  /* 10696 */ 'm', 'y', 'l', 9, 0,
  /* 10701 */ 'l', 'a', 'm', 9, 0,
  /* 10706 */ 's', 't', 'a', 'm', 9, 0,
  /* 10712 */ 'v', 'g', 'b', 'm', 9, 0,
  /* 10718 */ 'i', 'r', 'b', 'm', 9, 0,
  /* 10724 */ 'r', 'r', 'b', 'm', 9, 0,
  /* 10730 */ 'i', 'c', 'm', 9, 0,
  /* 10735 */ 'l', 'o', 'c', 'm', 9, 0,
  /* 10741 */ 's', 't', 'o', 'c', 'm', 9, 0,
  /* 10748 */ 's', 't', 'c', 'm', 9, 0,
  /* 10754 */ 'v', 'g', 'f', 'm', 9, 0,
  /* 10760 */ 'v', 'f', 'm', 9, 0,
  /* 10765 */ 'l', 'o', 'c', 'g', 'm', 9, 0,
  /* 10772 */ 's', 't', 'o', 'c', 'g', 'm', 9, 0,
  /* 10780 */ 'j', 'g', 'm', 9, 0,
  /* 10785 */ 'v', 'g', 'm', 9, 0,
  /* 10790 */ 'l', 'o', 'c', 'f', 'h', 'm', 9, 0,
  /* 10798 */ 's', 't', 'o', 'c', 'f', 'h', 'm', 9, 0,
  /* 10807 */ 'b', 'i', 'm', 9, 0,
  /* 10812 */ 'l', 'o', 'c', 'h', 'i', 'm', 9, 0,
  /* 10820 */ 'l', 'o', 'c', 'g', 'h', 'i', 'm', 9, 0,
  /* 10829 */ 'l', 'o', 'c', 'h', 'h', 'i', 'm', 9, 0,
  /* 10838 */ 'v', 'e', 'r', 'i', 'm', 9, 0,
  /* 10845 */ 'j', 'm', 9, 0,
  /* 10849 */ 'k', 'm', 9, 0,
  /* 10853 */ 'c', 'l', 'm', 9, 0,
  /* 10858 */ 'v', 'l', 'm', 9, 0,
  /* 10863 */ 'b', 'n', 'm', 9, 0,
  /* 10868 */ 'l', 'o', 'c', 'n', 'm', 9, 0,
  /* 10875 */ 's', 't', 'o', 'c', 'n', 'm', 9, 0,
  /* 10883 */ 'l', 'o', 'c', 'g', 'n', 'm', 9, 0,
  /* 10891 */ 's', 't', 'o', 'c', 'g', 'n', 'm', 9, 0,
  /* 10900 */ 'j', 'g', 'n', 'm', 9, 0,
  /* 10906 */ 'l', 'o', 'c', 'f', 'h', 'n', 'm', 9, 0,
  /* 10915 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'm', 9, 0,
  /* 10925 */ 'b', 'i', 'n', 'm', 9, 0,
  /* 10931 */ 'l', 'o', 'c', 'h', 'i', 'n', 'm', 9, 0,
  /* 10940 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'm', 9, 0,
  /* 10950 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'm', 9, 0,
  /* 10960 */ 'j', 'n', 'm', 9, 0,
  /* 10965 */ 'l', 'o', 'c', 'r', 'n', 'm', 9, 0,
  /* 10973 */ 'l', 'o', 'c', 'g', 'r', 'n', 'm', 9, 0,
  /* 10982 */ 's', 'e', 'l', 'g', 'r', 'n', 'm', 9, 0,
  /* 10991 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'm', 9, 0,
  /* 11001 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'm', 9, 0,
  /* 11011 */ 's', 'e', 'l', 'r', 'n', 'm', 9, 0,
  /* 11019 */ 's', 'r', 'n', 'm', 9, 0,
  /* 11025 */ 'i', 'p', 'm', 9, 0,
  /* 11030 */ 's', 'p', 'm', 9, 0,
  /* 11035 */ 'l', 'o', 'c', 'r', 'm', 9, 0,
  /* 11042 */ 'v', 'b', 'p', 'e', 'r', 'm', 9, 0,
  /* 11050 */ 'v', 'p', 'e', 'r', 'm', 9, 0,
  /* 11057 */ 'l', 'o', 'c', 'g', 'r', 'm', 9, 0,
  /* 11065 */ 's', 'e', 'l', 'g', 'r', 'm', 9, 0,
  /* 11073 */ 'l', 'o', 'c', 'f', 'h', 'r', 'm', 9, 0,
  /* 11082 */ 's', 'e', 'l', 'f', 'h', 'r', 'm', 9, 0,
  /* 11091 */ 's', 'e', 'l', 'r', 'm', 9, 0,
  /* 11098 */ 'b', 's', 'm', 9, 0,
  /* 11103 */ 'v', 'c', 'k', 's', 'm', 9, 0,
  /* 11110 */ 's', 't', 'n', 's', 'm', 9, 0,
  /* 11117 */ 's', 't', 'o', 's', 'm', 9, 0,
  /* 11124 */ 'b', 'a', 's', 's', 'm', 9, 0,
  /* 11131 */ 'v', 's', 't', 'm', 9, 0,
  /* 11137 */ 'v', 't', 'm', 9, 0,
  /* 11142 */ 'v', 's', 'u', 'm', 9, 0,
  /* 11148 */ 'l', 'a', 'n', 9, 0,
  /* 11153 */ 'r', 'i', 's', 'b', 'g', 'n', 9, 0,
  /* 11161 */ 'a', 'l', 's', 'i', 'h', 'n', 9, 0,
  /* 11169 */ 'm', 'v', 'c', 'i', 'n', 9, 0,
  /* 11176 */ 't', 'b', 'e', 'g', 'i', 'n', 9, 0,
  /* 11184 */ 'p', 'g', 'i', 'n', 9, 0,
  /* 11190 */ 'v', 'f', 'm', 'i', 'n', 9, 0,
  /* 11197 */ 'v', 'm', 'n', 9, 0,
  /* 11202 */ 'v', 'n', 'n', 9, 0,
  /* 11207 */ 'm', 'v', 'n', 9, 0,
  /* 11212 */ 'l', 'a', 'o', 9, 0,
  /* 11217 */ 'v', 'm', 'a', 'o', 9, 0,
  /* 11223 */ 'b', 'o', 9, 0,
  /* 11227 */ 'l', 'o', 'c', 'o', 9, 0,
  /* 11233 */ 's', 't', 'o', 'c', 'o', 9, 0,
  /* 11240 */ 'l', 'o', 'c', 'g', 'o', 9, 0,
  /* 11247 */ 's', 't', 'o', 'c', 'g', 'o', 9, 0,
  /* 11255 */ 'j', 'g', 'o', 9, 0,
  /* 11260 */ 'l', 'o', 'c', 'f', 'h', 'o', 9, 0,
  /* 11268 */ 's', 't', 'o', 'c', 'f', 'h', 'o', 9, 0,
  /* 11277 */ 'b', 'i', 'o', 9, 0,
  /* 11282 */ 'l', 'o', 'c', 'h', 'i', 'o', 9, 0,
  /* 11290 */ 'l', 'o', 'c', 'g', 'h', 'i', 'o', 9, 0,
  /* 11299 */ 'l', 'o', 'c', 'h', 'h', 'i', 'o', 9, 0,
  /* 11308 */ 'j', 'o', 9, 0,
  /* 11312 */ 'v', 'm', 'a', 'l', 'o', 9, 0,
  /* 11319 */ 'v', 'm', 'l', 'o', 9, 0,
  /* 11325 */ 'p', 'l', 'o', 9, 0,
  /* 11330 */ 'k', 'm', 'o', 9, 0,
  /* 11335 */ 'v', 'm', 'o', 9, 0,
  /* 11340 */ 'b', 'n', 'o', 9, 0,
  /* 11345 */ 'l', 'o', 'c', 'n', 'o', 9, 0,
  /* 11352 */ 's', 't', 'o', 'c', 'n', 'o', 9, 0,
  /* 11360 */ 'l', 'o', 'c', 'g', 'n', 'o', 9, 0,
  /* 11368 */ 's', 't', 'o', 'c', 'g', 'n', 'o', 9, 0,
  /* 11377 */ 'j', 'g', 'n', 'o', 9, 0,
  /* 11383 */ 'l', 'o', 'c', 'f', 'h', 'n', 'o', 9, 0,
  /* 11392 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'o', 9, 0,
  /* 11402 */ 'b', 'i', 'n', 'o', 9, 0,
  /* 11408 */ 'l', 'o', 'c', 'h', 'i', 'n', 'o', 9, 0,
  /* 11417 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'o', 9, 0,
  /* 11427 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'o', 9, 0,
  /* 11437 */ 'j', 'n', 'o', 9, 0,
  /* 11442 */ 'p', 'p', 'n', 'o', 9, 0,
  /* 11448 */ 'l', 'o', 'c', 'r', 'n', 'o', 9, 0,
  /* 11456 */ 'l', 'o', 'c', 'g', 'r', 'n', 'o', 9, 0,
  /* 11465 */ 's', 'e', 'l', 'g', 'r', 'n', 'o', 9, 0,
  /* 11474 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'o', 9, 0,
  /* 11484 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'o', 9, 0,
  /* 11494 */ 's', 'e', 'l', 'r', 'n', 'o', 9, 0,
  /* 11502 */ 'p', 'r', 'n', 'o', 9, 0,
  /* 11508 */ 'v', 'n', 'o', 9, 0,
  /* 11513 */ 't', 'r', 'o', 'o', 9, 0,
  /* 11519 */ 'l', 'o', 'c', 'r', 'o', 9, 0,
  /* 11526 */ 'v', 'z', 'e', 'r', 'o', 9, 0,
  /* 11533 */ 'l', 'o', 'c', 'g', 'r', 'o', 9, 0,
  /* 11541 */ 's', 'e', 'l', 'g', 'r', 'o', 9, 0,
  /* 11549 */ 'l', 'o', 'c', 'f', 'h', 'r', 'o', 9, 0,
  /* 11558 */ 's', 'e', 'l', 'f', 'h', 'r', 'o', 9, 0,
  /* 11567 */ 's', 'e', 'l', 'r', 'o', 9, 0,
  /* 11574 */ 'v', 'f', 'p', 's', 'o', 9, 0,
  /* 11581 */ 't', 'r', 't', 'o', 9, 0,
  /* 11587 */ 'm', 'v', 'o', 9, 0,
  /* 11592 */ 's', 't', 'a', 'p', 9, 0,
  /* 11598 */ 'v', 'a', 'p', 9, 0,
  /* 11603 */ 'z', 'a', 'p', 9, 0,
  /* 11608 */ 'b', 'p', 9, 0,
  /* 11612 */ 'l', 'o', 'c', 'p', 9, 0,
  /* 11618 */ 's', 't', 'o', 'c', 'p', 9, 0,
  /* 11625 */ 'm', 'v', 'c', 'p', 9, 0,
  /* 11631 */ 's', 't', 'i', 'd', 'p', 9, 0,
  /* 11638 */ 'v', 's', 'd', 'p', 9, 0,
  /* 11644 */ 'v', 'd', 'p', 9, 0,
  /* 11649 */ 'v', 'l', 'r', 'e', 'p', 9, 0,
  /* 11656 */ 'v', 'l', 'b', 'r', 'r', 'e', 'p', 9, 0,
  /* 11665 */ 'v', 'r', 'e', 'p', 9, 0,
  /* 11671 */ 'v', 'c', 'l', 'f', 'p', 9, 0,
  /* 11678 */ 'v', 'c', 's', 'f', 'p', 9, 0,
  /* 11685 */ 'l', 'o', 'c', 'g', 'p', 9, 0,
  /* 11692 */ 's', 't', 'o', 'c', 'g', 'p', 9, 0,
  /* 11700 */ 's', 'i', 'g', 'p', 9, 0,
  /* 11706 */ 'j', 'g', 'p', 9, 0,
  /* 11711 */ 'v', 'l', 'v', 'g', 'p', 9, 0,
  /* 11718 */ 'l', 'o', 'c', 'f', 'h', 'p', 9, 0,
  /* 11726 */ 's', 't', 'o', 'c', 'f', 'h', 'p', 9, 0,
  /* 11735 */ 'b', 'i', 'p', 9, 0,
  /* 11740 */ 'l', 'o', 'c', 'h', 'i', 'p', 9, 0,
  /* 11748 */ 'l', 'o', 'c', 'g', 'h', 'i', 'p', 9, 0,
  /* 11757 */ 'l', 'o', 'c', 'h', 'h', 'i', 'p', 9, 0,
  /* 11766 */ 'v', 'l', 'i', 'p', 9, 0,
  /* 11772 */ 'j', 'p', 9, 0,
  /* 11776 */ 'v', 'l', 'p', 9, 0,
  /* 11781 */ 'v', 'm', 'p', 9, 0,
  /* 11786 */ 'b', 'n', 'p', 9, 0,
  /* 11791 */ 'l', 'o', 'c', 'n', 'p', 9, 0,
  /* 11798 */ 's', 't', 'o', 'c', 'n', 'p', 9, 0,
  /* 11806 */ 'l', 'o', 'c', 'g', 'n', 'p', 9, 0,
  /* 11814 */ 's', 't', 'o', 'c', 'g', 'n', 'p', 9, 0,
  /* 11823 */ 'j', 'g', 'n', 'p', 9, 0,
  /* 11829 */ 'l', 'o', 'c', 'f', 'h', 'n', 'p', 9, 0,
  /* 11838 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'p', 9, 0,
  /* 11848 */ 'b', 'i', 'n', 'p', 9, 0,
  /* 11854 */ 'l', 'o', 'c', 'h', 'i', 'n', 'p', 9, 0,
  /* 11863 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'p', 9, 0,
  /* 11873 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'p', 9, 0,
  /* 11883 */ 'j', 'n', 'p', 9, 0,
  /* 11888 */ 'l', 'o', 'c', 'r', 'n', 'p', 9, 0,
  /* 11896 */ 'l', 'o', 'c', 'g', 'r', 'n', 'p', 9, 0,
  /* 11905 */ 's', 'e', 'l', 'g', 'r', 'n', 'p', 9, 0,
  /* 11914 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'p', 9, 0,
  /* 11924 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'p', 9, 0,
  /* 11934 */ 's', 'e', 'l', 'r', 'n', 'p', 9, 0,
  /* 11942 */ 'v', 'p', 's', 'o', 'p', 9, 0,
  /* 11949 */ 'b', 'p', 'p', 9, 0,
  /* 11954 */ 'l', 'p', 'p', 9, 0,
  /* 11959 */ 'l', 'o', 'c', 'r', 'p', 9, 0,
  /* 11966 */ 'l', 'o', 'c', 'g', 'r', 'p', 9, 0,
  /* 11974 */ 's', 'e', 'l', 'g', 'r', 'p', 9, 0,
  /* 11982 */ 'l', 'o', 'c', 'f', 'h', 'r', 'p', 9, 0,
  /* 11991 */ 's', 'e', 'l', 'f', 'h', 'r', 'p', 9, 0,
  /* 12000 */ 's', 'e', 'l', 'r', 'p', 9, 0,
  /* 12007 */ 'b', 'p', 'r', 'p', 9, 0,
  /* 12013 */ 'v', 's', 'r', 'p', 9, 0,
  /* 12019 */ 'v', 'r', 'p', 9, 0,
  /* 12024 */ 'l', 'a', 's', 'p', 9, 0,
  /* 12030 */ 'c', 's', 'p', 9, 0,
  /* 12035 */ 'v', 'm', 's', 'p', 9, 0,
  /* 12041 */ 'v', 's', 'p', 9, 0,
  /* 12046 */ 'v', 't', 'p', 9, 0,
  /* 12051 */ 'v', 'a', 'q', 9, 0,
  /* 12056 */ 'v', 'a', 'c', 'q', 9, 0,
  /* 12062 */ 'v', 'a', 'c', 'c', 'q', 9, 0,
  /* 12069 */ 'v', 'a', 'c', 'c', 'c', 'q', 9, 0,
  /* 12077 */ 'v', 'c', 'e', 'q', 9, 0,
  /* 12083 */ 'v', 's', 'b', 'c', 'b', 'i', 'q', 9, 0,
  /* 12092 */ 'v', 's', 'c', 'b', 'i', 'q', 9, 0,
  /* 12100 */ 'v', 's', 'b', 'i', 'q', 9, 0,
  /* 12107 */ 'v', 's', 'u', 'm', 'q', 9, 0,
  /* 12114 */ 'l', 'p', 'q', 9, 0,
  /* 12119 */ 's', 't', 'p', 'q', 9, 0,
  /* 12125 */ 'v', 'l', 'b', 'r', 'q', 9, 0,
  /* 12132 */ 'v', 's', 't', 'b', 'r', 'q', 9, 0,
  /* 12140 */ 'v', 'f', 's', 'q', 9, 0,
  /* 12146 */ 'v', 's', 'q', 9, 0,
  /* 12151 */ 'e', 'a', 'r', 9, 0,
  /* 12156 */ 'e', 'p', 'a', 'r', 9, 0,
  /* 12162 */ 'e', 's', 'a', 'r', 9, 0,
  /* 12168 */ 's', 's', 'a', 'r', 9, 0,
  /* 12174 */ 't', 'a', 'r', 9, 0,
  /* 12179 */ 'm', 'a', 'd', 'b', 'r', 9, 0,
  /* 12186 */ 'l', 'c', 'd', 'b', 'r', 9, 0,
  /* 12193 */ 'd', 'd', 'b', 'r', 9, 0,
  /* 12199 */ 'l', 'e', 'd', 'b', 'r', 9, 0,
  /* 12206 */ 'c', 'f', 'd', 'b', 'r', 9, 0,
  /* 12213 */ 'c', 'l', 'f', 'd', 'b', 'r', 9, 0,
  /* 12221 */ 'c', 'g', 'd', 'b', 'r', 9, 0,
  /* 12228 */ 'c', 'l', 'g', 'd', 'b', 'r', 9, 0,
  /* 12236 */ 'd', 'i', 'd', 'b', 'r', 9, 0,
  /* 12243 */ 'f', 'i', 'd', 'b', 'r', 9, 0,
  /* 12250 */ 'k', 'd', 'b', 'r', 9, 0,
  /* 12256 */ 'm', 'd', 'b', 'r', 9, 0,
  /* 12262 */ 'l', 'n', 'd', 'b', 'r', 9, 0,
  /* 12269 */ 'l', 'p', 'd', 'b', 'r', 9, 0,
  /* 12276 */ 's', 'q', 'd', 'b', 'r', 9, 0,
  /* 12283 */ 'm', 's', 'd', 'b', 'r', 9, 0,
  /* 12290 */ 'l', 't', 'd', 'b', 'r', 9, 0,
  /* 12297 */ 'l', 'x', 'd', 'b', 'r', 9, 0,
  /* 12304 */ 'm', 'x', 'd', 'b', 'r', 9, 0,
  /* 12311 */ 'm', 'a', 'e', 'b', 'r', 9, 0,
  /* 12318 */ 'l', 'c', 'e', 'b', 'r', 9, 0,
  /* 12325 */ 'l', 'd', 'e', 'b', 'r', 9, 0,
  /* 12332 */ 'm', 'd', 'e', 'b', 'r', 9, 0,
  /* 12339 */ 'm', 'e', 'e', 'b', 'r', 9, 0,
  /* 12346 */ 'c', 'f', 'e', 'b', 'r', 9, 0,
  /* 12353 */ 'c', 'l', 'f', 'e', 'b', 'r', 9, 0,
  /* 12361 */ 'c', 'g', 'e', 'b', 'r', 9, 0,
  /* 12368 */ 'c', 'l', 'g', 'e', 'b', 'r', 9, 0,
  /* 12376 */ 'd', 'i', 'e', 'b', 'r', 9, 0,
  /* 12383 */ 'f', 'i', 'e', 'b', 'r', 9, 0,
  /* 12390 */ 'k', 'e', 'b', 'r', 9, 0,
  /* 12396 */ 'l', 'n', 'e', 'b', 'r', 9, 0,
  /* 12403 */ 'l', 'p', 'e', 'b', 'r', 9, 0,
  /* 12410 */ 's', 'q', 'e', 'b', 'r', 9, 0,
  /* 12417 */ 'm', 's', 'e', 'b', 'r', 9, 0,
  /* 12424 */ 'l', 't', 'e', 'b', 'r', 9, 0,
  /* 12431 */ 'l', 'x', 'e', 'b', 'r', 9, 0,
  /* 12438 */ 'c', 'd', 'f', 'b', 'r', 9, 0,
  /* 12445 */ 'c', 'e', 'f', 'b', 'r', 9, 0,
  /* 12452 */ 'c', 'd', 'l', 'f', 'b', 'r', 9, 0,
  /* 12460 */ 'c', 'e', 'l', 'f', 'b', 'r', 9, 0,
  /* 12468 */ 'c', 'x', 'l', 'f', 'b', 'r', 9, 0,
  /* 12476 */ 'c', 'x', 'f', 'b', 'r', 9, 0,
  /* 12483 */ 'c', 'd', 'g', 'b', 'r', 9, 0,
  /* 12490 */ 'c', 'e', 'g', 'b', 'r', 9, 0,
  /* 12497 */ 'c', 'd', 'l', 'g', 'b', 'r', 9, 0,
  /* 12505 */ 'c', 'e', 'l', 'g', 'b', 'r', 9, 0,
  /* 12513 */ 'c', 'x', 'l', 'g', 'b', 'r', 9, 0,
  /* 12521 */ 'c', 'x', 'g', 'b', 'r', 9, 0,
  /* 12528 */ 's', 'l', 'b', 'r', 9, 0,
  /* 12534 */ 'v', 'l', 'b', 'r', 9, 0,
  /* 12540 */ 'v', 's', 't', 'b', 'r', 9, 0,
  /* 12547 */ 'a', 'x', 'b', 'r', 9, 0,
  /* 12553 */ 'l', 'c', 'x', 'b', 'r', 9, 0,
  /* 12560 */ 'l', 'd', 'x', 'b', 'r', 9, 0,
  /* 12567 */ 'l', 'e', 'x', 'b', 'r', 9, 0,
  /* 12574 */ 'c', 'f', 'x', 'b', 'r', 9, 0,
  /* 12581 */ 'c', 'l', 'f', 'x', 'b', 'r', 9, 0,
  /* 12589 */ 'c', 'g', 'x', 'b', 'r', 9, 0,
  /* 12596 */ 'c', 'l', 'g', 'x', 'b', 'r', 9, 0,
  /* 12604 */ 'f', 'i', 'x', 'b', 'r', 9, 0,
  /* 12611 */ 'k', 'x', 'b', 'r', 9, 0,
  /* 12617 */ 'm', 'x', 'b', 'r', 9, 0,
  /* 12623 */ 'l', 'n', 'x', 'b', 'r', 9, 0,
  /* 12630 */ 'l', 'p', 'x', 'b', 'r', 9, 0,
  /* 12637 */ 's', 'q', 'x', 'b', 'r', 9, 0,
  /* 12644 */ 's', 'x', 'b', 'r', 9, 0,
  /* 12650 */ 'l', 't', 'x', 'b', 'r', 9, 0,
  /* 12657 */ 'b', 'c', 'r', 9, 0,
  /* 12662 */ 'l', 'l', 'g', 'c', 'r', 9, 0,
  /* 12669 */ 'a', 'l', 'c', 'r', 9, 0,
  /* 12675 */ 'l', 'l', 'c', 'r', 9, 0,
  /* 12681 */ 'l', 'o', 'c', 'r', 9, 0,
  /* 12687 */ 'm', 'a', 'd', 'r', 9, 0,
  /* 12693 */ 't', 'b', 'd', 'r', 9, 0,
  /* 12699 */ 'l', 'c', 'd', 'r', 9, 0,
  /* 12705 */ 'd', 'd', 'r', 9, 0,
  /* 12710 */ 't', 'b', 'e', 'd', 'r', 9, 0,
  /* 12717 */ 'l', 'e', 'd', 'r', 9, 0,
  /* 12723 */ 'c', 'f', 'd', 'r', 9, 0,
  /* 12729 */ 'c', 'g', 'd', 'r', 9, 0,
  /* 12735 */ 'l', 'g', 'd', 'r', 9, 0,
  /* 12741 */ 't', 'h', 'd', 'r', 9, 0,
  /* 12747 */ 'f', 'i', 'd', 'r', 9, 0,
  /* 12753 */ 'l', 'd', 'r', 9, 0,
  /* 12758 */ 'm', 'd', 'r', 9, 0,
  /* 12763 */ 'l', 'n', 'd', 'r', 9, 0,
  /* 12769 */ 'l', 'p', 'd', 'r', 9, 0,
  /* 12775 */ 's', 'q', 'd', 'r', 9, 0,
  /* 12781 */ 'l', 'r', 'd', 'r', 9, 0,
  /* 12787 */ 'm', 's', 'd', 'r', 9, 0,
  /* 12793 */ 'c', 'p', 's', 'd', 'r', 9, 0,
  /* 12800 */ 'l', 't', 'd', 'r', 9, 0,
  /* 12806 */ 'l', 'x', 'd', 'r', 9, 0,
  /* 12812 */ 'm', 'x', 'd', 'r', 9, 0,
  /* 12818 */ 'l', 'z', 'd', 'r', 9, 0,
  /* 12824 */ 'm', 'a', 'e', 'r', 9, 0,
  /* 12830 */ 'b', 'e', 'r', 9, 0,
  /* 12835 */ 'l', 'c', 'e', 'r', 9, 0,
  /* 12841 */ 't', 'h', 'd', 'e', 'r', 9, 0,
  /* 12848 */ 'l', 'd', 'e', 'r', 9, 0,
  /* 12854 */ 'm', 'd', 'e', 'r', 9, 0,
  /* 12860 */ 'm', 'e', 'e', 'r', 9, 0,
  /* 12866 */ 'c', 'f', 'e', 'r', 9, 0,
  /* 12872 */ 'c', 'g', 'e', 'r', 9, 0,
  /* 12878 */ 'b', 'h', 'e', 'r', 9, 0,
  /* 12884 */ 'b', 'n', 'h', 'e', 'r', 9, 0,
  /* 12891 */ 'f', 'i', 'e', 'r', 9, 0,
  /* 12897 */ 'b', 'l', 'e', 'r', 9, 0,
  /* 12903 */ 'b', 'n', 'l', 'e', 'r', 9, 0,
  /* 12910 */ 'v', 'l', 'e', 'r', 9, 0,
  /* 12916 */ 'm', 'e', 'r', 9, 0,
  /* 12921 */ 'b', 'n', 'e', 'r', 9, 0,
  /* 12927 */ 'l', 'n', 'e', 'r', 9, 0,
  /* 12933 */ 'l', 'p', 'e', 'r', 9, 0,
  /* 12939 */ 's', 'q', 'e', 'r', 9, 0,
  /* 12945 */ 'l', 'r', 'e', 'r', 9, 0,
  /* 12951 */ 'm', 's', 'e', 'r', 9, 0,
  /* 12957 */ 'l', 't', 'e', 'r', 9, 0,
  /* 12963 */ 'v', 's', 't', 'e', 'r', 9, 0,
  /* 12970 */ 'l', 'x', 'e', 'r', 9, 0,
  /* 12976 */ 'l', 'z', 'e', 'r', 9, 0,
  /* 12982 */ 'l', 'c', 'd', 'f', 'r', 9, 0,
  /* 12989 */ 'l', 'n', 'd', 'f', 'r', 9, 0,
  /* 12996 */ 'l', 'p', 'd', 'f', 'r', 9, 0,
  /* 13003 */ 'c', 'e', 'f', 'r', 9, 0,
  /* 13009 */ 'a', 'g', 'f', 'r', 9, 0,
  /* 13015 */ 'l', 'c', 'g', 'f', 'r', 9, 0,
  /* 13022 */ 'a', 'l', 'g', 'f', 'r', 9, 0,
  /* 13029 */ 'c', 'l', 'g', 'f', 'r', 9, 0,
  /* 13036 */ 'l', 'l', 'g', 'f', 'r', 9, 0,
  /* 13043 */ 's', 'l', 'g', 'f', 'r', 9, 0,
  /* 13050 */ 'l', 'n', 'g', 'f', 'r', 9, 0,
  /* 13057 */ 'l', 'p', 'g', 'f', 'r', 9, 0,
  /* 13064 */ 'd', 's', 'g', 'f', 'r', 9, 0,
  /* 13071 */ 'm', 's', 'g', 'f', 'r', 9, 0,
  /* 13078 */ 'l', 't', 'g', 'f', 'r', 9, 0,
  /* 13085 */ 'c', 'x', 'f', 'r', 9, 0,
  /* 13091 */ 'a', 'g', 'r', 9, 0,
  /* 13096 */ 's', 'l', 'b', 'g', 'r', 9, 0,
  /* 13103 */ 'a', 'l', 'c', 'g', 'r', 9, 0,
  /* 13110 */ 'l', 'o', 'c', 'g', 'r', 9, 0,
  /* 13117 */ 'c', 'd', 'g', 'r', 9, 0,
  /* 13123 */ 'l', 'd', 'g', 'r', 9, 0,
  /* 13129 */ 'c', 'e', 'g', 'r', 9, 0,
  /* 13135 */ 'a', 'l', 'g', 'r', 9, 0,
  /* 13141 */ 'c', 'l', 'g', 'r', 9, 0,
  /* 13147 */ 'd', 'l', 'g', 'r', 9, 0,
  /* 13153 */ 's', 'e', 'l', 'g', 'r', 9, 0,
  /* 13160 */ 'm', 'l', 'g', 'r', 9, 0,
  /* 13166 */ 's', 'l', 'g', 'r', 9, 0,
  /* 13172 */ 'l', 'n', 'g', 'r', 9, 0,
  /* 13178 */ 'f', 'l', 'o', 'g', 'r', 9, 0,
  /* 13185 */ 'l', 'p', 'g', 'r', 9, 0,
  /* 13191 */ 'd', 's', 'g', 'r', 9, 0,
  /* 13197 */ 'm', 's', 'g', 'r', 9, 0,
  /* 13203 */ 'b', 'c', 't', 'g', 'r', 9, 0,
  /* 13210 */ 'l', 't', 'g', 'r', 9, 0,
  /* 13216 */ 'l', 'r', 'v', 'g', 'r', 9, 0,
  /* 13223 */ 'c', 'x', 'g', 'r', 9, 0,
  /* 13229 */ 'b', 'h', 'r', 9, 0,
  /* 13234 */ 'l', 'o', 'c', 'f', 'h', 'r', 9, 0,
  /* 13242 */ 's', 'e', 'l', 'f', 'h', 'r', 9, 0,
  /* 13250 */ 'l', 'l', 'g', 'h', 'r', 9, 0,
  /* 13257 */ 'c', 'h', 'h', 'r', 9, 0,
  /* 13263 */ 'a', 'h', 'h', 'h', 'r', 9, 0,
  /* 13270 */ 'a', 'l', 'h', 'h', 'h', 'r', 9, 0,
  /* 13278 */ 's', 'l', 'h', 'h', 'h', 'r', 9, 0,
  /* 13286 */ 's', 'h', 'h', 'h', 'r', 9, 0,
  /* 13293 */ 'c', 'l', 'h', 'h', 'r', 9, 0,
  /* 13300 */ 'b', 'l', 'h', 'r', 9, 0,
  /* 13306 */ 'l', 'l', 'h', 'r', 9, 0,
  /* 13312 */ 'b', 'n', 'l', 'h', 'r', 9, 0,
  /* 13319 */ 'b', 'n', 'h', 'r', 9, 0,
  /* 13325 */ 'm', 'a', 'y', 'h', 'r', 9, 0,
  /* 13332 */ 'm', 'y', 'h', 'r', 9, 0,
  /* 13338 */ 'e', 'p', 'a', 'i', 'r', 9, 0,
  /* 13345 */ 'e', 's', 'a', 'i', 'r', 9, 0,
  /* 13352 */ 's', 's', 'a', 'i', 'r', 9, 0,
  /* 13359 */ 'b', 'a', 'k', 'r', 9, 0,
  /* 13365 */ 'b', 'a', 'l', 'r', 9, 0,
  /* 13371 */ 'b', 'l', 'r', 9, 0,
  /* 13376 */ 'c', 'l', 'r', 9, 0,
  /* 13381 */ 'd', 'l', 'r', 9, 0,
  /* 13386 */ 's', 'e', 'l', 'r', 9, 0,
  /* 13392 */ 'v', 'f', 'l', 'r', 9, 0,
  /* 13398 */ 'c', 'h', 'l', 'r', 9, 0,
  /* 13404 */ 'a', 'h', 'h', 'l', 'r', 9, 0,
  /* 13411 */ 'a', 'l', 'h', 'h', 'l', 'r', 9, 0,
  /* 13419 */ 's', 'l', 'h', 'h', 'l', 'r', 9, 0,
  /* 13427 */ 's', 'h', 'h', 'l', 'r', 9, 0,
  /* 13434 */ 'c', 'l', 'h', 'l', 'r', 9, 0,
  /* 13441 */ 'm', 'l', 'r', 9, 0,
  /* 13446 */ 'b', 'n', 'l', 'r', 9, 0,
  /* 13452 */ 'v', 'l', 'r', 'l', 'r', 9, 0,
  /* 13459 */ 'v', 's', 't', 'r', 'l', 'r', 9, 0,
  /* 13467 */ 's', 'l', 'r', 9, 0,
  /* 13472 */ 'v', 'l', 'r', 9, 0,
  /* 13477 */ 'm', 'a', 'y', 'l', 'r', 9, 0,
  /* 13484 */ 'm', 'y', 'l', 'r', 9, 0,
  /* 13490 */ 'b', 'm', 'r', 9, 0,
  /* 13495 */ 'b', 'n', 'm', 'r', 9, 0,
  /* 13501 */ 'l', 'n', 'r', 9, 0,
  /* 13506 */ 'b', 'o', 'r', 9, 0,
  /* 13511 */ 'b', 'n', 'o', 'r', 9, 0,
  /* 13517 */ 'b', 'p', 'r', 9, 0,
  /* 13522 */ 'l', 'p', 'r', 9, 0,
  /* 13527 */ 'b', 'n', 'p', 'r', 9, 0,
  /* 13533 */ 'b', 'a', 's', 'r', 9, 0,
  /* 13539 */ 's', 'f', 'a', 's', 'r', 9, 0,
  /* 13546 */ 'm', 's', 'r', 9, 0,
  /* 13551 */ 'b', 'c', 't', 'r', 9, 0,
  /* 13557 */ 'e', 'c', 'c', 't', 'r', 9, 0,
  /* 13564 */ 's', 'c', 'c', 't', 'r', 9, 0,
  /* 13571 */ 'k', 'm', 'c', 't', 'r', 9, 0,
  /* 13578 */ 'e', 'p', 'c', 't', 'r', 9, 0,
  /* 13585 */ 's', 'p', 'c', 't', 'r', 9, 0,
  /* 13592 */ 'q', 'a', 'd', 't', 'r', 9, 0,
  /* 13599 */ 'c', 'd', 't', 'r', 9, 0,
  /* 13605 */ 'd', 'd', 't', 'r', 9, 0,
  /* 13611 */ 'c', 'e', 'd', 't', 'r', 9, 0,
  /* 13618 */ 'e', 'e', 'd', 't', 'r', 9, 0,
  /* 13625 */ 'i', 'e', 'd', 't', 'r', 9, 0,
  /* 13632 */ 'l', 'e', 'd', 't', 'r', 9, 0,
  /* 13639 */ 'c', 'f', 'd', 't', 'r', 9, 0,
  /* 13646 */ 'c', 'l', 'f', 'd', 't', 'r', 9, 0,
  /* 13654 */ 'c', 'g', 'd', 't', 'r', 9, 0,
  /* 13661 */ 'c', 'l', 'g', 'd', 't', 'r', 9, 0,
  /* 13669 */ 'f', 'i', 'd', 't', 'r', 9, 0,
  /* 13676 */ 'k', 'd', 't', 'r', 9, 0,
  /* 13682 */ 'm', 'd', 't', 'r', 9, 0,
  /* 13688 */ 'r', 'r', 'd', 't', 'r', 9, 0,
  /* 13695 */ 'c', 's', 'd', 't', 'r', 9, 0,
  /* 13702 */ 'e', 's', 'd', 't', 'r', 9, 0,
  /* 13709 */ 'l', 't', 'd', 't', 'r', 9, 0,
  /* 13716 */ 'c', 'u', 'd', 't', 'r', 9, 0,
  /* 13723 */ 'l', 'x', 'd', 't', 'r', 9, 0,
  /* 13730 */ 'l', 'd', 'e', 't', 'r', 9, 0,
  /* 13737 */ 'c', 'd', 'f', 't', 'r', 9, 0,
  /* 13744 */ 'c', 'd', 'l', 'f', 't', 'r', 9, 0,
  /* 13752 */ 'c', 'x', 'l', 'f', 't', 'r', 9, 0,
  /* 13760 */ 'c', 'x', 'f', 't', 'r', 9, 0,
  /* 13767 */ 'c', 'd', 'g', 't', 'r', 9, 0,
  /* 13774 */ 'c', 'd', 'l', 'g', 't', 'r', 9, 0,
  /* 13782 */ 'l', 'l', 'g', 't', 'r', 9, 0,
  /* 13789 */ 'c', 'x', 'l', 'g', 't', 'r', 9, 0,
  /* 13797 */ 'c', 'x', 'g', 't', 'r', 9, 0,
  /* 13804 */ 'l', 't', 'r', 9, 0,
  /* 13809 */ 't', 'r', 't', 'r', 9, 0,
  /* 13815 */ 'c', 'd', 's', 't', 'r', 9, 0,
  /* 13822 */ 'v', 'i', 's', 't', 'r', 9, 0,
  /* 13829 */ 'c', 'x', 's', 't', 'r', 9, 0,
  /* 13836 */ 'c', 'd', 'u', 't', 'r', 9, 0,
  /* 13843 */ 'c', 'x', 'u', 't', 'r', 9, 0,
  /* 13850 */ 'q', 'a', 'x', 't', 'r', 9, 0,
  /* 13857 */ 'c', 'x', 't', 'r', 9, 0,
  /* 13863 */ 'l', 'd', 'x', 't', 'r', 9, 0,
  /* 13870 */ 'c', 'e', 'x', 't', 'r', 9, 0,
  /* 13877 */ 'e', 'e', 'x', 't', 'r', 9, 0,
  /* 13884 */ 'i', 'e', 'x', 't', 'r', 9, 0,
  /* 13891 */ 'c', 'f', 'x', 't', 'r', 9, 0,
  /* 13898 */ 'c', 'l', 'f', 'x', 't', 'r', 9, 0,
  /* 13906 */ 'c', 'g', 'x', 't', 'r', 9, 0,
  /* 13913 */ 'c', 'l', 'g', 'x', 't', 'r', 9, 0,
  /* 13921 */ 'f', 'i', 'x', 't', 'r', 9, 0,
  /* 13928 */ 'k', 'x', 't', 'r', 9, 0,
  /* 13934 */ 'm', 'x', 't', 'r', 9, 0,
  /* 13940 */ 'r', 'r', 'x', 't', 'r', 9, 0,
  /* 13947 */ 'c', 's', 'x', 't', 'r', 9, 0,
  /* 13954 */ 'e', 's', 'x', 't', 'r', 9, 0,
  /* 13961 */ 'l', 't', 'x', 't', 'r', 9, 0,
  /* 13968 */ 'c', 'u', 'x', 't', 'r', 9, 0,
  /* 13975 */ 'a', 'u', 'r', 9, 0,
  /* 13980 */ 's', 'u', 'r', 9, 0,
  /* 13985 */ 'l', 'r', 'v', 'r', 9, 0,
  /* 13991 */ 'a', 'w', 'r', 9, 0,
  /* 13996 */ 's', 'w', 'r', 9, 0,
  /* 14001 */ 'a', 'x', 'r', 9, 0,
  /* 14006 */ 'l', 'c', 'x', 'r', 9, 0,
  /* 14012 */ 'l', 'd', 'x', 'r', 9, 0,
  /* 14018 */ 'l', 'e', 'x', 'r', 9, 0,
  /* 14024 */ 'c', 'f', 'x', 'r', 9, 0,
  /* 14030 */ 'c', 'g', 'x', 'r', 9, 0,
  /* 14036 */ 'f', 'i', 'x', 'r', 9, 0,
  /* 14042 */ 'l', 'x', 'r', 9, 0,
  /* 14047 */ 'm', 'x', 'r', 9, 0,
  /* 14052 */ 'l', 'n', 'x', 'r', 9, 0,
  /* 14058 */ 'l', 'p', 'x', 'r', 9, 0,
  /* 14064 */ 's', 'q', 'x', 'r', 9, 0,
  /* 14070 */ 's', 'x', 'r', 9, 0,
  /* 14075 */ 'l', 't', 'x', 'r', 9, 0,
  /* 14081 */ 'l', 'z', 'x', 'r', 9, 0,
  /* 14087 */ 'm', 'a', 'y', 'r', 9, 0,
  /* 14093 */ 'm', 'y', 'r', 9, 0,
  /* 14098 */ 'b', 'z', 'r', 9, 0,
  /* 14103 */ 'b', 'n', 'z', 'r', 9, 0,
  /* 14109 */ 'b', 'a', 's', 9, 0,
  /* 14114 */ 'l', 'f', 'a', 's', 9, 0,
  /* 14120 */ 'b', 'r', 'a', 's', 9, 0,
  /* 14126 */ 'v', 's', 't', 'r', 'c', 'b', 's', 9, 0,
  /* 14135 */ 'v', 'f', 'c', 'e', 'd', 'b', 's', 9, 0,
  /* 14144 */ 'w', 'f', 'c', 'e', 'd', 'b', 's', 9, 0,
  /* 14153 */ 'v', 'f', 'c', 'h', 'e', 'd', 'b', 's', 9, 0,
  /* 14163 */ 'w', 'f', 'c', 'h', 'e', 'd', 'b', 's', 9, 0,
  /* 14173 */ 'v', 'f', 'k', 'h', 'e', 'd', 'b', 's', 9, 0,
  /* 14183 */ 'w', 'f', 'k', 'h', 'e', 'd', 'b', 's', 9, 0,
  /* 14193 */ 'v', 'f', 'k', 'e', 'd', 'b', 's', 9, 0,
  /* 14202 */ 'w', 'f', 'k', 'e', 'd', 'b', 's', 9, 0,
  /* 14211 */ 'v', 'f', 'c', 'h', 'd', 'b', 's', 9, 0,
  /* 14220 */ 'w', 'f', 'c', 'h', 'd', 'b', 's', 9, 0,
  /* 14229 */ 'v', 'f', 'k', 'h', 'd', 'b', 's', 9, 0,
  /* 14238 */ 'w', 'f', 'k', 'h', 'd', 'b', 's', 9, 0,
  /* 14247 */ 'v', 'f', 'a', 'e', 'b', 's', 9, 0,
  /* 14255 */ 'v', 'f', 'e', 'e', 'b', 's', 9, 0,
  /* 14263 */ 'v', 'f', 'e', 'n', 'e', 'b', 's', 9, 0,
  /* 14272 */ 'v', 'c', 'h', 'b', 's', 9, 0,
  /* 14279 */ 'v', 'c', 'h', 'l', 'b', 's', 9, 0,
  /* 14287 */ 'v', 'c', 'e', 'q', 'b', 's', 9, 0,
  /* 14295 */ 'v', 'i', 's', 't', 'r', 'b', 's', 9, 0,
  /* 14304 */ 'v', 'f', 'c', 'e', 's', 'b', 's', 9, 0,
  /* 14313 */ 'w', 'f', 'c', 'e', 's', 'b', 's', 9, 0,
  /* 14322 */ 'v', 'f', 'c', 'h', 'e', 's', 'b', 's', 9, 0,
  /* 14332 */ 'w', 'f', 'c', 'h', 'e', 's', 'b', 's', 9, 0,
  /* 14342 */ 'v', 'f', 'k', 'h', 'e', 's', 'b', 's', 9, 0,
  /* 14352 */ 'w', 'f', 'k', 'h', 'e', 's', 'b', 's', 9, 0,
  /* 14362 */ 'v', 'f', 'k', 'e', 's', 'b', 's', 9, 0,
  /* 14371 */ 'w', 'f', 'k', 'e', 's', 'b', 's', 9, 0,
  /* 14380 */ 'v', 'f', 'c', 'h', 's', 'b', 's', 9, 0,
  /* 14389 */ 'w', 'f', 'c', 'h', 's', 'b', 's', 9, 0,
  /* 14398 */ 'v', 'f', 'k', 'h', 's', 'b', 's', 9, 0,
  /* 14407 */ 'w', 'f', 'k', 'h', 's', 'b', 's', 9, 0,
  /* 14416 */ 'w', 'f', 'c', 'e', 'x', 'b', 's', 9, 0,
  /* 14425 */ 'w', 'f', 'c', 'h', 'e', 'x', 'b', 's', 9, 0,
  /* 14435 */ 'w', 'f', 'k', 'h', 'e', 'x', 'b', 's', 9, 0,
  /* 14445 */ 'w', 'f', 'k', 'e', 'x', 'b', 's', 9, 0,
  /* 14454 */ 'w', 'f', 'c', 'h', 'x', 'b', 's', 9, 0,
  /* 14463 */ 'w', 'f', 'k', 'h', 'x', 'b', 's', 9, 0,
  /* 14472 */ 'v', 's', 't', 'r', 'c', 'z', 'b', 's', 9, 0,
  /* 14482 */ 'v', 'f', 'a', 'e', 'z', 'b', 's', 9, 0,
  /* 14491 */ 'v', 'f', 'e', 'e', 'z', 'b', 's', 9, 0,
  /* 14500 */ 'v', 'f', 'e', 'n', 'e', 'z', 'b', 's', 9, 0,
  /* 14510 */ 'm', 'v', 'c', 's', 9, 0,
  /* 14516 */ 'c', 'd', 's', 9, 0,
  /* 14521 */ 'v', 's', 't', 'r', 'c', 'f', 's', 9, 0,
  /* 14530 */ 'v', 'f', 'a', 'e', 'f', 's', 9, 0,
  /* 14538 */ 'v', 'f', 'e', 'e', 'f', 's', 9, 0,
  /* 14546 */ 'v', 'f', 'e', 'n', 'e', 'f', 's', 9, 0,
  /* 14555 */ 'v', 'c', 'h', 'f', 's', 9, 0,
  /* 14562 */ 'v', 'c', 'h', 'l', 'f', 's', 9, 0,
  /* 14570 */ 'v', 'c', 'e', 'q', 'f', 's', 9, 0,
  /* 14578 */ 'v', 'i', 's', 't', 'r', 'f', 's', 9, 0,
  /* 14587 */ 'v', 'p', 'k', 's', 'f', 's', 9, 0,
  /* 14595 */ 'v', 'p', 'k', 'l', 's', 'f', 's', 9, 0,
  /* 14604 */ 'v', 'f', 's', 9, 0,
  /* 14609 */ 'v', 's', 't', 'r', 'c', 'z', 'f', 's', 9, 0,
  /* 14619 */ 'v', 'f', 'a', 'e', 'z', 'f', 's', 9, 0,
  /* 14628 */ 'v', 'f', 'e', 'e', 'z', 'f', 's', 9, 0,
  /* 14637 */ 'v', 'f', 'e', 'n', 'e', 'z', 'f', 's', 9, 0,
  /* 14647 */ 'v', 'c', 'h', 'g', 's', 9, 0,
  /* 14654 */ 'v', 'c', 'h', 'l', 'g', 's', 9, 0,
  /* 14662 */ 'v', 'c', 'e', 'q', 'g', 's', 9, 0,
  /* 14670 */ 'v', 'p', 'k', 's', 'g', 's', 9, 0,
  /* 14678 */ 'v', 'p', 'k', 'l', 's', 'g', 's', 9, 0,
  /* 14687 */ 'v', 's', 't', 'r', 'c', 'h', 's', 9, 0,
  /* 14696 */ 'v', 'f', 'a', 'e', 'h', 's', 9, 0,
  /* 14704 */ 'v', 'f', 'e', 'e', 'h', 's', 9, 0,
  /* 14712 */ 'v', 'f', 'e', 'n', 'e', 'h', 's', 9, 0,
  /* 14721 */ 'v', 'c', 'h', 'h', 's', 9, 0,
  /* 14728 */ 'v', 'c', 'h', 'l', 'h', 's', 9, 0,
  /* 14736 */ 'v', 'c', 'e', 'q', 'h', 's', 9, 0,
  /* 14744 */ 'v', 'i', 's', 't', 'r', 'h', 's', 9, 0,
  /* 14753 */ 'v', 'p', 'k', 's', 'h', 's', 9, 0,
  /* 14761 */ 'v', 'p', 'k', 'l', 's', 'h', 's', 9, 0,
  /* 14770 */ 'v', 's', 't', 'r', 'c', 'z', 'h', 's', 9, 0,
  /* 14780 */ 'v', 'f', 'a', 'e', 'z', 'h', 's', 9, 0,
  /* 14789 */ 'v', 'f', 'e', 'e', 'z', 'h', 's', 9, 0,
  /* 14798 */ 'v', 'f', 'e', 'n', 'e', 'z', 'h', 's', 9, 0,
  /* 14808 */ 'v', 'p', 'k', 's', 9, 0,
  /* 14814 */ 'v', 'p', 'k', 'l', 's', 9, 0,
  /* 14821 */ 'v', 'f', 'l', 'l', 's', 9, 0,
  /* 14828 */ 'w', 'f', 'l', 'l', 's', 9, 0,
  /* 14835 */ 'v', 'f', 'm', 's', 9, 0,
  /* 14841 */ 'v', 'f', 'n', 'm', 's', 9, 0,
  /* 14848 */ 'm', 'v', 'c', 'o', 's', 9, 0,
  /* 14855 */ 's', 't', 'c', 'p', 's', 9, 0,
  /* 14862 */ 'v', 'c', 'f', 'p', 's', 9, 0,
  /* 14869 */ 'v', 's', 't', 'r', 's', 9, 0,
  /* 14876 */ 't', 's', 9, 0,
  /* 14880 */ 'v', 's', 9, 0,
  /* 14884 */ 'l', 'l', 'g', 'f', 'a', 't', 9, 0,
  /* 14892 */ 'l', 'g', 'a', 't', 9, 0,
  /* 14898 */ 'l', 'f', 'h', 'a', 't', 9, 0,
  /* 14905 */ 'l', 'a', 't', 9, 0,
  /* 14910 */ 'l', 'l', 'g', 't', 'a', 't', 9, 0,
  /* 14918 */ 'b', 'c', 't', 9, 0,
  /* 14923 */ 'v', 'p', 'o', 'p', 'c', 't', 9, 0,
  /* 14931 */ 'b', 'r', 'c', 't', 9, 0,
  /* 14937 */ 't', 'd', 'c', 'd', 't', 9, 0,
  /* 14944 */ 't', 'd', 'g', 'd', 't', 9, 0,
  /* 14951 */ 's', 'l', 'd', 't', 9, 0,
  /* 14957 */ 'c', 'p', 'd', 't', 9, 0,
  /* 14963 */ 's', 'r', 'd', 't', 9, 0,
  /* 14969 */ 'c', 'z', 'd', 't', 9, 0,
  /* 14975 */ 't', 'd', 'c', 'e', 't', 9, 0,
  /* 14982 */ 't', 'd', 'g', 'e', 't', 9, 0,
  /* 14989 */ 'c', 'l', 'g', 't', 9, 0,
  /* 14995 */ 'l', 'l', 'g', 't', 9, 0,
  /* 15001 */ 'c', 'i', 't', 9, 0,
  /* 15006 */ 'c', 'l', 'f', 'i', 't', 9, 0,
  /* 15013 */ 'c', 'g', 'i', 't', 9, 0,
  /* 15019 */ 'c', 'l', 'g', 'i', 't', 9, 0,
  /* 15026 */ 'c', 'l', 't', 9, 0,
  /* 15031 */ 's', 'r', 'n', 'm', 't', 9, 0,
  /* 15038 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
  /* 15046 */ 't', 'p', 'r', 'o', 't', 9, 0,
  /* 15053 */ 't', 'r', 'o', 't', 9, 0,
  /* 15059 */ 'c', 'd', 'p', 't', 9, 0,
  /* 15065 */ 's', 'p', 't', 9, 0,
  /* 15070 */ 's', 't', 'p', 't', 9, 0,
  /* 15076 */ 'c', 'x', 'p', 't', 9, 0,
  /* 15082 */ 'c', 'r', 't', 9, 0,
  /* 15087 */ 'c', 'g', 'r', 't', 9, 0,
  /* 15093 */ 'c', 'l', 'g', 'r', 't', 9, 0,
  /* 15100 */ 'c', 'l', 'r', 't', 9, 0,
  /* 15106 */ 't', 'a', 'b', 'o', 'r', 't', 9, 0,
  /* 15114 */ 't', 'r', 't', 9, 0,
  /* 15119 */ 'c', 'l', 's', 't', 9, 0,
  /* 15125 */ 's', 'r', 's', 't', 9, 0,
  /* 15131 */ 'c', 's', 's', 't', 9, 0,
  /* 15137 */ 'm', 'v', 's', 't', 9, 0,
  /* 15143 */ 't', 'r', 't', 't', 9, 0,
  /* 15149 */ 'p', 'g', 'o', 'u', 't', 9, 0,
  /* 15156 */ 't', 'd', 'c', 'x', 't', 9, 0,
  /* 15163 */ 't', 'd', 'g', 'x', 't', 9, 0,
  /* 15170 */ 's', 'l', 'x', 't', 9, 0,
  /* 15176 */ 'c', 'p', 'x', 't', 9, 0,
  /* 15182 */ 's', 'r', 'x', 't', 9, 0,
  /* 15188 */ 'c', 'z', 'x', 't', 9, 0,
  /* 15194 */ 'c', 'd', 'z', 't', 9, 0,
  /* 15200 */ 'c', 'x', 'z', 't', 9, 0,
  /* 15206 */ 'a', 'u', 9, 0,
  /* 15210 */ 'c', 'u', 't', 'f', 'u', 9, 0,
  /* 15217 */ 'u', 'n', 'p', 'k', 'u', 9, 0,
  /* 15224 */ 'c', 'l', 'c', 'l', 'u', 9, 0,
  /* 15231 */ 'm', 'v', 'c', 'l', 'u', 9, 0,
  /* 15238 */ 's', 'u', 9, 0,
  /* 15242 */ 's', 'r', 's', 't', 'u', 9, 0,
  /* 15249 */ 'v', 'e', 's', 'r', 'a', 'v', 9, 0,
  /* 15257 */ 'v', 'l', 'g', 'v', 9, 0,
  /* 15263 */ 'v', 'e', 'r', 'l', 'l', 'v', 9, 0,
  /* 15271 */ 'v', 'e', 's', 'r', 'l', 'v', 9, 0,
  /* 15279 */ 'v', 'e', 's', 'l', 'v', 9, 0,
  /* 15286 */ 'l', 'r', 'v', 9, 0,
  /* 15291 */ 's', 't', 'r', 'v', 9, 0,
  /* 15297 */ 'a', 'w', 9, 0,
  /* 15301 */ 'v', 'm', 'a', 'l', 'h', 'w', 9, 0,
  /* 15309 */ 'v', 'm', 'l', 'h', 'w', 9, 0,
  /* 15316 */ 'v', 'u', 'p', 'l', 'h', 'w', 9, 0,
  /* 15324 */ 's', 't', 'c', 'r', 'w', 9, 0,
  /* 15331 */ 'e', 'p', 's', 'w', 9, 0,
  /* 15337 */ 'l', 'p', 's', 'w', 9, 0,
  /* 15343 */ 'l', 'a', 'x', 9, 0,
  /* 15348 */ 'v', 'f', 'm', 'a', 'x', 9, 0,
  /* 15355 */ 'e', 'x', 9, 0,
  /* 15359 */ 'v', 'm', 'x', 9, 0,
  /* 15364 */ 'v', 'n', 'x', 9, 0,
  /* 15369 */ 's', 'p', 'x', 9, 0,
  /* 15374 */ 's', 't', 'p', 'x', 9, 0,
  /* 15380 */ 'w', 'f', 'l', 'r', 'x', 9, 0,
  /* 15387 */ 'v', 'x', 9, 0,
  /* 15391 */ 'l', 'a', 'y', 9, 0,
  /* 15396 */ 'm', 'a', 'y', 9, 0,
  /* 15401 */ 'l', 'r', 'a', 'y', 9, 0,
  /* 15407 */ 'c', 'v', 'b', 'y', 9, 0,
  /* 15413 */ 'i', 'c', 'y', 9, 0,
  /* 15418 */ 's', 't', 'c', 'y', 9, 0,
  /* 15424 */ 'l', 'd', 'y', 9, 0,
  /* 15429 */ 's', 't', 'd', 'y', 9, 0,
  /* 15435 */ 'c', 'v', 'd', 'y', 9, 0,
  /* 15441 */ 'l', 'a', 'e', 'y', 9, 0,
  /* 15447 */ 'l', 'e', 'y', 9, 0,
  /* 15452 */ 's', 't', 'e', 'y', 9, 0,
  /* 15458 */ 'm', 'f', 'y', 9, 0,
  /* 15463 */ 'a', 'h', 'y', 9, 0,
  /* 15468 */ 'c', 'h', 'y', 9, 0,
  /* 15473 */ 'l', 'h', 'y', 9, 0,
  /* 15478 */ 'm', 'h', 'y', 9, 0,
  /* 15483 */ 's', 'h', 'y', 9, 0,
  /* 15488 */ 's', 't', 'h', 'y', 9, 0,
  /* 15494 */ 'c', 'l', 'i', 'y', 9, 0,
  /* 15500 */ 'n', 'i', 'y', 9, 0,
  /* 15505 */ 'o', 'i', 'y', 9, 0,
  /* 15510 */ 'm', 'v', 'i', 'y', 9, 0,
  /* 15516 */ 'x', 'i', 'y', 9, 0,
  /* 15521 */ 'a', 'l', 'y', 9, 0,
  /* 15526 */ 'c', 'l', 'y', 9, 0,
  /* 15531 */ 's', 'l', 'y', 9, 0,
  /* 15536 */ 'l', 'a', 'm', 'y', 9, 0,
  /* 15542 */ 's', 't', 'a', 'm', 'y', 9, 0,
  /* 15549 */ 'i', 'c', 'm', 'y', 9, 0,
  /* 15555 */ 's', 't', 'c', 'm', 'y', 9, 0,
  /* 15562 */ 'c', 'l', 'm', 'y', 9, 0,
  /* 15568 */ 's', 't', 'm', 'y', 9, 0,
  /* 15574 */ 'n', 'y', 9, 0,
  /* 15578 */ 'o', 'y', 9, 0,
  /* 15582 */ 'c', 's', 'y', 9, 0,
  /* 15587 */ 'c', 'd', 's', 'y', 9, 0,
  /* 15593 */ 'm', 's', 'y', 9, 0,
  /* 15598 */ 's', 't', 'y', 9, 0,
  /* 15603 */ 'x', 'y', 9, 0,
  /* 15607 */ 'b', 'z', 9, 0,
  /* 15611 */ 'l', 'o', 'c', 'z', 9, 0,
  /* 15617 */ 's', 't', 'o', 'c', 'z', 9, 0,
  /* 15624 */ 'v', 'l', 'l', 'e', 'z', 9, 0,
  /* 15631 */ 'l', 'o', 'c', 'g', 'z', 9, 0,
  /* 15638 */ 's', 't', 'o', 'c', 'g', 'z', 9, 0,
  /* 15646 */ 'j', 'g', 'z', 9, 0,
  /* 15651 */ 'l', 'o', 'c', 'f', 'h', 'z', 9, 0,
  /* 15659 */ 's', 't', 'o', 'c', 'f', 'h', 'z', 9, 0,
  /* 15668 */ 'b', 'i', 'z', 9, 0,
  /* 15673 */ 'l', 'o', 'c', 'h', 'i', 'z', 9, 0,
  /* 15681 */ 'l', 'o', 'c', 'g', 'h', 'i', 'z', 9, 0,
  /* 15690 */ 'l', 'o', 'c', 'h', 'h', 'i', 'z', 9, 0,
  /* 15699 */ 'j', 'z', 9, 0,
  /* 15703 */ 'v', 'u', 'p', 'k', 'z', 9, 0,
  /* 15710 */ 'v', 'p', 'k', 'z', 9, 0,
  /* 15716 */ 'v', 'c', 'l', 'z', 9, 0,
  /* 15722 */ 'b', 'n', 'z', 9, 0,
  /* 15727 */ 'l', 'o', 'c', 'n', 'z', 9, 0,
  /* 15734 */ 's', 't', 'o', 'c', 'n', 'z', 9, 0,
  /* 15742 */ 'l', 'o', 'c', 'g', 'n', 'z', 9, 0,
  /* 15750 */ 's', 't', 'o', 'c', 'g', 'n', 'z', 9, 0,
  /* 15759 */ 'j', 'g', 'n', 'z', 9, 0,
  /* 15765 */ 'l', 'o', 'c', 'f', 'h', 'n', 'z', 9, 0,
  /* 15774 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'z', 9, 0,
  /* 15784 */ 'b', 'i', 'n', 'z', 9, 0,
  /* 15790 */ 'l', 'o', 'c', 'h', 'i', 'n', 'z', 9, 0,
  /* 15799 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'z', 9, 0,
  /* 15809 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'z', 9, 0,
  /* 15819 */ 'j', 'n', 'z', 9, 0,
  /* 15824 */ 'l', 'o', 'c', 'r', 'n', 'z', 9, 0,
  /* 15832 */ 'l', 'o', 'c', 'g', 'r', 'n', 'z', 9, 0,
  /* 15841 */ 's', 'e', 'l', 'g', 'r', 'n', 'z', 9, 0,
  /* 15850 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'z', 9, 0,
  /* 15860 */ 's', 'e', 'l', 'f', 'h', 'r', 'n', 'z', 9, 0,
  /* 15870 */ 's', 'e', 'l', 'r', 'n', 'z', 9, 0,
  /* 15878 */ 'v', 'l', 'l', 'e', 'b', 'r', 'z', 9, 0,
  /* 15887 */ 'l', 'o', 'c', 'r', 'z', 9, 0,
  /* 15894 */ 'l', 'o', 'c', 'g', 'r', 'z', 9, 0,
  /* 15902 */ 's', 'e', 'l', 'g', 'r', 'z', 9, 0,
  /* 15910 */ 'l', 'o', 'c', 'f', 'h', 'r', 'z', 9, 0,
  /* 15919 */ 's', 'e', 'l', 'f', 'h', 'r', 'z', 9, 0,
  /* 15928 */ 's', 'e', 'l', 'r', 'z', 9, 0,
  /* 15935 */ 'v', 'c', 't', 'z', 9, 0,
  /* 15941 */ 'm', 'v', 'z', 9, 0,
  /* 15946 */ '.', 'i', 'n', 's', 'n', 32, 'e', ',', 0,
  /* 15955 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 'e', ',', 0,
  /* 15966 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', 'e', ',', 0,
  /* 15977 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', 'e', ',', 0,
  /* 15988 */ '.', 'i', 'n', 's', 'n', 32, 's', 's', 'e', ',', 0,
  /* 15999 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', 'e', ',', 0,
  /* 16010 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', 'f', ',', 0,
  /* 16021 */ '.', 'i', 'n', 's', 'n', 32, 's', 's', 'f', ',', 0,
  /* 16032 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', 'f', ',', 0,
  /* 16043 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', ',', 0,
  /* 16053 */ '.', 'i', 'n', 's', 'n', 32, 's', 'i', ',', 0,
  /* 16063 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', 'i', ',', 0,
  /* 16074 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 'l', ',', 0,
  /* 16085 */ '.', 'i', 'n', 's', 'n', 32, 's', 'i', 'l', ',', 0,
  /* 16096 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', ',', 0,
  /* 16106 */ '.', 'i', 'n', 's', 'n', 32, 's', ',', 0,
  /* 16115 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 's', ',', 0,
  /* 16126 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', ',', 0,
  /* 16136 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', 's', ',', 0,
  /* 16147 */ '.', 'i', 'n', 's', 'n', 32, 's', 's', ',', 0,
  /* 16157 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 'l', 'u', ',', 0,
  /* 16169 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', ',', 0,
  /* 16179 */ '.', 'i', 'n', 's', 'n', 32, 's', 'i', 'y', ',', 0,
  /* 16190 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', 'y', ',', 0,
  /* 16201 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', 'y', ',', 0,
  /* 16212 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'P', 'a', 't', 'c', 'h', 'a', 'b', 'l', 'e', 32, 'R', 'E', 'T', '.', 0,
  /* 16243 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'y', 'p', 'e', 'd', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
  /* 16267 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'C', 'u', 's', 't', 'o', 'm', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
  /* 16292 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'n', 't', 'e', 'r', '.', 0,
  /* 16315 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'a', 'i', 'l', 32, 'C', 'a', 'l', 'l', 32, 'E', 'x', 'i', 't', '.', 0,
  /* 16338 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'x', 'i', 't', '.', 0,
  /* 16360 */ 's', 'a', 'm', '3', '1', 0,
  /* 16366 */ 't', 'r', 'a', 'p', '2', 0,
  /* 16372 */ 's', 'a', 'm', '2', '4', 0,
  /* 16378 */ 's', 'a', 'm', '6', '4', 0,
  /* 16384 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
  /* 16397 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
  /* 16404 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
  /* 16414 */ 'D', 'B', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
  /* 16424 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
  /* 16439 */ 'c', 'i', 'b', 0,
  /* 16443 */ 'c', 'g', 'i', 'b', 0,
  /* 16448 */ 'c', 'l', 'g', 'i', 'b', 0,
  /* 16454 */ 'c', 'l', 'i', 'b', 0,
  /* 16459 */ 'p', 'a', 'l', 'b', 0,
  /* 16464 */ 'p', 't', 'l', 'b', 0,
  /* 16469 */ 'c', 'r', 'b', 0,
  /* 16473 */ 'c', 'g', 'r', 'b', 0,
  /* 16478 */ 'c', 'l', 'g', 'r', 'b', 0,
  /* 16484 */ 'c', 'l', 'r', 'b', 0,
  /* 16489 */ 'p', 'c', 'c', 0,
  /* 16493 */ 'l', 'o', 'c', 0,
  /* 16497 */ 's', 't', 'o', 'c', 0,
  /* 16502 */ 't', 'e', 'n', 'd', 0,
  /* 16507 */ 'p', 't', 'f', 'f', 0,
  /* 16512 */ 's', 'c', 'k', 'p', 'f', 0,
  /* 16518 */ 'l', 'o', 'c', 'g', 0,
  /* 16523 */ 's', 't', 'o', 'c', 'g', 0,
  /* 16529 */ 'j', 'g', 0,
  /* 16532 */ 'c', 's', 'c', 'h', 0,
  /* 16537 */ 'h', 's', 'c', 'h', 0,
  /* 16542 */ 'r', 's', 'c', 'h', 0,
  /* 16547 */ 'x', 's', 'c', 'h', 0,
  /* 16552 */ 'l', 'o', 'c', 'f', 'h', 0,
  /* 16558 */ 's', 't', 'o', 'c', 'f', 'h', 0,
  /* 16565 */ 'b', 'i', 0,
  /* 16568 */ 'l', 'o', 'c', 'h', 'i', 0,
  /* 16574 */ 'l', 'o', 'c', 'g', 'h', 'i', 0,
  /* 16581 */ 'l', 'o', 'c', 'h', 'h', 'i', 0,
  /* 16588 */ 'c', 'i', 'j', 0,
  /* 16592 */ 'c', 'g', 'i', 'j', 0,
  /* 16597 */ 'c', 'l', 'g', 'i', 'j', 0,
  /* 16603 */ 'c', 'l', 'i', 'j', 0,
  /* 16608 */ 'c', 'r', 'j', 0,
  /* 16612 */ 'c', 'g', 'r', 'j', 0,
  /* 16617 */ 'c', 'l', 'g', 'r', 'j', 0,
  /* 16623 */ 'c', 'l', 'r', 'j', 0,
  /* 16628 */ 'i', 'p', 'k', 0,
  /* 16632 */ 's', 'a', 'l', 0,
  /* 16636 */ '#', 32, 'F', 'E', 'n', 't', 'r', 'y', 32, 'c', 'a', 'l', 'l', 0,
  /* 16650 */ 't', 'a', 'm', 0,
  /* 16654 */ 's', 'c', 'h', 'm', 0,
  /* 16659 */ 'p', 'c', 'k', 'm', 'o', 0,
  /* 16665 */ 'p', 'f', 'p', 'o', 0,
  /* 16670 */ 'r', 'c', 'h', 'p', 0,
  /* 16675 */ 'l', 'o', 'c', 'r', 0,
  /* 16680 */ 'l', 'o', 'c', 'g', 'r', 0,
  /* 16686 */ 's', 'e', 'l', 'g', 'r', 0,
  /* 16692 */ 'l', 'o', 'c', 'f', 'h', 'r', 0,
  /* 16699 */ 's', 'e', 'l', 'f', 'h', 'r', 0,
  /* 16706 */ 's', 'e', 'l', 'r', 0,
  /* 16711 */ 'p', 'r', 0,
  /* 16714 */ 'c', 'l', 'g', 't', 0,
  /* 16719 */ 'c', 'i', 't', 0,
  /* 16723 */ 'c', 'l', 'f', 'i', 't', 0,
  /* 16729 */ 'c', 'g', 'i', 't', 0,
  /* 16734 */ 'c', 'l', 'g', 'i', 't', 0,
  /* 16740 */ 'c', 'l', 't', 0,
  /* 16744 */ 'u', 'p', 't', 0,
  /* 16748 */ 'c', 'r', 't', 0,
  /* 16752 */ 'c', 'g', 'r', 't', 0,
  /* 16757 */ 'c', 'l', 'g', 'r', 't', 0,
  /* 16763 */ 'c', 'l', 'r', 't', 0,
  };

  static const uint32_t OpInfo0[] = {
    0U,	// PHI
    0U,	// INLINEASM
    0U,	// INLINEASM_BR
    0U,	// CFI_INSTRUCTION
    0U,	// EH_LABEL
    0U,	// GC_LABEL
    0U,	// ANNOTATION_LABEL
    0U,	// KILL
    0U,	// EXTRACT_SUBREG
    0U,	// INSERT_SUBREG
    0U,	// IMPLICIT_DEF
    0U,	// SUBREG_TO_REG
    0U,	// COPY_TO_REGCLASS
    16405U,	// DBG_VALUE
    16415U,	// DBG_LABEL
    0U,	// REG_SEQUENCE
    0U,	// COPY
    16398U,	// BUNDLE
    16425U,	// LIFETIME_START
    16385U,	// LIFETIME_END
    0U,	// STACKMAP
    16637U,	// FENTRY_CALL
    0U,	// PATCHPOINT
    0U,	// LOAD_STACK_GUARD
    0U,	// STATEPOINT
    0U,	// LOCAL_ESCAPE
    0U,	// FAULTING_OP
    0U,	// PATCHABLE_OP
    16293U,	// PATCHABLE_FUNCTION_ENTER
    16213U,	// PATCHABLE_RET
    16339U,	// PATCHABLE_FUNCTION_EXIT
    16316U,	// PATCHABLE_TAIL_CALL
    16268U,	// PATCHABLE_EVENT_CALL
    16244U,	// PATCHABLE_TYPED_EVENT_CALL
    0U,	// ICALL_BRANCH_FUNNEL
    0U,	// G_ADD
    0U,	// G_SUB
    0U,	// G_MUL
    0U,	// G_SDIV
    0U,	// G_UDIV
    0U,	// G_SREM
    0U,	// G_UREM
    0U,	// G_AND
    0U,	// G_OR
    0U,	// G_XOR
    0U,	// G_IMPLICIT_DEF
    0U,	// G_PHI
    0U,	// G_FRAME_INDEX
    0U,	// G_GLOBAL_VALUE
    0U,	// G_EXTRACT
    0U,	// G_UNMERGE_VALUES
    0U,	// G_INSERT
    0U,	// G_MERGE_VALUES
    0U,	// G_BUILD_VECTOR
    0U,	// G_BUILD_VECTOR_TRUNC
    0U,	// G_CONCAT_VECTORS
    0U,	// G_PTRTOINT
    0U,	// G_INTTOPTR
    0U,	// G_BITCAST
    0U,	// G_INTRINSIC_TRUNC
    0U,	// G_INTRINSIC_ROUND
    0U,	// G_LOAD
    0U,	// G_SEXTLOAD
    0U,	// G_ZEXTLOAD
    0U,	// G_INDEXED_LOAD
    0U,	// G_INDEXED_SEXTLOAD
    0U,	// G_INDEXED_ZEXTLOAD
    0U,	// G_STORE
    0U,	// G_INDEXED_STORE
    0U,	// G_ATOMIC_CMPXCHG_WITH_SUCCESS
    0U,	// G_ATOMIC_CMPXCHG
    0U,	// G_ATOMICRMW_XCHG
    0U,	// G_ATOMICRMW_ADD
    0U,	// G_ATOMICRMW_SUB
    0U,	// G_ATOMICRMW_AND
    0U,	// G_ATOMICRMW_NAND
    0U,	// G_ATOMICRMW_OR
    0U,	// G_ATOMICRMW_XOR
    0U,	// G_ATOMICRMW_MAX
    0U,	// G_ATOMICRMW_MIN
    0U,	// G_ATOMICRMW_UMAX
    0U,	// G_ATOMICRMW_UMIN
    0U,	// G_ATOMICRMW_FADD
    0U,	// G_ATOMICRMW_FSUB
    0U,	// G_FENCE
    0U,	// G_BRCOND
    0U,	// G_BRINDIRECT
    0U,	// G_INTRINSIC
    0U,	// G_INTRINSIC_W_SIDE_EFFECTS
    0U,	// G_ANYEXT
    0U,	// G_TRUNC
    0U,	// G_CONSTANT
    0U,	// G_FCONSTANT
    0U,	// G_VASTART
    0U,	// G_VAARG
    0U,	// G_SEXT
    0U,	// G_SEXT_INREG
    0U,	// G_ZEXT
    0U,	// G_SHL
    0U,	// G_LSHR
    0U,	// G_ASHR
    0U,	// G_ICMP
    0U,	// G_FCMP
    0U,	// G_SELECT
    0U,	// G_UADDO
    0U,	// G_UADDE
    0U,	// G_USUBO
    0U,	// G_USUBE
    0U,	// G_SADDO
    0U,	// G_SADDE
    0U,	// G_SSUBO
    0U,	// G_SSUBE
    0U,	// G_UMULO
    0U,	// G_SMULO
    0U,	// G_UMULH
    0U,	// G_SMULH
    0U,	// G_FADD
    0U,	// G_FSUB
    0U,	// G_FMUL
    0U,	// G_FMA
    0U,	// G_FMAD
    0U,	// G_FDIV
    0U,	// G_FREM
    0U,	// G_FPOW
    0U,	// G_FEXP
    0U,	// G_FEXP2
    0U,	// G_FLOG
    0U,	// G_FLOG2
    0U,	// G_FLOG10
    0U,	// G_FNEG
    0U,	// G_FPEXT
    0U,	// G_FPTRUNC
    0U,	// G_FPTOSI
    0U,	// G_FPTOUI
    0U,	// G_SITOFP
    0U,	// G_UITOFP
    0U,	// G_FABS
    0U,	// G_FCOPYSIGN
    0U,	// G_FCANONICALIZE
    0U,	// G_FMINNUM
    0U,	// G_FMAXNUM
    0U,	// G_FMINNUM_IEEE
    0U,	// G_FMAXNUM_IEEE
    0U,	// G_FMINIMUM
    0U,	// G_FMAXIMUM
    0U,	// G_GEP
    0U,	// G_PTR_MASK
    0U,	// G_SMIN
    0U,	// G_SMAX
    0U,	// G_UMIN
    0U,	// G_UMAX
    0U,	// G_BR
    0U,	// G_BRJT
    0U,	// G_INSERT_VECTOR_ELT
    0U,	// G_EXTRACT_VECTOR_ELT
    0U,	// G_SHUFFLE_VECTOR
    0U,	// G_CTTZ
    0U,	// G_CTTZ_ZERO_UNDEF
    0U,	// G_CTLZ
    0U,	// G_CTLZ_ZERO_UNDEF
    0U,	// G_CTPOP
    0U,	// G_BSWAP
    0U,	// G_BITREVERSE
    0U,	// G_FCEIL
    0U,	// G_FCOS
    0U,	// G_FSIN
    0U,	// G_FSQRT
    0U,	// G_FFLOOR
    0U,	// G_FRINT
    0U,	// G_FNEARBYINT
    0U,	// G_ADDRSPACE_CAST
    0U,	// G_BLOCK_ADDR
    0U,	// G_JUMP_TABLE
    0U,	// G_DYN_STACKALLOC
    0U,	// ADJCALLSTACKDOWN
    0U,	// ADJCALLSTACKUP
    0U,	// ADJDYNALLOC
    0U,	// AEXT128
    0U,	// AFIMux
    0U,	// AG_MemFoldPseudo
    0U,	// AHIMux
    0U,	// AHIMuxK
    0U,	// ALG_MemFoldPseudo
    0U,	// AL_MemFoldPseudo
    0U,	// ATOMIC_CMP_SWAPW
    0U,	// ATOMIC_LOADW_AFI
    0U,	// ATOMIC_LOADW_AR
    0U,	// ATOMIC_LOADW_MAX
    0U,	// ATOMIC_LOADW_MIN
    0U,	// ATOMIC_LOADW_NILH
    0U,	// ATOMIC_LOADW_NILHi
    0U,	// ATOMIC_LOADW_NR
    0U,	// ATOMIC_LOADW_NRi
    0U,	// ATOMIC_LOADW_OILH
    0U,	// ATOMIC_LOADW_OR
    0U,	// ATOMIC_LOADW_SR
    0U,	// ATOMIC_LOADW_UMAX
    0U,	// ATOMIC_LOADW_UMIN
    0U,	// ATOMIC_LOADW_XILF
    0U,	// ATOMIC_LOADW_XR
    0U,	// ATOMIC_LOAD_AFI
    0U,	// ATOMIC_LOAD_AGFI
    0U,	// ATOMIC_LOAD_AGHI
    0U,	// ATOMIC_LOAD_AGR
    0U,	// ATOMIC_LOAD_AHI
    0U,	// ATOMIC_LOAD_AR
    0U,	// ATOMIC_LOAD_MAX_32
    0U,	// ATOMIC_LOAD_MAX_64
    0U,	// ATOMIC_LOAD_MIN_32
    0U,	// ATOMIC_LOAD_MIN_64
    0U,	// ATOMIC_LOAD_NGR
    0U,	// ATOMIC_LOAD_NGRi
    0U,	// ATOMIC_LOAD_NIHF64
    0U,	// ATOMIC_LOAD_NIHF64i
    0U,	// ATOMIC_LOAD_NIHH64
    0U,	// ATOMIC_LOAD_NIHH64i
    0U,	// ATOMIC_LOAD_NIHL64
    0U,	// ATOMIC_LOAD_NIHL64i
    0U,	// ATOMIC_LOAD_NILF
    0U,	// ATOMIC_LOAD_NILF64
    0U,	// ATOMIC_LOAD_NILF64i
    0U,	// ATOMIC_LOAD_NILFi
    0U,	// ATOMIC_LOAD_NILH
    0U,	// ATOMIC_LOAD_NILH64
    0U,	// ATOMIC_LOAD_NILH64i
    0U,	// ATOMIC_LOAD_NILHi
    0U,	// ATOMIC_LOAD_NILL
    0U,	// ATOMIC_LOAD_NILL64
    0U,	// ATOMIC_LOAD_NILL64i
    0U,	// ATOMIC_LOAD_NILLi
    0U,	// ATOMIC_LOAD_NR
    0U,	// ATOMIC_LOAD_NRi
    0U,	// ATOMIC_LOAD_OGR
    0U,	// ATOMIC_LOAD_OIHF64
    0U,	// ATOMIC_LOAD_OIHH64
    0U,	// ATOMIC_LOAD_OIHL64
    0U,	// ATOMIC_LOAD_OILF
    0U,	// ATOMIC_LOAD_OILF64
    0U,	// ATOMIC_LOAD_OILH
    0U,	// ATOMIC_LOAD_OILH64
    0U,	// ATOMIC_LOAD_OILL
    0U,	// ATOMIC_LOAD_OILL64
    0U,	// ATOMIC_LOAD_OR
    0U,	// ATOMIC_LOAD_SGR
    0U,	// ATOMIC_LOAD_SR
    0U,	// ATOMIC_LOAD_UMAX_32
    0U,	// ATOMIC_LOAD_UMAX_64
    0U,	// ATOMIC_LOAD_UMIN_32
    0U,	// ATOMIC_LOAD_UMIN_64
    0U,	// ATOMIC_LOAD_XGR
    0U,	// ATOMIC_LOAD_XIHF64
    0U,	// ATOMIC_LOAD_XILF
    0U,	// ATOMIC_LOAD_XILF64
    0U,	// ATOMIC_LOAD_XR
    0U,	// ATOMIC_SWAPW
    0U,	// ATOMIC_SWAP_32
    0U,	// ATOMIC_SWAP_64
    0U,	// A_MemFoldPseudo
    0U,	// CFIMux
    0U,	// CGIBCall
    0U,	// CGIBReturn
    0U,	// CGRBCall
    0U,	// CGRBReturn
    0U,	// CHIMux
    0U,	// CIBCall
    0U,	// CIBReturn
    0U,	// CLCLoop
    0U,	// CLCSequence
    0U,	// CLFIMux
    0U,	// CLGIBCall
    0U,	// CLGIBReturn
    0U,	// CLGRBCall
    0U,	// CLGRBReturn
    0U,	// CLIBCall
    0U,	// CLIBReturn
    0U,	// CLMux
    0U,	// CLRBCall
    0U,	// CLRBReturn
    0U,	// CLSTLoop
    0U,	// CMux
    0U,	// CRBCall
    0U,	// CRBReturn
    0U,	// CallBASR
    0U,	// CallBCR
    0U,	// CallBR
    0U,	// CallBRASL
    0U,	// CallBRCL
    0U,	// CallJG
    0U,	// CondReturn
    0U,	// CondStore16
    0U,	// CondStore16Inv
    0U,	// CondStore16Mux
    0U,	// CondStore16MuxInv
    0U,	// CondStore32
    0U,	// CondStore32Inv
    0U,	// CondStore32Mux
    0U,	// CondStore32MuxInv
    0U,	// CondStore64
    0U,	// CondStore64Inv
    0U,	// CondStore8
    0U,	// CondStore8Inv
    0U,	// CondStore8Mux
    0U,	// CondStore8MuxInv
    0U,	// CondStoreF32
    0U,	// CondStoreF32Inv
    0U,	// CondStoreF64
    0U,	// CondStoreF64Inv
    0U,	// CondTrap
    0U,	// GOT
    0U,	// IIFMux
    0U,	// IIHF64
    0U,	// IIHH64
    0U,	// IIHL64
    0U,	// IIHMux
    0U,	// IILF64
    0U,	// IILH64
    0U,	// IILL64
    0U,	// IILMux
    0U,	// L128
    0U,	// LBMux
    0U,	// LEFR
    0U,	// LFER
    0U,	// LHIMux
    0U,	// LHMux
    0U,	// LLCMux
    0U,	// LLCRMux
    0U,	// LLHMux
    0U,	// LLHRMux
    0U,	// LMux
    0U,	// LOCHIMux
    0U,	// LOCMux
    0U,	// LOCRMux
    0U,	// LTDBRCompare_VecPseudo
    0U,	// LTEBRCompare_VecPseudo
    0U,	// LTXBRCompare_VecPseudo
    0U,	// LX
    0U,	// MVCLoop
    0U,	// MVCSequence
    0U,	// MVSTLoop
    0U,	// MemBarrier
    0U,	// NCLoop
    0U,	// NCSequence
    0U,	// NG_MemFoldPseudo
    0U,	// NIFMux
    0U,	// NIHF64
    0U,	// NIHH64
    0U,	// NIHL64
    0U,	// NIHMux
    0U,	// NILF64
    0U,	// NILH64
    0U,	// NILL64
    0U,	// NILMux
    0U,	// N_MemFoldPseudo
    0U,	// OCLoop
    0U,	// OCSequence
    0U,	// OG_MemFoldPseudo
    0U,	// OIFMux
    0U,	// OIHF64
    0U,	// OIHH64
    0U,	// OIHL64
    0U,	// OIHMux
    0U,	// OILF64
    0U,	// OILH64
    0U,	// OILL64
    0U,	// OILMux
    0U,	// O_MemFoldPseudo
    0U,	// PAIR128
    0U,	// RISBHH
    0U,	// RISBHL
    0U,	// RISBLH
    0U,	// RISBLL
    0U,	// RISBMux
    0U,	// Return
    0U,	// SELRMux
    0U,	// SG_MemFoldPseudo
    0U,	// SLG_MemFoldPseudo
    0U,	// SL_MemFoldPseudo
    0U,	// SRSTLoop
    0U,	// ST128
    0U,	// STCMux
    0U,	// STHMux
    0U,	// STMux
    0U,	// STOCMux
    0U,	// STX
    0U,	// S_MemFoldPseudo
    0U,	// Select32
    0U,	// Select64
    0U,	// SelectF128
    0U,	// SelectF32
    0U,	// SelectF64
    0U,	// SelectVR128
    0U,	// SelectVR32
    0U,	// SelectVR64
    0U,	// Serialize
    0U,	// TBEGIN_nofloat
    0U,	// TLS_GDCALL
    0U,	// TLS_LDCALL
    0U,	// TMHH64
    0U,	// TMHL64
    0U,	// TMHMux
    0U,	// TMLH64
    0U,	// TMLL64
    0U,	// TMLMux
    0U,	// Trap
    0U,	// VL32
    0U,	// VL64
    0U,	// VLR32
    0U,	// VLR64
    0U,	// VLVGP32
    0U,	// VST32
    0U,	// VST64
    0U,	// XCLoop
    0U,	// XCSequence
    0U,	// XG_MemFoldPseudo
    0U,	// XIFMux
    0U,	// XIHF64
    0U,	// XILF64
    0U,	// X_MemFoldPseudo
    0U,	// ZEXT128
    32814U,	// A
    35275U,	// AD
    33273U,	// ADB
    33599381U,	// ADBR
    33599889U,	// ADR
    2214638874U,	// ADTR
    2214625582U,	// ADTRA
    35405U,	// AE
    33730U,	// AEB
    33599513U,	// AEBR
    33600026U,	// AER
    100705098U,	// AFI
    38628U,	// AG
    37929U,	// AGF
    100705108U,	// AGFI
    33600210U,	// AGFR
    39695U,	// AGH
    134259621U,	// AGHI
    2214634695U,	// AGHIK
    33600292U,	// AGR
    2214634765U,	// AGRK
    167846922U,	// AGSI
    39440U,	// AH
    2214638544U,	// AHHHR
    2214638685U,	// AHHLR
    134259609U,	// AHI
    2214634689U,	// AHIK
    48232U,	// AHY
    100703172U,	// AIH
    42370U,	// AL
    35138U,	// ALC
    38752U,	// ALCG
    33600304U,	// ALCGR
    33599870U,	// ALCR
    201368444U,	// ALFI
    38936U,	// ALG
    37946U,	// ALGF
    201368416U,	// ALGFI
    33600223U,	// ALGFR
    2214634702U,	// ALGHSIK
    33600336U,	// ALGR
    2214634785U,	// ALGRK
    167846928U,	// ALGSI
    2214638551U,	// ALHHHR
    2214638692U,	// ALHHLR
    2214634711U,	// ALHSIK
    33600567U,	// ALR
    2214634832U,	// ALRK
    167846979U,	// ALSI
    100703237U,	// ALSIH
    100707226U,	// ALSIHN
    48290U,	// ALY
    234990923U,	// AP
    33599353U,	// AR
    2214634748U,	// ARK
    167846917U,	// ASI
    47975U,	// AU
    33601176U,	// AUR
    48066U,	// AW
    33601192U,	// AWR
    33599748U,	// AXBR
    33601202U,	// AXR
    2214639132U,	// AXTR
    2214625634U,	// AXTRA
    48161U,	// AY
    131513U,	// B
    67154992U,	// BAKR
    268477830U,	// BAL
    67154998U,	// BALR
    268482334U,	// BAS
    67155166U,	// BASR
    67152757U,	// BASSM
    133726U,	// BAsmE
    137769U,	// BAsmH
    133856U,	// BAsmHE
    140691U,	// BAsmL
    134749U,	// BAsmLE
    138323U,	// BAsmLH
    141787U,	// BAsmM
    135545U,	// BAsmNE
    139250U,	// BAsmNH
    134100U,	// BAsmNHE
    141042U,	// BAsmNL
    134996U,	// BAsmNLE
    138618U,	// BAsmNLH
    141936U,	// BAsmNM
    142413U,	// BAsmNO
    142859U,	// BAsmNP
    146795U,	// BAsmNZ
    142296U,	// BAsmO
    142681U,	// BAsmP
    146680U,	// BAsmZ
    1228858U,	// BC
    2296045U,	// BCAsm
    3326010U,	// BCR
    306393458U,	// BCRAsm
    47687U,	// BCT
    39278U,	// BCTG
    33600404U,	// BCTGR
    33600752U,	// BCTR
    140076U,	// BI
    134629U,	// BIAsmE
    138188U,	// BIAsmH
    133999U,	// BIAsmHE
    140887U,	// BIAsmL
    134889U,	// BIAsmLE
    138464U,	// BIAsmLH
    141880U,	// BIAsmM
    135671U,	// BIAsmNE
    139369U,	// BIAsmNH
    134234U,	// BIAsmNHE
    141161U,	// BIAsmNL
    135130U,	// BIAsmNLE
    138752U,	// BIAsmNLH
    141998U,	// BIAsmNM
    142475U,	// BIAsmNO
    142921U,	// BIAsmNP
    146857U,	// BIAsmNZ
    142350U,	// BIAsmO
    142808U,	// BIAsmP
    146741U,	// BIAsmZ
    1228982U,	// BIC
    2296097U,	// BICAsm
    340995758U,	// BPP
    2488479464U,	// BPRP
    6336407U,	// BR
    369145641U,	// BRAS
    369142060U,	// BRASL
    6337055U,	// BRAsmE
    6337454U,	// BRAsmH
    6337103U,	// BRAsmHE
    6337596U,	// BRAsmL
    6337122U,	// BRAsmLE
    6337525U,	// BRAsmLH
    6337715U,	// BRAsmM
    6337146U,	// BRAsmNE
    6337544U,	// BRAsmNH
    6337109U,	// BRAsmNHE
    6337671U,	// BRAsmNL
    6337128U,	// BRAsmNLE
    6337537U,	// BRAsmNLH
    6337720U,	// BRAsmNM
    6337736U,	// BRAsmNO
    6337752U,	// BRAsmNP
    6338328U,	// BRAsmNZ
    6337731U,	// BRAsmO
    6337742U,	// BRAsmP
    6338323U,	// BRAsmZ
    403882191U,	// BRC
    307431826U,	// BRCAsm
    403882130U,	// BRCL
    307439074U,	// BRCLAsm
    402700884U,	// BRCT
    402692483U,	// BRCTG
    402694706U,	// BRCTH
    2181079750U,	// BRXH
    2181076974U,	// BRXHG
    2181075307U,	// BRXLE
    2181077127U,	// BRXLG
    67142035U,	// BSA
    67148093U,	// BSG
    67152731U,	// BSM
    2181079739U,	// BXH
    2181076968U,	// BXHG
    2181075301U,	// BXLE
    2181076895U,	// BXLEG
    268470490U,	// C
    268470735U,	// CD
    268468777U,	// CDB
    67153820U,	// CDBR
    67154071U,	// CDFBR
    436240580U,	// CDFBRA
    67154616U,	// CDFR
    436254122U,	// CDFTR
    67154116U,	// CDGBR
    436240604U,	// CDGBRA
    67154750U,	// CDGR
    67155400U,	// CDGTR
    436240722U,	// CDGTRA
    436252837U,	// CDLFBR
    436254129U,	// CDLFTR
    436252882U,	// CDLGBR
    436254159U,	// CDLGTR
    469809876U,	// CDPT
    67154333U,	// CDR
    2181085365U,	// CDS
    2181077319U,	// CDSG
    67155448U,	// CDSTR
    2181086436U,	// CDSY
    67155232U,	// CDTR
    67155469U,	// CDUTR
    469810011U,	// CDZT
    268470941U,	// CE
    268469199U,	// CEB
    67153952U,	// CEBR
    67155244U,	// CEDTR
    67154078U,	// CEFBR
    436240588U,	// CEFBRA
    67154636U,	// CEFR
    67154123U,	// CEGBR
    436240612U,	// CEGBRA
    67154762U,	// CEGR
    436252845U,	// CELFBR
    436252890U,	// CELGBR
    67154469U,	// CER
    67155503U,	// CEXTR
    6359307U,	// CFC
    436252591U,	// CFDBR
    436240532U,	// CFDBRA
    436253108U,	// CFDR
    436254024U,	// CFDTR
    436252731U,	// CFEBR
    436240556U,	// CFEBRA
    436253251U,	// CFER
    503358287U,	// CFI
    436252959U,	// CFXBR
    436240644U,	// CFXBRA
    436254409U,	// CFXR
    436254276U,	// CFXTR
    268474191U,	// CG
    436252606U,	// CGDBR
    436240540U,	// CGDBRA
    436253114U,	// CGDR
    436254039U,	// CGDTR
    436240700U,	// CGDTRA
    436252746U,	// CGEBR
    436240564U,	// CGEBRA
    436253257U,	// CGER
    268473390U,	// CGF
    503358298U,	// CGFI
    67154649U,	// CGFR
    536914048U,	// CGFRL
    268475158U,	// CGH
    570467245U,	// CGHI
    536914125U,	// CGHRL
    134292517U,	// CGHSI
    611565628U,	// CGIB
    2785051889U,	// CGIBAsm
    637569634U,	// CGIBAsmE
    637573677U,	// CGIBAsmH
    637569765U,	// CGIBAsmHE
    637576599U,	// CGIBAsmL
    637570658U,	// CGIBAsmLE
    637574232U,	// CGIBAsmLH
    637571454U,	// CGIBAsmNE
    637575159U,	// CGIBAsmNH
    637570010U,	// CGIBAsmNHE
    637576951U,	// CGIBAsmNL
    637570906U,	// CGIBAsmNLE
    637574528U,	// CGIBAsmNLH
    678674641U,	// CGIJ
    2785059943U,	// CGIJAsm
    2785054223U,	// CGIJAsmE
    2785057810U,	// CGIJAsmH
    2785053593U,	// CGIJAsmHE
    2785060476U,	// CGIJAsmL
    2785054483U,	// CGIJAsmLE
    2785058083U,	// CGIJAsmLH
    2785055265U,	// CGIJAsmNE
    2785058963U,	// CGIJAsmNH
    2785053833U,	// CGIJAsmNHE
    2785060755U,	// CGIJAsmNL
    2785054729U,	// CGIJAsmNLE
    2785058351U,	// CGIJAsmNLH
    8634714U,	// CGIT
    2717956774U,	// CGITAsm
    570463055U,	// CGITAsmE
    570466894U,	// CGITAsmH
    570461613U,	// CGITAsmHE
    570468731U,	// CGITAsmL
    570462509U,	// CGITAsmLE
    570466167U,	// CGITAsmLH
    570462894U,	// CGITAsmNE
    570466592U,	// CGITAsmNH
    570461472U,	// CGITAsmNHE
    570468384U,	// CGITAsmNL
    570462368U,	// CGITAsmNLE
    570465997U,	// CGITAsmNLH
    67154738U,	// CGR
    2487468122U,	// CGRB
    2214626781U,	// CGRBAsm
    2214627966U,	// CGRBAsmE
    2214632014U,	// CGRBAsmH
    2214628101U,	// CGRBAsmHE
    2214634931U,	// CGRBAsmL
    2214628994U,	// CGRBAsmLE
    2214632568U,	// CGRBAsmLH
    2214629790U,	// CGRBAsmNE
    2214633495U,	// CGRBAsmNH
    2214628350U,	// CGRBAsmNHE
    2214635287U,	// CGRBAsmNL
    2214629246U,	// CGRBAsmNLE
    2214632868U,	// CGRBAsmNLH
    339984613U,	// CGRJ
    2214634623U,	// CGRJAsm
    2214628907U,	// CGRJAsmE
    2214632494U,	// CGRJAsmH
    2214628281U,	// CGRJAsmHE
    2214635160U,	// CGRJAsmL
    2214629171U,	// CGRJAsmLE
    2214632771U,	// CGRJAsmLH
    2214629953U,	// CGRJAsmNE
    2214633651U,	// CGRJAsmNH
    2214628525U,	// CGRJAsmNHE
    2214635443U,	// CGRJAsmNL
    2214629421U,	// CGRJAsmNLE
    2214633043U,	// CGRJAsmNLH
    536914073U,	// CGRL
    306430321U,	// CGRT
    2214640368U,	// CGRTAsm
    67146608U,	// CGRTAsmE
    67150441U,	// CGRTAsmH
    67145164U,	// CGRTAsmHE
    67152278U,	// CGRTAsmL
    67146060U,	// CGRTAsmLE
    67149718U,	// CGRTAsmLH
    67146445U,	// CGRTAsmNE
    67150143U,	// CGRTAsmNH
    67145027U,	// CGRTAsmNHE
    67151935U,	// CGRTAsmNL
    67145923U,	// CGRTAsmNLE
    67149552U,	// CGRTAsmNLH
    436252974U,	// CGXBR
    436240652U,	// CGXBRA
    436254415U,	// CGXR
    436254291U,	// CGXTR
    436240752U,	// CGXTRA
    268474983U,	// CH
    268473482U,	// CHF
    67154890U,	// CHHR
    134292532U,	// CHHSI
    570467232U,	// CHI
    67155031U,	// CHLR
    536914101U,	// CHRL
    134292503U,	// CHSI
    268483693U,	// CHY
    611565624U,	// CIB
    2785051877U,	// CIBAsm
    637569628U,	// CIBAsmE
    637573671U,	// CIBAsmH
    637569758U,	// CIBAsmHE
    637576593U,	// CIBAsmL
    637570651U,	// CIBAsmLE
    637574225U,	// CIBAsmLH
    637571447U,	// CIBAsmNE
    637575152U,	// CIBAsmNH
    637570002U,	// CIBAsmNHE
    637576944U,	// CIBAsmNL
    637570898U,	// CIBAsmNLE
    637574520U,	// CIBAsmNLH
    503356369U,	// CIH
    678674637U,	// CIJ
    2785059938U,	// CIJAsm
    2785054217U,	// CIJAsmE
    2785057804U,	// CIJAsmH
    2785053586U,	// CIJAsmHE
    2785060470U,	// CIJAsmL
    2785054476U,	// CIJAsmLE
    2785058076U,	// CIJAsmLH
    2785055258U,	// CIJAsmNE
    2785058956U,	// CIJAsmNH
    2785053825U,	// CIJAsmNHE
    2785060748U,	// CIJAsmNL
    2785054721U,	// CIJAsmNLE
    2785058343U,	// CIJAsmNLH
    8634704U,	// CIT
    2717956762U,	// CITAsm
    570463041U,	// CITAsmE
    570466880U,	// CITAsmH
    570461597U,	// CITAsmHE
    570468717U,	// CITAsmL
    570462493U,	// CITAsmLE
    570466151U,	// CITAsmLH
    570462878U,	// CITAsmNE
    570466576U,	// CITAsmNH
    570461454U,	// CITAsmNHE
    570468368U,	// CITAsmNL
    570462350U,	// CITAsmNLE
    570465979U,	// CITAsmNLH
    67152737U,	// CKSM
    268477899U,	// CL
    604080455U,	// CLC
    67151311U,	// CLCL
    2214629019U,	// CLCLE
    2214640505U,	// CLCLU
    436252598U,	// CLFDBR
    436254031U,	// CLFDTR
    436252738U,	// CLFEBR
    704717853U,	// CLFHSI
    738239362U,	// CLFI
    9683284U,	// CLFIT
    2919283359U,	// CLFITAsm
    771789639U,	// CLFITAsmE
    771793478U,	// CLFITAsmH
    771788196U,	// CLFITAsmHE
    771795315U,	// CLFITAsmL
    771789092U,	// CLFITAsmLE
    771792750U,	// CLFITAsmLH
    771789477U,	// CLFITAsmNE
    771793175U,	// CLFITAsmNH
    771788054U,	// CLFITAsmNHE
    771794967U,	// CLFITAsmNL
    771788950U,	// CLFITAsmNLE
    771792579U,	// CLFITAsmNLH
    436252966U,	// CLFXBR
    436254283U,	// CLFXTR
    268474407U,	// CLG
    436252613U,	// CLGDBR
    436254046U,	// CLGDTR
    436252753U,	// CLGEBR
    268473408U,	// CLGF
    738239335U,	// CLGFI
    67154662U,	// CLGFR
    536914055U,	// CLGFRL
    536914132U,	// CLGHRL
    704717868U,	// CLGHSI
    614711361U,	// CLGIB
    2952824055U,	// CLGIBAsm
    805341801U,	// CLGIBAsmE
    805345844U,	// CLGIBAsmH
    805341933U,	// CLGIBAsmHE
    805348766U,	// CLGIBAsmL
    805342826U,	// CLGIBAsmLE
    805346400U,	// CLGIBAsmLH
    805343622U,	// CLGIBAsmNE
    805347327U,	// CLGIBAsmNH
    805342179U,	// CLGIBAsmNHE
    805349119U,	// CLGIBAsmNL
    805343075U,	// CLGIBAsmNLE
    805346697U,	// CLGIBAsmNLH
    681820374U,	// CLGIJ
    2952832109U,	// CLGIJAsm
    2952826390U,	// CLGIJAsmE
    2952829977U,	// CLGIJAsmH
    2952825761U,	// CLGIJAsmHE
    2952832643U,	// CLGIJAsmL
    2952826651U,	// CLGIJAsmLE
    2952830251U,	// CLGIJAsmLH
    2952827433U,	// CLGIJAsmNE
    2952831131U,	// CLGIJAsmNH
    2952826002U,	// CLGIJAsmNHE
    2952832923U,	// CLGIJAsmNL
    2952826898U,	// CLGIJAsmNLE
    2952830520U,	// CLGIJAsmNLH
    9683295U,	// CLGIT
    2919283372U,	// CLGITAsm
    771789654U,	// CLGITAsmE
    771793493U,	// CLGITAsmH
    771788213U,	// CLGITAsmHE
    771795330U,	// CLGITAsmL
    771789109U,	// CLGITAsmLE
    771792767U,	// CLGITAsmLH
    771789494U,	// CLGITAsmNE
    771793192U,	// CLGITAsmNH
    771788073U,	// CLGITAsmNHE
    771794984U,	// CLGITAsmNL
    771788969U,	// CLGITAsmNLE
    771792598U,	// CLGITAsmNLH
    67154774U,	// CLGR
    2487468127U,	// CLGRB
    2214626787U,	// CLGRBAsm
    2214627973U,	// CLGRBAsmE
    2214632021U,	// CLGRBAsmH
    2214628109U,	// CLGRBAsmHE
    2214634938U,	// CLGRBAsmL
    2214629002U,	// CLGRBAsmLE
    2214632576U,	// CLGRBAsmLH
    2214629798U,	// CLGRBAsmNE
    2214633503U,	// CLGRBAsmNH
    2214628359U,	// CLGRBAsmNHE
    2214635295U,	// CLGRBAsmNL
    2214629255U,	// CLGRBAsmNLE
    2214632877U,	// CLGRBAsmNLH
    339984618U,	// CLGRJ
    2214634629U,	// CLGRJAsm
    2214628914U,	// CLGRJAsmE
    2214632501U,	// CLGRJAsmH
    2214628289U,	// CLGRJAsmHE
    2214635167U,	// CLGRJAsmL
    2214629179U,	// CLGRJAsmLE
    2214632779U,	// CLGRJAsmLH
    2214629961U,	// CLGRJAsmNE
    2214633659U,	// CLGRJAsmNH
    2214628534U,	// CLGRJAsmNHE
    2214635451U,	// CLGRJAsmNL
    2214629430U,	// CLGRJAsmNLE
    2214633052U,	// CLGRJAsmNLH
    536914079U,	// CLGRL
    306430326U,	// CLGRT
    2214640374U,	// CLGRTAsm
    67146615U,	// CLGRTAsmE
    67150448U,	// CLGRTAsmH
    67145172U,	// CLGRTAsmHE
    67152285U,	// CLGRTAsmL
    67146068U,	// CLGRTAsmLE
    67149726U,	// CLGRTAsmLH
    67146453U,	// CLGRTAsmNE
    67150151U,	// CLGRTAsmNH
    67145036U,	// CLGRTAsmNHE
    67151943U,	// CLGRTAsmNL
    67145932U,	// CLGRTAsmNLE
    67149561U,	// CLGRTAsmNLH
    278859U,	// CLGT
    2986392206U,	// CLGTAsm
    872452922U,	// CLGTAsmE
    872456761U,	// CLGTAsmH
    872451477U,	// CLGTAsmHE
    872458598U,	// CLGTAsmL
    872452373U,	// CLGTAsmLE
    872456031U,	// CLGTAsmLH
    872452758U,	// CLGTAsmNE
    872456456U,	// CLGTAsmNH
    872451333U,	// CLGTAsmNHE
    872458248U,	// CLGTAsmNL
    872452229U,	// CLGTAsmNLE
    872455858U,	// CLGTAsmNLH
    436252981U,	// CLGXBR
    436254298U,	// CLGXTR
    268473526U,	// CLHF
    67154926U,	// CLHHR
    704717883U,	// CLHHSI
    67155067U,	// CLHLR
    536914148U,	// CLHRL
    906044389U,	// CLI
    614711367U,	// CLIB
    2952824062U,	// CLIBAsm
    805341809U,	// CLIBAsmE
    805345852U,	// CLIBAsmH
    805341942U,	// CLIBAsmHE
    805348774U,	// CLIBAsmL
    805342835U,	// CLIBAsmLE
    805346409U,	// CLIBAsmLH
    805343631U,	// CLIBAsmNE
    805347336U,	// CLIBAsmNH
    805342189U,	// CLIBAsmNHE
    805349128U,	// CLIBAsmNL
    805343085U,	// CLIBAsmNLE
    805346707U,	// CLIBAsmNLH
    738237431U,	// CLIH
    681820380U,	// CLIJ
    2952832116U,	// CLIJAsm
    2952826398U,	// CLIJAsmE
    2952829985U,	// CLIJAsmH
    2952825770U,	// CLIJAsmHE
    2952832651U,	// CLIJAsmL
    2952826660U,	// CLIJAsmLE
    2952830260U,	// CLIJAsmLH
    2952827442U,	// CLIJAsmNE
    2952831140U,	// CLIJAsmNH
    2952826012U,	// CLIJAsmNHE
    2952832932U,	// CLIJAsmNL
    2952826908U,	// CLIJAsmNLE
    2952830530U,	// CLIJAsmNLH
    906050695U,	// CLIY
    436251238U,	// CLM
    436248536U,	// CLMH
    436255947U,	// CLMY
    67155009U,	// CLR
    2487468133U,	// CLRB
    2214626794U,	// CLRBAsm
    2214627981U,	// CLRBAsmE
    2214632029U,	// CLRBAsmH
    2214628118U,	// CLRBAsmHE
    2214634946U,	// CLRBAsmL
    2214629011U,	// CLRBAsmLE
    2214632585U,	// CLRBAsmLH
    2214629807U,	// CLRBAsmNE
    2214633512U,	// CLRBAsmNH
    2214628369U,	// CLRBAsmNHE
    2214635304U,	// CLRBAsmNL
    2214629265U,	// CLRBAsmNLE
    2214632887U,	// CLRBAsmNLH
    339984624U,	// CLRJ
    2214634636U,	// CLRJAsm
    2214628922U,	// CLRJAsmE
    2214632509U,	// CLRJAsmH
    2214628298U,	// CLRJAsmHE
    2214635175U,	// CLRJAsmL
    2214629188U,	// CLRJAsmLE
    2214632788U,	// CLRJAsmLH
    2214629970U,	// CLRJAsmNE
    2214633668U,	// CLRJAsmNH
    2214628544U,	// CLRJAsmNHE
    2214635460U,	// CLRJAsmNL
    2214629440U,	// CLRJAsmNLE
    2214633062U,	// CLRJAsmNLH
    536914169U,	// CLRL
    306430332U,	// CLRT
    2214640381U,	// CLRTAsm
    67146623U,	// CLRTAsmE
    67150456U,	// CLRTAsmH
    67145181U,	// CLRTAsmHE
    67152293U,	// CLRTAsmL
    67146077U,	// CLRTAsmLE
    67149735U,	// CLRTAsmLH
    67146462U,	// CLRTAsmNE
    67150160U,	// CLRTAsmNH
    67145046U,	// CLRTAsmNHE
    67151952U,	// CLRTAsmNL
    67145942U,	// CLRTAsmNLE
    67149571U,	// CLRTAsmNLH
    67156752U,	// CLST
    278885U,	// CLT
    2986392243U,	// CLTAsm
    872452958U,	// CLTAsmE
    872456797U,	// CLTAsmH
    872451518U,	// CLTAsmHE
    872458634U,	// CLTAsmL
    872452414U,	// CLTAsmLE
    872456072U,	// CLTAsmLH
    872452799U,	// CLTAsmNE
    872456497U,	// CLTAsmNH
    872451379U,	// CLTAsmNHE
    872458289U,	// CLTAsmNL
    872452275U,	// CLTAsmNLE
    872455904U,	// CLTAsmNLH
    268483751U,	// CLY
    67144112U,	// CMPSC
    234990943U,	// CP
    469809774U,	// CPDT
    2181083642U,	// CPSDRdd
    2181083642U,	// CPSDRds
    2181083642U,	// CPSDRsd
    2181083642U,	// CPSDRss
    469809993U,	// CPXT
    67142062U,	// CPYA
    67154291U,	// CR
    2487468118U,	// CRB
    2214626776U,	// CRBAsm
    2214627960U,	// CRBAsmE
    2214632008U,	// CRBAsmH
    2214628094U,	// CRBAsmHE
    2214634925U,	// CRBAsmL
    2214628987U,	// CRBAsmLE
    2214632561U,	// CRBAsmLH
    2214629783U,	// CRBAsmNE
    2214633488U,	// CRBAsmNH
    2214628342U,	// CRBAsmNHE
    2214635280U,	// CRBAsmNL
    2214629238U,	// CRBAsmNLE
    2214632860U,	// CRBAsmNLH
    2181075763U,	// CRDTE
    2181075763U,	// CRDTEOpt
    339984609U,	// CRJ
    2214634618U,	// CRJAsm
    2214628901U,	// CRJAsmE
    2214632488U,	// CRJAsmH
    2214628274U,	// CRJAsmHE
    2214635154U,	// CRJAsmL
    2214629164U,	// CRJAsmLE
    2214632764U,	// CRJAsmLH
    2214629946U,	// CRJAsmNE
    2214633644U,	// CRJAsmNH
    2214628517U,	// CRJAsmNHE
    2214635436U,	// CRJAsmNL
    2214629413U,	// CRJAsmNLE
    2214633035U,	// CRJAsmNLH
    536914029U,	// CRL
    306430317U,	// CRT
    2214640363U,	// CRTAsm
    67146602U,	// CRTAsmE
    67150435U,	// CRTAsmH
    67145157U,	// CRTAsmHE
    67152272U,	// CRTAsmL
    67146053U,	// CRTAsmLE
    67149711U,	// CRTAsmLH
    67146438U,	// CRTAsmNE
    67150136U,	// CRTAsmNH
    67145019U,	// CRTAsmNHE
    67151928U,	// CRTAsmNL
    67145915U,	// CRTAsmNLE
    67149544U,	// CRTAsmNLH
    2181085361U,	// CS
    16533U,	// CSCH
    2214638976U,	// CSDTR
    2181077314U,	// CSG
    33599231U,	// CSP
    33593574U,	// CSPG
    3087088412U,	// CSST
    2214639228U,	// CSXTR
    2181086431U,	// CSY
    2214625293U,	// CU12
    67141645U,	// CU12Opt
    2214625305U,	// CU14
    67141657U,	// CU14Opt
    2214625281U,	// CU21
    67141633U,	// CU21Opt
    2214625311U,	// CU24
    67141663U,	// CU24Opt
    67141639U,	// CU41
    67141651U,	// CU42
    67155349U,	// CUDTR
    67146535U,	// CUSE
    2214640491U,	// CUTFU
    67156843U,	// CUTFUOpt
    2214631008U,	// CUUTF
    67147360U,	// CUUTFOpt
    67155601U,	// CUXTR
    34716U,	// CVB
    38726U,	// CVBG
    48176U,	// CVBY
    268470844U,	// CVD
    268474246U,	// CVDG
    268483660U,	// CVDY
    67154187U,	// CXBR
    67154109U,	// CXFBR
    436240596U,	// CXFBRA
    67154718U,	// CXFR
    436254145U,	// CXFTR
    67154154U,	// CXGBR
    436240620U,	// CXGBRA
    67154856U,	// CXGR
    67155430U,	// CXGTR
    436240730U,	// CXGTRA
    436252853U,	// CXLFBR
    436254137U,	// CXLFTR
    436252898U,	// CXLGBR
    436254174U,	// CXLGTR
    469809893U,	// CXPT
    67155640U,	// CXR
    67155462U,	// CXSTR
    67155490U,	// CXTR
    67155476U,	// CXUTR
    469810017U,	// CXZT
    268483639U,	// CY
    469809786U,	// CZDT
    469810005U,	// CZXT
    35276U,	// D
    35283U,	// DD
    33350U,	// DDB
    33599394U,	// DDBR
    33599906U,	// DDR
    2214638886U,	// DDTR
    2214625589U,	// DDTRA
    35510U,	// DE
    33750U,	// DEB
    33599527U,	// DEBR
    33600044U,	// DER
    2214627582U,	// DFLTCC
    2214631150U,	// DIAG
    2214637517U,	// DIDBR
    2214637657U,	// DIEBR
    42480U,	// DL
    38958U,	// DLG
    33600348U,	// DLGR
    33600582U,	// DLR
    234990963U,	// DP
    33599890U,	// DR
    39240U,	// DSG
    37986U,	// DSGF
    33600265U,	// DSGFR
    33600392U,	// DSGR
    33599762U,	// DXBR
    33601214U,	// DXR
    2214639145U,	// DXTR
    2214625641U,	// DXTRA
    67153784U,	// EAR
    2214631144U,	// ECAG
    67155190U,	// ECCTR
    67141717U,	// ECPGA
    3087079796U,	// ECTG
    604080601U,	// ED
    604087531U,	// EDMK
    67155251U,	// EEDTR
    67155510U,	// EEXTR
    6326649U,	// EFPC
    6337563U,	// EPAIR
    6336381U,	// EPAR
    67155211U,	// EPCTR
    67156964U,	// EPSW
    67147686U,	// EREG
    67147705U,	// EREGG
    6337570U,	// ESAIR
    6336387U,	// ESAR
    67155335U,	// ESDTR
    6324285U,	// ESEA
    67142046U,	// ESTA
    67155587U,	// ESXTR
    6326797U,	// ETND
    268483580U,	// EX
    536914214U,	// EXRL
    436252628U,	// FIDBR
    436240548U,	// FIDBRA
    67154380U,	// FIDR
    436254054U,	// FIDTR
    436252768U,	// FIEBR
    436240572U,	// FIEBRA
    67154524U,	// FIER
    436252989U,	// FIXBR
    436240660U,	// FIXBRA
    67155669U,	// FIXR
    436254306U,	// FIXTR
    67154811U,	// FLOGR
    67154375U,	// HDR
    67154512U,	// HER
    16538U,	// HSCH
    6326488U,	// IAC
    35106U,	// IC
    35106U,	// IC32
    48182U,	// IC32Y
    973122027U,	// ICM
    973119414U,	// ICMH
    973126846U,	// ICMY
    48182U,	// ICY
    2181075757U,	// IDTE
    2181075757U,	// IDTEOpt
    2181084474U,	// IEDTR
    2181084733U,	// IEXTR
    738235535U,	// IIHF
    704682862U,	// IIHH
    704685624U,	// IIHL
    738235680U,	// IILF
    704683267U,	// IILH
    704685748U,	// IILL
    16629U,	// IPK
    6335250U,	// IPM
    2214630244U,	// IPTE
    2214630244U,	// IPTEOpt
    67146596U,	// IPTEOptOpt
    67152351U,	// IRBM
    33590856U,	// ISKE
    33596794U,	// IVSK
    6602315U,	// InsnE
    3158654636U,	// InsnRI
    1011203668U,	// InsnRIE
    3158687435U,	// InsnRIL
    1011203870U,	// InsnRILU
    3158687476U,	// InsnRIS
    11845345U,	// InsnRR
    1011170911U,	// InsnRRE
    1011170955U,	// InsnRRF
    1011203849U,	// InsnRRS
    1011171071U,	// InsnRS
    1011203690U,	// InsnRSE
    1011203776U,	// InsnRSI
    1011203903U,	// InsnRSY
    1011171114U,	// InsnRX
    1011203712U,	// InsnRXE
    1011203745U,	// InsnRXF
    1011203914U,	// InsnRXY
    314916587U,	// InsnS
    1019559606U,	// InsnSI
    3167076054U,	// InsnSIL
    1019592500U,	// InsnSIY
    14008084U,	// InsnSS
    3167075957U,	// InsnSSE
    3167075990U,	// InsnSSF
    402532U,	// J
    396811U,	// JAsmE
    400398U,	// JAsmH
    396180U,	// JAsmHE
    403064U,	// JAsmL
    397070U,	// JAsmLE
    400670U,	// JAsmLH
    404062U,	// JAsmM
    397852U,	// JAsmNE
    401550U,	// JAsmNH
    396419U,	// JAsmNHE
    403342U,	// JAsmNL
    397315U,	// JAsmNLE
    400937U,	// JAsmNLH
    404177U,	// JAsmNM
    404654U,	// JAsmNO
    405100U,	// JAsmNP
    409036U,	// JAsmNZ
    404525U,	// JAsmO
    404989U,	// JAsmP
    408916U,	// JAsmZ
    399372U,	// JG
    395993U,	// JGAsmE
    400170U,	// JGAsmH
    396118U,	// JGAsmHE
    402965U,	// JGAsmL
    397008U,	// JGAsmLE
    400568U,	// JGAsmLH
    403997U,	// JGAsmM
    397790U,	// JGAsmNE
    401488U,	// JGAsmNH
    396350U,	// JGAsmNHE
    403280U,	// JGAsmNL
    397246U,	// JGAsmNLE
    400868U,	// JGAsmNLH
    404117U,	// JGAsmNM
    404594U,	// JGAsmNO
    405040U,	// JGAsmNP
    408976U,	// JGAsmNZ
    404472U,	// JGAsmO
    404923U,	// JGAsmP
    408863U,	// JGAsmZ
    268468996U,	// KDB
    67153883U,	// KDBR
    6717848U,	// KDSA
    67155309U,	// KDTR
    268469267U,	// KEB
    67154023U,	// KEBR
    6720001U,	// KIMD
    6720007U,	// KLMD
    67152482U,	// KM
    2181070971U,	// KMA
    6719709U,	// KMAC
    67144022U,	// KMC
    2181084420U,	// KMCTR
    67147172U,	// KMF
    67152963U,	// KMO
    67154244U,	// KXBR
    67155561U,	// KXTR
    268477827U,	// L
    268468330U,	// LA
    2214625324U,	// LAA
    2214631138U,	// LAAG
    2214634880U,	// LAAL
    2214631446U,	// LAALG
    268470865U,	// LAE
    268483666U,	// LAEY
    2214635982U,	// LAM
    2214640817U,	// LAMY
    2214636429U,	// LAN
    2214631605U,	// LANG
    2214636493U,	// LAO
    2214631617U,	// LAOG
    536914021U,	// LARL
    939601657U,	// LASP
    268483130U,	// LAT
    2214640624U,	// LAX
    2214631904U,	// LAXG
    268483616U,	// LAY
    268469519U,	// LB
    268474947U,	// LBH
    67154162U,	// LBR
    2415952336U,	// LCBB
    6367556U,	// LCCTL
    67153819U,	// LCDBR
    67154615U,	// LCDFR
    67154615U,	// LCDFR_32
    67154332U,	// LCDR
    67153951U,	// LCEBR
    67154468U,	// LCER
    67154648U,	// LCGFR
    67154737U,	// LCGR
    67154303U,	// LCR
    2214635851U,	// LCTL
    2214631545U,	// LCTLG
    67154186U,	// LCXBR
    67155639U,	// LCXR
    268470775U,	// LD
    268470965U,	// LDE
    268470965U,	// LDE32
    268469205U,	// LDEB
    67153958U,	// LDEBR
    67154481U,	// LDER
    2214639011U,	// LDETR
    67154756U,	// LDGR
    67154386U,	// LDR
    67154386U,	// LDR32
    67154193U,	// LDXBR
    436240628U,	// LDXBRA
    67155645U,	// LDXR
    436254248U,	// LDXTR
    268483649U,	// LDY
    268471895U,	// LE
    67153832U,	// LEDBR
    436240524U,	// LEDBRA
    67154350U,	// LEDR
    436254017U,	// LEDTR
    67154531U,	// LER
    67154200U,	// LEXBR
    436240636U,	// LEXBRA
    67155651U,	// LEXR
    268483672U,	// LEY
    6371107U,	// LFAS
    268475140U,	// LFH
    268483123U,	// LFHAT
    6359423U,	// LFPC
    268474393U,	// LG
    268483117U,	// LGAT
    268469386U,	// LGB
    67154132U,	// LGBR
    67154368U,	// LGDR
    268473403U,	// LGF
    503358305U,	// LGFI
    67154656U,	// LGFR
    536914056U,	// LGFRL
    268474304U,	// LGG
    268475184U,	// LGH
    570467251U,	// LGHI
    67154884U,	// LGHR
    536914133U,	// LGHRL
    67154769U,	// LGR
    536914080U,	// LGRL
    268470686U,	// LGSC
    268475469U,	// LH
    268475274U,	// LHH
    570467285U,	// LHI
    67154934U,	// LHR
    536914149U,	// LHRL
    268483698U,	// LHY
    268470604U,	// LLC
    268474999U,	// LLCH
    67154308U,	// LLCR
    268470549U,	// LLGC
    67154295U,	// LLGCR
    268473414U,	// LLGF
    268483109U,	// LLGFAT
    67154669U,	// LLGFR
    536914063U,	// LLGFRL
    268474701U,	// LLGFSG
    268475183U,	// LLGH
    67154883U,	// LLGHR
    536914140U,	// LLGHRL
    268483220U,	// LLGT
    268483135U,	// LLGTAT
    67155415U,	// LLGTR
    268475743U,	// LLH
    268475279U,	// LLHH
    67154939U,	// LLHR
    536914155U,	// LLHRL
    738235541U,	// LLIHF
    771791732U,	// LLIHH
    771794494U,	// LLIHL
    738235686U,	// LLILF
    771792137U,	// LLILH
    771794618U,	// LLILL
    268473434U,	// LLZRGF
    2214636135U,	// LM
    2214627848U,	// LMD
    2214631587U,	// LMG
    2214633433U,	// LMH
    2214640844U,	// LMY
    67153895U,	// LNDBR
    67154622U,	// LNDFR
    67154622U,	// LNDFR_32
    67154396U,	// LNDR
    67154029U,	// LNEBR
    67154560U,	// LNER
    67154683U,	// LNGFR
    67154805U,	// LNGR
    67155134U,	// LNR
    67154256U,	// LNXBR
    67155685U,	// LNXR
    475246U,	// LOC
    3087042921U,	// LOCAsm
    939559591U,	// LOCAsmE
    939563651U,	// LOCAsmH
    939559717U,	// LOCAsmHE
    939566549U,	// LOCAsmL
    939560610U,	// LOCAsmLE
    939564184U,	// LOCAsmLH
    939567600U,	// LOCAsmM
    939561399U,	// LOCAsmNE
    939565104U,	// LOCAsmNH
    939559962U,	// LOCAsmNHE
    939566896U,	// LOCAsmNL
    939560858U,	// LOCAsmNLE
    939564480U,	// LOCAsmNLH
    939567733U,	// LOCAsmNM
    939568210U,	// LOCAsmNO
    939568656U,	// LOCAsmNP
    939572592U,	// LOCAsmNZ
    939568092U,	// LOCAsmO
    939568477U,	// LOCAsmP
    939572476U,	// LOCAsmZ
    475305U,	// LOCFH
    3087047413U,	// LOCFHAsm
    939559732U,	// LOCFHAsmE
    939563869U,	// LOCFHAsmH
    939559772U,	// LOCFHAsmHE
    939566631U,	// LOCFHAsmL
    939560662U,	// LOCFHAsmLE
    939564237U,	// LOCFHAsmLH
    939567655U,	// LOCFHAsmM
    939561444U,	// LOCFHAsmNE
    939565142U,	// LOCFHAsmNH
    939560005U,	// LOCFHAsmNHE
    939566934U,	// LOCFHAsmNL
    939560901U,	// LOCFHAsmNLE
    939564523U,	// LOCFHAsmNLH
    939567771U,	// LOCFHAsmNM
    939568248U,	// LOCFHAsmNO
    939568694U,	// LOCFHAsmNP
    939572630U,	// LOCFHAsmNZ
    939568125U,	// LOCFHAsmO
    939568583U,	// LOCFHAsmP
    939572516U,	// LOCFHAsmZ
    317178165U,	// LOCFHR
    2181084083U,	// LOCFHRAsm
    33592066U,	// LOCFHRAsmE
    33595878U,	// LOCFHRAsmH
    33590649U,	// LOCFHRAsmHE
    33597627U,	// LOCFHRAsmL
    33591545U,	// LOCFHRAsmLE
    33595181U,	// LOCFHRAsmLH
    33598274U,	// LOCFHRAsmM
    33591930U,	// LOCFHRAsmNE
    33595628U,	// LOCFHRAsmNH
    33590502U,	// LOCFHRAsmNHE
    33597420U,	// LOCFHRAsmNL
    33591398U,	// LOCFHRAsmNLE
    33595027U,	// LOCFHRAsmNLH
    33598192U,	// LOCFHRAsmNM
    33598675U,	// LOCFHRAsmNO
    33599115U,	// LOCFHRAsmNP
    33603051U,	// LOCFHRAsmNZ
    33598750U,	// LOCFHRAsmO
    33599183U,	// LOCFHRAsmP
    33603111U,	// LOCFHRAsmZ
    475271U,	// LOCG
    3087046508U,	// LOCGAsm
    939559626U,	// LOCGAsmE
    939563796U,	// LOCGAsmH
    939559749U,	// LOCGAsmHE
    939566598U,	// LOCGAsmL
    939560639U,	// LOCGAsmLE
    939564199U,	// LOCGAsmLH
    939567630U,	// LOCGAsmM
    939561421U,	// LOCGAsmNE
    939565119U,	// LOCGAsmNH
    939559979U,	// LOCGAsmNHE
    939566911U,	// LOCGAsmNL
    939560875U,	// LOCGAsmNLE
    939564497U,	// LOCGAsmNLH
    939567748U,	// LOCGAsmNM
    939568225U,	// LOCGAsmNO
    939568671U,	// LOCGAsmNP
    939572607U,	// LOCGAsmNZ
    939568105U,	// LOCGAsmO
    939568550U,	// LOCGAsmP
    939572496U,	// LOCGAsmZ
    16236735U,	// LOCGHI
    2281743275U,	// LOCGHIAsm
    134254066U,	// LOCGHIAsmE
    134257637U,	// LOCGHIAsmH
    134253438U,	// LOCGHIAsmHE
    134260324U,	// LOCGHIAsmL
    134254328U,	// LOCGHIAsmLE
    134257903U,	// LOCGHIAsmLH
    134261317U,	// LOCGHIAsmM
    134255110U,	// LOCGHIAsmNE
    134258808U,	// LOCGHIAsmNH
    134253675U,	// LOCGHIAsmNHE
    134260600U,	// LOCGHIAsmNL
    134254571U,	// LOCGHIAsmNLE
    134258193U,	// LOCGHIAsmNLH
    134261437U,	// LOCGHIAsmNM
    134261914U,	// LOCGHIAsmNO
    134262360U,	// LOCGHIAsmNP
    134266296U,	// LOCGHIAsmNZ
    134261787U,	// LOCGHIAsmO
    134262245U,	// LOCGHIAsmP
    134266178U,	// LOCGHIAsmZ
    317178153U,	// LOCGR
    2181083959U,	// LOCGRAsm
    33592050U,	// LOCGRAsmE
    33595862U,	// LOCGRAsmH
    33590631U,	// LOCGRAsmHE
    33597591U,	// LOCGRAsmL
    33591527U,	// LOCGRAsmLE
    33595163U,	// LOCGRAsmLH
    33598258U,	// LOCGRAsmM
    33591912U,	// LOCGRAsmNE
    33595610U,	// LOCGRAsmNH
    33590482U,	// LOCGRAsmNHE
    33597402U,	// LOCGRAsmNL
    33591378U,	// LOCGRAsmNLE
    33595007U,	// LOCGRAsmNLH
    33598174U,	// LOCGRAsmNM
    33598657U,	// LOCGRAsmNO
    33599097U,	// LOCGRAsmNP
    33603033U,	// LOCGRAsmNZ
    33598734U,	// LOCGRAsmO
    33599167U,	// LOCGRAsmP
    33603095U,	// LOCGRAsmZ
    16236742U,	// LOCHHI
    2281743302U,	// LOCHHIAsm
    134254075U,	// LOCHHIAsmE
    134257646U,	// LOCHHIAsmH
    134253448U,	// LOCHHIAsmHE
    134260333U,	// LOCHHIAsmL
    134254338U,	// LOCHHIAsmLE
    134257913U,	// LOCHHIAsmLH
    134261326U,	// LOCHHIAsmM
    134255120U,	// LOCHHIAsmNE
    134258818U,	// LOCHHIAsmNH
    134253686U,	// LOCHHIAsmNHE
    134260610U,	// LOCHHIAsmNL
    134254582U,	// LOCHHIAsmNLE
    134258204U,	// LOCHHIAsmNLH
    134261447U,	// LOCHHIAsmNM
    134261924U,	// LOCHHIAsmNO
    134262370U,	// LOCHHIAsmNP
    134266306U,	// LOCHHIAsmNZ
    134261796U,	// LOCHHIAsmO
    134262254U,	// LOCHHIAsmP
    134266187U,	// LOCHHIAsmZ
    16236729U,	// LOCHI
    2281743262U,	// LOCHIAsm
    134254058U,	// LOCHIAsmE
    134257629U,	// LOCHIAsmH
    134253429U,	// LOCHIAsmHE
    134260316U,	// LOCHIAsmL
    134254319U,	// LOCHIAsmLE
    134257894U,	// LOCHIAsmLH
    134261309U,	// LOCHIAsmM
    134255101U,	// LOCHIAsmNE
    134258799U,	// LOCHIAsmNH
    134253665U,	// LOCHIAsmNHE
    134260591U,	// LOCHIAsmNL
    134254561U,	// LOCHIAsmNLE
    134258183U,	// LOCHIAsmNLH
    134261428U,	// LOCHIAsmNM
    134261905U,	// LOCHIAsmNO
    134262351U,	// LOCHIAsmNP
    134266287U,	// LOCHIAsmNZ
    134261779U,	// LOCHIAsmO
    134262237U,	// LOCHIAsmP
    134266170U,	// LOCHIAsmZ
    317178148U,	// LOCR
    2181083530U,	// LOCRAsm
    33592043U,	// LOCRAsmE
    33595840U,	// LOCRAsmH
    33590623U,	// LOCRAsmHE
    33597547U,	// LOCRAsmL
    33591519U,	// LOCRAsmLE
    33595155U,	// LOCRAsmLH
    33598236U,	// LOCRAsmM
    33591904U,	// LOCRAsmNE
    33595602U,	// LOCRAsmNH
    33590473U,	// LOCRAsmNHE
    33597394U,	// LOCRAsmNL
    33591369U,	// LOCRAsmNLE
    33594998U,	// LOCRAsmNLH
    33598166U,	// LOCRAsmNM
    33598649U,	// LOCRAsmNO
    33599089U,	// LOCRAsmNP
    33603025U,	// LOCRAsmNZ
    33598720U,	// LOCRAsmO
    33599160U,	// LOCRAsmP
    33603088U,	// LOCRAsmZ
    6367569U,	// LPCTL
    3019934227U,	// LPD
    67153902U,	// LPDBR
    67154629U,	// LPDFR
    67154629U,	// LPDFR_32
    3019937663U,	// LPDG
    67154402U,	// LPDR
    67154036U,	// LPEBR
    67154566U,	// LPER
    67154690U,	// LPGFR
    67154818U,	// LPGR
    6368947U,	// LPP
    268480339U,	// LPQ
    67155155U,	// LPR
    6372330U,	// LPSW
    6362001U,	// LPSWE
    2214625347U,	// LPTEA
    67154263U,	// LPXBR
    67155691U,	// LPXR
    67155000U,	// LR
    268468508U,	// LRA
    268474114U,	// LRAG
    268483626U,	// LRAY
    67154414U,	// LRDR
    67154578U,	// LRER
    536914170U,	// LRL
    268483511U,	// LRV
    268474835U,	// LRVG
    67154849U,	// LRVGR
    268477102U,	// LRVH
    67155618U,	// LRVR
    6367576U,	// LSCTL
    268483252U,	// LT
    67153923U,	// LTDBR
    67153923U,	// LTDBRCompare
    67154433U,	// LTDR
    67155342U,	// LTDTR
    67154057U,	// LTEBR
    67154057U,	// LTEBRCompare
    67154590U,	// LTER
    268474769U,	// LTG
    268473454U,	// LTGF
    67154711U,	// LTGFR
    67154843U,	// LTGR
    67155437U,	// LTR
    67154283U,	// LTXBR
    67154283U,	// LTXBRCompare
    67155708U,	// LTXR
    67155594U,	// LTXTR
    67142022U,	// LURA
    67147543U,	// LURAG
    268470849U,	// LXD
    268469172U,	// LXDB
    67153930U,	// LXDBR
    67154439U,	// LXDR
    2214639004U,	// LXDTR
    268473240U,	// LXE
    268469326U,	// LXEB
    67154064U,	// LXEBR
    67154603U,	// LXER
    67155675U,	// LXR
    268483747U,	// LY
    6337043U,	// LZDR
    6337201U,	// LZER
    268473904U,	// LZRF
    268474679U,	// LZRG
    6338306U,	// LZXR
    43472U,	// M
    2181073354U,	// MAD
    2181071367U,	// MADB
    2181083028U,	// MADBR
    2181083536U,	// MADR
    2181073495U,	// MAE
    2181071816U,	// MAEB
    2181083160U,	// MAEBR
    2181083673U,	// MAER
    2181086245U,	// MAY
    2181079756U,	// MAYH
    2181084174U,	// MAYHR
    2181081539U,	// MAYL
    2181084326U,	// MAYLR
    2181084936U,	// MAYR
    906037591U,	// MC
    35331U,	// MD
    33554U,	// MDB
    33599457U,	// MDBR
    35514U,	// MDE
    33762U,	// MDEB
    33599533U,	// MDEBR
    33600055U,	// MDER
    33599959U,	// MDR
    2214638963U,	// MDTR
    2214625604U,	// MDTRA
    37235U,	// ME
    35525U,	// MEE
    33775U,	// MEEB
    33599540U,	// MEEBR
    33600061U,	// MEER
    33600117U,	// MER
    48227U,	// MFY
    39057U,	// MG
    39736U,	// MGH
    134259641U,	// MGHI
    2214634799U,	// MGRK
    40888U,	// MH
    134259674U,	// MHI
    48247U,	// MHY
    42732U,	// ML
    38992U,	// MLG
    33600361U,	// MLGR
    33600642U,	// MLR
    234991111U,	// MP
    33600692U,	// MR
    47606U,	// MS
    35243U,	// MSC
    6363800U,	// MSCH
    2181073457U,	// MSD
    2181071746U,	// MSDB
    2181083132U,	// MSDBR
    2181083636U,	// MSDR
    2181075746U,	// MSE
    2181071937U,	// MSEB
    2181083266U,	// MSEBR
    2181083800U,	// MSER
    100705166U,	// MSFI
    39268U,	// MSG
    35099U,	// MSGC
    37992U,	// MSGF
    100705141U,	// MSGFI
    33600272U,	// MSGFR
    33600398U,	// MSGR
    2214627635U,	// MSGRKC
    33600747U,	// MSR
    2214627643U,	// MSRKC
    6324644U,	// MSTA
    48362U,	// MSY
    604080572U,	// MVC
    939599029U,	// MVCDK
    604089250U,	// MVCIN
    533679U,	// MVCK
    67151336U,	// MVCL
    2214629041U,	// MVCLE
    2214640512U,	// MVCLU
    3087088129U,	// MVCOS
    535914U,	// MVCP
    939599986U,	// MVCRL
    538799U,	// MVCS
    939599219U,	// MVCSK
    134292415U,	// MVGHI
    134292430U,	// MVHHI
    134292447U,	// MVHI
    906044505U,	// MVI
    906050711U,	// MVIY
    604089288U,	// MVN
    234990916U,	// MVO
    67148012U,	// MVPG
    67156770U,	// MVST
    604094022U,	// MVZ
    33599818U,	// MXBR
    35398U,	// MXD
    33722U,	// MXDB
    33599505U,	// MXDBR
    33600013U,	// MXDR
    33601248U,	// MXR
    2214639215U,	// MXTR
    2214625656U,	// MXTRA
    2214640819U,	// MY
    2214634194U,	// MYH
    2214638613U,	// MYHR
    2214635977U,	// MYL
    2214638765U,	// MYLR
    2214639374U,	// MYR
    43919U,	// N
    604080480U,	// NC
    2214634771U,	// NCGRK
    2214634753U,	// NCRK
    39095U,	// NG
    33600374U,	// NGR
    2214634806U,	// NGRK
    906044394U,	// NI
    16982818U,	// NIAI
    201364636U,	// NIHF
    704682875U,	// NIHH
    704685637U,	// NIHL
    201364781U,	// NILF
    704683280U,	// NILH
    704685761U,	// NILL
    906050701U,	// NIY
    2214634805U,	// NNGRK
    2214634844U,	// NNRK
    2214634812U,	// NOGRK
    2214634850U,	// NORK
    33600703U,	// NR
    2214634845U,	// NRK
    268474774U,	// NTSTG
    2214634825U,	// NXGRK
    2214634861U,	// NXRK
    48343U,	// NY
    43983U,	// O
    604080490U,	// OC
    2214634778U,	// OCGRK
    2214634759U,	// OCRK
    39107U,	// OG
    33600381U,	// OGR
    2214634813U,	// OGRK
    906044398U,	// OI
    201364642U,	// OIHF
    704682881U,	// OIHH
    704685643U,	// OIHL
    201364787U,	// OILF
    704683286U,	// OILH
    704685767U,	// OILL
    906050706U,	// OIY
    33600708U,	// OR
    2214634851U,	// ORK
    48347U,	// OY
    234988702U,	// PACK
    16460U,	// PALB
    6359419U,	// PC
    16490U,	// PCC
    16660U,	// PCKMO
    2296285U,	// PFD
    307439737U,	// PFDRL
    6722960U,	// PFMF
    16666U,	// PFPO
    67152817U,	// PGIN
    67156782U,	// PGOUT
    1040253022U,	// PKA
    1040268148U,	// PKU
    3019942974U,	// PLO
    67156671U,	// POPCNT
    2214640319U,	// POPCNTOpt
    2214625415U,	// PPA
    67153075U,	// PPNO
    16712U,	// PR
    67153135U,	// PRNO
    67156694U,	// PT
    6329947U,	// PTF
    16508U,	// PTFF
    67150932U,	// PTI
    16465U,	// PTLB
    2214638873U,	// QADTR
    2214639131U,	// QAXTR
    6366206U,	// QCTRI
    6366281U,	// QSI
    16671U,	// RCHP
    2181076777U,	// RISBG
    2181076777U,	// RISBG32
    2181082002U,	// RISBGN
    2181076947U,	// RISBHG
    2181077021U,	// RISBLG
    2214635228U,	// RLL
    2214631492U,	// RLLG
    2181076784U,	// RNSBG
    2181076791U,	// ROSBG
    6368955U,	// RP
    67144340U,	// RRBE
    67152357U,	// RRBM
    2214638969U,	// RRDTR
    2214639221U,	// RRXTR
    16543U,	// RSCH
    2181076798U,	// RXSBG
    46880U,	// S
    6359267U,	// SAC
    6362044U,	// SACF
    16633U,	// SAL
    16373U,	// SAM24
    16361U,	// SAM31
    16379U,	// SAM64
    67153796U,	// SAR
    67155197U,	// SCCTR
    16655U,	// SCHM
    6366372U,	// SCK
    6359334U,	// SCKC
    16513U,	// SCKPF
    35378U,	// SD
    33652U,	// SDB
    33599485U,	// SDBR
    33599989U,	// SDR
    2214638977U,	// SDTR
    2214625611U,	// SDTRA
    37667U,	// SE
    33858U,	// SEB
    33599619U,	// SEBR
    2498216252U,	// SELFHR
    2181084091U,	// SELFHRAsm
    2181075723U,	// SELFHRAsmE
    2181079535U,	// SELFHRAsmH
    2181074307U,	// SELFHRAsmHE
    2181081284U,	// SELFHRAsmL
    2181075203U,	// SELFHRAsmLE
    2181078839U,	// SELFHRAsmLH
    2181081931U,	// SELFHRAsmM
    2181075588U,	// SELFHRAsmNE
    2181079286U,	// SELFHRAsmNH
    2181074161U,	// SELFHRAsmNHE
    2181081078U,	// SELFHRAsmNL
    2181075057U,	// SELFHRAsmNLE
    2181078686U,	// SELFHRAsmNLH
    2181081850U,	// SELFHRAsmNM
    2181082333U,	// SELFHRAsmNO
    2181082773U,	// SELFHRAsmNP
    2181086709U,	// SELFHRAsmNZ
    2181082407U,	// SELFHRAsmO
    2181082840U,	// SELFHRAsmP
    2181086768U,	// SELFHRAsmZ
    2498216239U,	// SELGR
    2181084002U,	// SELGRAsm
    2181075706U,	// SELGRAsmE
    2181079518U,	// SELGRAsmH
    2181074288U,	// SELGRAsmHE
    2181081254U,	// SELGRAsmL
    2181075184U,	// SELGRAsmLE
    2181078820U,	// SELGRAsmLH
    2181081914U,	// SELGRAsmM
    2181075569U,	// SELGRAsmNE
    2181079267U,	// SELGRAsmNH
    2181074140U,	// SELGRAsmNHE
    2181081059U,	// SELGRAsmNL
    2181075036U,	// SELGRAsmNLE
    2181078665U,	// SELGRAsmNLH
    2181081831U,	// SELGRAsmNM
    2181082314U,	// SELGRAsmNO
    2181082754U,	// SELGRAsmNP
    2181086690U,	// SELGRAsmNZ
    2181082390U,	// SELGRAsmO
    2181082823U,	// SELGRAsmP
    2181086751U,	// SELGRAsmZ
    2498216259U,	// SELR
    2181084235U,	// SELRAsm
    2181075732U,	// SELRAsmE
    2181079544U,	// SELRAsmH
    2181074317U,	// SELRAsmHE
    2181081343U,	// SELRAsmL
    2181075213U,	// SELRAsmLE
    2181078849U,	// SELRAsmLH
    2181081940U,	// SELRAsmM
    2181075598U,	// SELRAsmNE
    2181079296U,	// SELRAsmNH
    2181074172U,	// SELRAsmNHE
    2181081088U,	// SELRAsmNL
    2181075068U,	// SELRAsmNLE
    2181078697U,	// SELRAsmNLH
    2181081860U,	// SELRAsmNM
    2181082343U,	// SELRAsmNO
    2181082783U,	// SELRAsmNP
    2181086719U,	// SELRAsmNZ
    2181082416U,	// SELRAsmO
    2181082849U,	// SELRAsmP
    2181086777U,	// SELRAsmZ
    33600153U,	// SER
    6337764U,	// SFASR
    6326661U,	// SFPC
    39230U,	// SG
    37987U,	// SGF
    33600266U,	// SGFR
    39741U,	// SGH
    33600393U,	// SGR
    2214634819U,	// SGRK
    41488U,	// SH
    2214638567U,	// SHHHR
    2214638708U,	// SHHLR
    48252U,	// SHY
    6360580U,	// SIE
    6357071U,	// SIGA
    2214636981U,	// SIGP
    43311U,	// SL
    939556969U,	// SLA
    2214631156U,	// SLAG
    2214634642U,	// SLAK
    34149U,	// SLB
    38691U,	// SLBG
    33600297U,	// SLBGR
    33599729U,	// SLBR
    939556913U,	// SLDA
    939566574U,	// SLDL
    2214640232U,	// SLDT
    201368456U,	// SLFI
    39021U,	// SLG
    37964U,	// SLGF
    201368430U,	// SLGFI
    33600244U,	// SLGFR
    33600367U,	// SLGR
    2214634792U,	// SLGRK
    2214638559U,	// SLHHHR
    2214638700U,	// SLHHLR
    939566817U,	// SLL
    2214631498U,	// SLLG
    2214634719U,	// SLLK
    33600668U,	// SLR
    2214634838U,	// SLRK
    2214640451U,	// SLXT
    48300U,	// SLY
    67152300U,	// SORTL
    234991355U,	// SP
    67155218U,	// SPCTR
    6357091U,	// SPKA
    6335255U,	// SPM
    6372058U,	// SPT
    6372362U,	// SPX
    268470808U,	// SQD
    268469092U,	// SQDB
    67153909U,	// SQDBR
    67154408U,	// SQDR
    268473062U,	// SQE
    268469307U,	// SQEB
    67154043U,	// SQEBR
    67154572U,	// SQER
    67154270U,	// SQXBR
    67155697U,	// SQXR
    33600736U,	// SR
    939557155U,	// SRA
    2214631178U,	// SRAG
    2214634648U,	// SRAK
    939556919U,	// SRDA
    939566580U,	// SRDL
    2214640244U,	// SRDT
    2214634856U,	// SRK
    939567380U,	// SRL
    2214631525U,	// SRLG
    2214634725U,	// SRLK
    6368012U,	// SRNM
    6358412U,	// SRNMB
    6372024U,	// SRNMT
    2751573743U,	// SRP
    67156758U,	// SRST
    67156875U,	// SRSTU
    2214640463U,	// SRXT
    6337577U,	// SSAIR
    6336393U,	// SSAR
    6363806U,	// SSCH
    2214628942U,	// SSKE
    67145294U,	// SSKEOpt
    6368119U,	// SSM
    268483346U,	// ST
    2214635987U,	// STAM
    2214640823U,	// STAMY
    6368585U,	// STAP
    268470711U,	// STC
    268475051U,	// STCH
    6366377U,	// STCK
    6359340U,	// STCKC
    6360641U,	// STCKE
    6362358U,	// STCKF
    436251133U,	// STCM
    436248508U,	// STCMH
    436255940U,	// STCMY
    6371848U,	// STCPS
    6372317U,	// STCRW
    2214631818U,	// STCTG
    2214635871U,	// STCTL
    268483643U,	// STCY
    268470838U,	// STD
    268483654U,	// STDY
    268473228U,	// STE
    268483677U,	// STEY
    268475145U,	// STFH
    6366720U,	// STFL
    6360760U,	// STFLE
    6359435U,	// STFPC
    268474776U,	// STG
    536914094U,	// STGRL
    268470692U,	// STGSC
    268477055U,	// STH
    268475326U,	// STHH
    536914162U,	// STHRL
    268483713U,	// STHY
    6368624U,	// STIDP
    2214636413U,	// STM
    2214631592U,	// STMG
    2214633438U,	// STMH
    2214640849U,	// STMY
    906046311U,	// STNSM
    315080818U,	// STOC
    3019934062U,	// STOCAsm
    872450733U,	// STOCAsmE
    872454793U,	// STOCAsmH
    872450860U,	// STOCAsmHE
    872457691U,	// STOCAsmL
    872451753U,	// STOCAsmLE
    872455327U,	// STOCAsmLH
    872458742U,	// STOCAsmM
    872452542U,	// STOCAsmNE
    872456247U,	// STOCAsmNH
    872451106U,	// STOCAsmNHE
    872458039U,	// STOCAsmNL
    872452002U,	// STOCAsmNLE
    872455624U,	// STOCAsmNLH
    872458876U,	// STOCAsmNM
    872459353U,	// STOCAsmNO
    872459799U,	// STOCAsmNP
    872463735U,	// STOCAsmNZ
    872459234U,	// STOCAsmO
    872459619U,	// STOCAsmP
    872463618U,	// STOCAsmZ
    315080879U,	// STOCFH
    3019938556U,	// STOCFHAsm
    872450876U,	// STOCFHAsmE
    872455013U,	// STOCFHAsmH
    872450917U,	// STOCFHAsmHE
    872457775U,	// STOCFHAsmL
    872451807U,	// STOCFHAsmLE
    872455382U,	// STOCFHAsmLH
    872458799U,	// STOCFHAsmM
    872452589U,	// STOCFHAsmNE
    872456287U,	// STOCFHAsmNH
    872451151U,	// STOCFHAsmNHE
    872458079U,	// STOCFHAsmNL
    872452047U,	// STOCFHAsmNLE
    872455669U,	// STOCFHAsmNLH
    872458916U,	// STOCFHAsmNM
    872459393U,	// STOCFHAsmNO
    872459839U,	// STOCFHAsmNP
    872463775U,	// STOCFHAsmNZ
    872459269U,	// STOCFHAsmO
    872459727U,	// STOCFHAsmP
    872463660U,	// STOCFHAsmZ
    315080844U,	// STOCG
    3019937650U,	// STOCGAsm
    872450769U,	// STOCGAsmE
    872454939U,	// STOCGAsmH
    872450893U,	// STOCGAsmHE
    872457741U,	// STOCGAsmL
    872451783U,	// STOCGAsmLE
    872455343U,	// STOCGAsmLH
    872458773U,	// STOCGAsmM
    872452565U,	// STOCGAsmNE
    872456263U,	// STOCGAsmNH
    872451124U,	// STOCGAsmNHE
    872458055U,	// STOCGAsmNL
    872452020U,	// STOCGAsmNLE
    872455642U,	// STOCGAsmNLH
    872458892U,	// STOCGAsmNM
    872459369U,	// STOCGAsmNO
    872459815U,	// STOCGAsmNP
    872463751U,	// STOCGAsmNZ
    872459248U,	// STOCGAsmO
    872459693U,	// STOCGAsmP
    872463639U,	// STOCGAsmZ
    906046318U,	// STOSM
    268480344U,	// STPQ
    6372063U,	// STPT
    6372367U,	// STPX
    939595536U,	// STRAG
    536914208U,	// STRL
    268483516U,	// STRV
    268474841U,	// STRVG
    268477108U,	// STRVH
    6363812U,	// STSCH
    6366286U,	// STSI
    67142028U,	// STURA
    67148080U,	// STURG
    268483823U,	// STY
    48007U,	// SU
    33601181U,	// SUR
    559553U,	// SVC
    48102U,	// SW
    33601197U,	// SWR
    33599845U,	// SXBR
    33601271U,	// SXR
    2214639229U,	// SXTR
    2214625663U,	// SXTRA
    48352U,	// SY
    6372099U,	// TABORT
    16651U,	// TAM
    67153807U,	// TAR
    67143566U,	// TB
    436253078U,	// TBDR
    436253095U,	// TBEDR
    704719785U,	// TBEGIN
    704711003U,	// TBEGINC
    268468798U,	// TCDB
    268469198U,	// TCEB
    268470249U,	// TCXB
    268483162U,	// TDCDT
    268483200U,	// TDCET
    268483381U,	// TDCXT
    268483169U,	// TDGDT
    268483207U,	// TDGET
    268483388U,	// TDGXT
    16503U,	// TEND
    67154474U,	// THDER
    67154374U,	// THDR
    906046334U,	// TM
    771791780U,	// TMHH
    771794513U,	// TMHL
    771792236U,	// TMLH
    771794637U,	// TMLL
    906050770U,	// TMY
    6401808U,	// TP
    6366201U,	// TPI
    939604679U,	// TPROT
    604091634U,	// TR
    2214627994U,	// TRACE
    2214631244U,	// TRACG
    16367U,	// TRAP2
    6357029U,	// TRAP4
    67146525U,	// TRE
    2214636794U,	// TROO
    67153146U,	// TROOOpt
    2214640334U,	// TROT
    67156686U,	// TROTOpt
    604093195U,	// TRT
    839291782U,	// TRTE
    6722438U,	// TRTEOpt
    2214636862U,	// TRTO
    67153214U,	// TRTOOpt
    604091890U,	// TRTR
    839291675U,	// TRTRE
    6722331U,	// TRTREOpt
    2214640424U,	// TRTT
    67156776U,	// TRTTOpt
    6371869U,	// TS
    6363813U,	// TSCH
    234988785U,	// UNPK
    604078172U,	// UNPKA
    604093298U,	// UNPKU
    16745U,	// UPT
    2214625706U,	// VA
    2214625739U,	// VAB
    2214627560U,	// VAC
    2214627569U,	// VACC
    2214625756U,	// VACCB
    2214627575U,	// VACCC
    2214637350U,	// VACCCQ
    2214630338U,	// VACCF
    2214631251U,	// VACCG
    2214632036U,	// VACCH
    2214637343U,	// VACCQ
    2214637337U,	// VACQ
    2214630327U,	// VAF
    2214631198U,	// VAG
    2214631970U,	// VAH
    2214636879U,	// VAP
    2214637332U,	// VAQ
    2214631846U,	// VAVG
    2214626455U,	// VAVGB
    2214630516U,	// VAVGF
    2214631365U,	// VAVGG
    2214632258U,	// VAVGH
    2214635034U,	// VAVGL
    2214626586U,	// VAVGLB
    2214630673U,	// VAVGLF
    2214631475U,	// VAVGLG
    2214632638U,	// VAVGLH
    2214636323U,	// VBPERM
    2214631289U,	// VCDG
    2214626418U,	// VCDGB
    2214631468U,	// VCDLG
    2214626439U,	// VCDLGB
    2214626388U,	// VCEFB
    2214626402U,	// VCELFB
    2214637358U,	// VCEQ
    2214626769U,	// VCEQB
    2214639568U,	// VCEQBS
    2214630890U,	// VCEQF
    2214639851U,	// VCEQFS
    2214631666U,	// VCEQG
    2214639943U,	// VCEQGS
    2214633881U,	// VCEQH
    2214640017U,	// VCEQHS
    2214626293U,	// VCFEB
    2214635608U,	// VCFPL
    2214640143U,	// VCFPS
    2214627815U,	// VCGD
    2214625956U,	// VCGDB
    2214632113U,	// VCH
    2214626476U,	// VCHB
    2214639553U,	// VCHBS
    2214630537U,	// VCHF
    2214639836U,	// VCHFS
    2214631387U,	// VCHG
    2214639928U,	// VCHGS
    2214632279U,	// VCHH
    2214640002U,	// VCHHS
    2214635041U,	// VCHL
    2214626594U,	// VCHLB
    2214639560U,	// VCHLBS
    2214630681U,	// VCHLF
    2214639843U,	// VCHLFS
    2214631483U,	// VCHLG
    2214639935U,	// VCHLGS
    2214632646U,	// VCHLH
    2214640009U,	// VCHLHS
    2214636384U,	// VCKSM
    2214626307U,	// VCLFEB
    2214636952U,	// VCLFP
    2214627821U,	// VCLGD
    2214625970U,	// VCLGDB
    2214640997U,	// VCLZ
    67143873U,	// VCLZB
    67147457U,	// VCLZF
    67148276U,	// VCLZG
    67150593U,	// VCLZH
    2214636907U,	// VCP
    2214636959U,	// VCSFP
    2214641216U,	// VCTZ
    67143889U,	// VCTZB
    67147483U,	// VCTZF
    67148293U,	// VCTZG
    67150619U,	// VCTZH
    2214627227U,	// VCVB
    2214631237U,	// VCVBG
    2214631237U,	// VCVBGOpt
    2214627227U,	// VCVBOpt
    2214627899U,	// VCVD
    2214631301U,	// VCVDG
    2214636925U,	// VDP
    2214627590U,	// VEC
    67142115U,	// VECB
    67146697U,	// VECF
    67147610U,	// VECG
    67148395U,	// VECH
    2214634953U,	// VECL
    67142931U,	// VECLB
    67147018U,	// VECLF
    67147813U,	// VECLG
    67148945U,	// VECLH
    2181081687U,	// VERIM
    2181072260U,	// VERIMB
    2181076380U,	// VERIMF
    2181077147U,	// VERIMG
    2181078992U,	// VERIMH
    2214635226U,	// VERLL
    2214626609U,	// VERLLB
    2214630727U,	// VERLLF
    2214631490U,	// VERLLG
    2214632804U,	// VERLLH
    2214640544U,	// VERLLV
    2214627240U,	// VERLLVB
    2214631031U,	// VERLLVF
    2214631859U,	// VERLLVG
    2214634132U,	// VERLLVH
    2214635827U,	// VESL
    2214626659U,	// VESLB
    2214630770U,	// VESLF
    2214631531U,	// VESLG
    2214633304U,	// VESLH
    2214640560U,	// VESLV
    2214627258U,	// VESLVB
    2214631049U,	// VESLVF
    2214631877U,	// VESLVG
    2214634150U,	// VESLVH
    2214625569U,	// VESRA
    2214625724U,	// VESRAB
    2214630319U,	// VESRAF
    2214631176U,	// VESRAG
    2214631962U,	// VESRAH
    2214640530U,	// VESRAV
    2214627218U,	// VESRAVB
    2214631015U,	// VESRAVF
    2214631837U,	// VESRAVG
    2214634116U,	// VESRAVH
    2214635794U,	// VESRL
    2214626644U,	// VESRLB
    2214630762U,	// VESRLF
    2214631523U,	// VESRLG
    2214633296U,	// VESRLH
    2214640552U,	// VESRLV
    2214627249U,	// VESRLVB
    2214631040U,	// VESRLVF
    2214631868U,	// VESRLVG
    2214634141U,	// VESRLVH
    2214625354U,	// VFA
    2214625783U,	// VFADB
    2214627915U,	// VFAE
    2214626240U,	// VFAEB
    2214639528U,	// VFAEBS
    2214630365U,	// VFAEF
    2214639811U,	// VFAEFS
    2214632118U,	// VFAEH
    2214639977U,	// VFAEHS
    2214627488U,	// VFAEZB
    2214639763U,	// VFAEZBS
    2214631072U,	// VFAEZF
    2214639900U,	// VFAEZFS
    2214634208U,	// VFAEZH
    2214640061U,	// VFAEZHS
    2214626808U,	// VFASB
    2214628001U,	// VFCE
    2214625874U,	// VFCEDB
    2214639416U,	// VFCEDBS
    2214626893U,	// VFCESB
    2214639585U,	// VFCESBS
    2214632049U,	// VFCH
    2214625986U,	// VFCHDB
    2214639492U,	// VFCHDBS
    2214628126U,	// VFCHE
    2214625890U,	// VFCHEDB
    2214639434U,	// VFCHEDBS
    2214626909U,	// VFCHESB
    2214639603U,	// VFCHESBS
    2214626961U,	// VFCHSB
    2214639661U,	// VFCHSBS
    2214627810U,	// VFD
    2214625860U,	// VFDDB
    2214626879U,	// VFDSB
    2214628031U,	// VFEE
    2214626280U,	// VFEEB
    2214639536U,	// VFEEBS
    2214630386U,	// VFEEF
    2214639819U,	// VFEEFS
    2214632132U,	// VFEEH
    2214639985U,	// VFEEHS
    2214627496U,	// VFEEZB
    2214639772U,	// VFEEZBS
    2214631080U,	// VFEEZF
    2214639909U,	// VFEEZFS
    2214634216U,	// VFEEZH
    2214640070U,	// VFEEZHS
    2214629830U,	// VFENE
    2214626355U,	// VFENEB
    2214639544U,	// VFENEBS
    2214630426U,	// VFENEF
    2214639827U,	// VFENEFS
    2214632166U,	// VFENEH
    2214639993U,	// VFENEHS
    2214627512U,	// VFENEZB
    2214639781U,	// VFENEZBS
    2214631096U,	// VFENEZF
    2214639918U,	// VFENEZFS
    2214634232U,	// VFENEZH
    2214640079U,	// VFENEZHS
    2214634388U,	// VFI
    2214626036U,	// VFIDB
    2214627011U,	// VFISB
    2214625926U,	// VFKEDB
    2214639474U,	// VFKEDBS
    2214626945U,	// VFKESB
    2214639643U,	// VFKESBS
    2214626002U,	// VFKHDB
    2214639510U,	// VFKHDBS
    2214625908U,	// VFKHEDB
    2214639454U,	// VFKHEDBS
    2214626927U,	// VFKHESB
    2214639623U,	// VFKHESBS
    2214626977U,	// VFKHSB
    2214639679U,	// VFKHSBS
    67142190U,	// VFLCDB
    67143215U,	// VFLCSB
    2214635182U,	// VFLL
    67156454U,	// VFLLS
    67142448U,	// VFLNDB
    67143416U,	// VFLNSB
    67142482U,	// VFLPDB
    67143450U,	// VFLPSB
    2214638673U,	// VFLR
    2214627869U,	// VFLRD
    2214636041U,	// VFM
    2214625397U,	// VFMA
    2214625797U,	// VFMADB
    2214626822U,	// VFMASB
    2214640629U,	// VFMAX
    2214626210U,	// VFMAXDB
    2214627191U,	// VFMAXSB
    2214626064U,	// VFMDB
    2214636471U,	// VFMIN
    2214626078U,	// VFMINDB
    2214627046U,	// VFMINSB
    2214640116U,	// VFMS
    2214627032U,	// VFMSB
    2214626176U,	// VFMSDB
    2214627152U,	// VFMSSB
    2214625408U,	// VFNMA
    2214625813U,	// VFNMADB
    2214626838U,	// VFNMASB
    2214640122U,	// VFNMS
    2214626192U,	// VFNMSDB
    2214627168U,	// VFNMSSB
    2214636855U,	// VFPSO
    2214626112U,	// VFPSODB
    2214627080U,	// VFPSOSB
    2214639885U,	// VFS
    2214626162U,	// VFSDB
    2214637421U,	// VFSQ
    67142498U,	// VFSQDB
    67143466U,	// VFSQSB
    2214627138U,	// VFSSB
    2214634301U,	// VFTCI
    2214626018U,	// VFTCIDB
    2214626993U,	// VFTCISB
    771795417U,	// VGBM
    1073779705U,	// VGEF
    3221264275U,	// VGEG
    2214636035U,	// VGFM
    2214625390U,	// VGFMA
    2214625716U,	// VGFMAB
    2214630311U,	// VGFMAF
    2214631162U,	// VGFMAG
    2214631948U,	// VGFMAH
    2214626679U,	// VGFMB
    2214630793U,	// VGFMF
    2214631566U,	// VGFMG
    2214633411U,	// VGFMH
    805349922U,	// VGM
    805340542U,	// VGMB
    805344662U,	// VGMF
    805345429U,	// VGMG
    805347274U,	// VGMH
    2214639103U,	// VISTR
    2214626800U,	// VISTRB
    67155928U,	// VISTRBS
    2214630952U,	// VISTRF
    67156211U,	// VISTRFS
    2214633989U,	// VISTRH
    67156377U,	// VISTRHS
    268478905U,	// VL
    2415962553U,	// VLAlign
    2415952342U,	// VLBB
    2415964407U,	// VLBR
    268473866U,	// VLBRF
    268474642U,	// VLBRG
    268476849U,	// VLBRH
    268480350U,	// VLBRQ
    2415963529U,	// VLBRREP
    268473811U,	// VLBRREPF
    268474575U,	// VLBRREPG
    268476796U,	// VLBRREPH
    2214627665U,	// VLC
    67142121U,	// VLCB
    67146703U,	// VLCF
    67147622U,	// VLCG
    67148413U,	// VLCH
    2214628020U,	// VLDE
    67142612U,	// VLDEB
    2147517479U,	// VLEB
    2147522041U,	// VLEBRF
    2147522817U,	// VLEBRG
    2147525024U,	// VLEBRH
    2214627799U,	// VLED
    2214625942U,	// VLEDB
    2147521550U,	// VLEF
    2147522457U,	// VLEG
    2147523290U,	// VLEH
    2281735402U,	// VLEIB
    2281739495U,	// VLEIF
    2281740285U,	// VLEIG
    2281741270U,	// VLEIH
    2415964783U,	// VLER
    268473881U,	// VLERF
    268474657U,	// VLERG
    268476871U,	// VLERH
    2214640538U,	// VLGV
    2214627233U,	// VLGVB
    2214631024U,	// VLGVF
    2214631852U,	// VLGVG
    2214634125U,	// VLGVH
    2919280119U,	// VLIP
    2214635238U,	// VLL
    2415967751U,	// VLLEBRZ
    268473245U,	// VLLEBRZE
    268474056U,	// VLLEBRZF
    268474875U,	// VLLEBRZG
    268477192U,	// VLLEBRZH
    2415967497U,	// VLLEZ
    268470448U,	// VLLEZB
    268474032U,	// VLLEZF
    268474860U,	// VLLEZG
    268477168U,	// VLLEZH
    268473728U,	// VLLEZLF
    2214636139U,	// VLM
    2214636139U,	// VLMAlign
    2214637057U,	// VLP
    67143115U,	// VLPB
    67147236U,	// VLPF
    67148000U,	// VLPG
    67150221U,	// VLPH
    67155105U,	// VLR
    2415963522U,	// VLREP
    268469692U,	// VLREPB
    268473803U,	// VLREPF
    268474567U,	// VLREPG
    268476788U,	// VLREPH
    3019942150U,	// VLRL
    2214638733U,	// VLRLR
    2181077453U,	// VLVG
    2181072030U,	// VLVGB
    2181076091U,	// VLVGF
    2181076940U,	// VLVGG
    2181077833U,	// VLVGH
    2214636992U,	// VLVGP
    2214627926U,	// VMAE
    2214626247U,	// VMAEB
    2214630372U,	// VMAEF
    2214632125U,	// VMAEH
    2214631956U,	// VMAH
    2214626469U,	// VMAHB
    2214630530U,	// VMAHF
    2214632272U,	// VMAHH
    2214634891U,	// VMAL
    2214626572U,	// VMALB
    2214628948U,	// VMALE
    2214626328U,	// VMALEB
    2214630399U,	// VMALEF
    2214632139U,	// VMALEH
    2214630659U,	// VMALF
    2214632522U,	// VMALH
    2214626482U,	// VMALHB
    2214630574U,	// VMALHF
    2214632327U,	// VMALHH
    2214640582U,	// VMALHW
    2214636593U,	// VMALO
    2214626727U,	// VMALOB
    2214630838U,	// VMALOF
    2214633823U,	// VMALOH
    2214636498U,	// VMAO
    2214626720U,	// VMAOB
    2214630831U,	// VMAOF
    2214633816U,	// VMAOH
    2214629746U,	// VME
    2214626349U,	// VMEB
    2214630420U,	// VMEF
    2214632160U,	// VMEH
    2214633451U,	// VMH
    2214626505U,	// VMHB
    2214630603U,	// VMHF
    2214632362U,	// VMHH
    2214635243U,	// VML
    2214626617U,	// VMLB
    2214629196U,	// VMLE
    2214626336U,	// VMLEB
    2214630407U,	// VMLEF
    2214632147U,	// VMLEH
    2214630735U,	// VMLF
    2214632818U,	// VMLH
    2214626490U,	// VMLHB
    2214630588U,	// VMLHF
    2214632341U,	// VMLHH
    2214640590U,	// VMLHW
    2214636600U,	// VMLO
    2214626735U,	// VMLOB
    2214630846U,	// VMLOF
    2214633831U,	// VMLOH
    2214636478U,	// VMN
    2214626714U,	// VMNB
    2214630825U,	// VMNF
    2214631611U,	// VMNG
    2214633676U,	// VMNH
    2214635468U,	// VMNL
    2214626623U,	// VMNLB
    2214630741U,	// VMNLF
    2214631509U,	// VMNLG
    2214633071U,	// VMNLH
    2214636616U,	// VMO
    2214626742U,	// VMOB
    2214630853U,	// VMOF
    2214633838U,	// VMOH
    2214637062U,	// VMP
    2214633983U,	// VMRH
    2214626518U,	// VMRHB
    2214630616U,	// VMRHF
    2214631393U,	// VMRHG
    2214632375U,	// VMRHH
    2214635788U,	// VMRL
    2214626637U,	// VMRLB
    2214630755U,	// VMRLF
    2214631516U,	// VMRLG
    2214633289U,	// VMRLH
    2214635833U,	// VMSL
    2214631538U,	// VMSLG
    2214637316U,	// VMSP
    2214640640U,	// VMX
    2214627398U,	// VMXB
    2214631057U,	// VMXF
    2214631910U,	// VMXG
    2214634176U,	// VMXH
    2214635965U,	// VMXL
    2214626672U,	// VMXLB
    2214630777U,	// VMXLF
    2214631552U,	// VMXLG
    2214633391U,	// VMXLH
    2214636489U,	// VN
    2214627684U,	// VNC
    2214636483U,	// VNN
    2214636789U,	// VNO
    2214640645U,	// VNX
    2214636869U,	// VO
    2214627700U,	// VOC
    6328922U,	// VONE
    2214634308U,	// VPDI
    2214636331U,	// VPERM
    2214634743U,	// VPK
    2214630653U,	// VPKF
    2214631440U,	// VPKG
    2214632516U,	// VPKH
    2214640095U,	// VPKLS
    2214630973U,	// VPKLSF
    2214639876U,	// VPKLSFS
    2214631772U,	// VPKLSG
    2214639959U,	// VPKLSGS
    2214634004U,	// VPKLSH
    2214640042U,	// VPKLSHS
    2214640089U,	// VPKS
    2214630966U,	// VPKSF
    2214639868U,	// VPKSFS
    2214631765U,	// VPKSG
    2214639951U,	// VPKSGS
    2214633997U,	// VPKSH
    2214640034U,	// VPKSHS
    3019947359U,	// VPKZ
    2214640204U,	// VPOPCT
    67143561U,	// VPOPCTB
    67147346U,	// VPOPCTF
    67148154U,	// VPOPCTG
    67150377U,	// VPOPCTH
    2214637223U,	// VPSOP
    2214636946U,	// VREP
    2214626756U,	// VREPB
    2214630877U,	// VREPF
    2214631641U,	// VREPG
    2214633862U,	// VREPH
    2717950962U,	// VREPI
    570459396U,	// VREPIB
    570463470U,	// VREPIF
    570464260U,	// VREPIG
    570465277U,	// VREPIH
    2214637300U,	// VRP
    2214640161U,	// VS
    2214627186U,	// VSB
    2214634280U,	// VSBCBI
    2214637364U,	// VSBCBIQ
    2214634295U,	// VSBI
    2214637381U,	// VSBIQ
    2214634288U,	// VSCBI
    2214626525U,	// VSCBIB
    2214630623U,	// VSCBIF
    2214631413U,	// VSCBIG
    2214632393U,	// VSCBIH
    2214637373U,	// VSCBIQ
    3254817771U,	// VSCEF
    1107335052U,	// VSCEG
    2214636919U,	// VSDP
    2214631340U,	// VSEG
    67142784U,	// VSEGB
    67146803U,	// VSEGF
    67148579U,	// VSEGH
    2214635002U,	// VSEL
    2214630989U,	// VSF
    2214631785U,	// VSG
    2214634020U,	// VSH
    2214635839U,	// VSL
    2214626666U,	// VSLB
    2214627835U,	// VSLD
    2214626057U,	// VSLDB
    2214637322U,	// VSP
    2214637427U,	// VSQ
    2214625576U,	// VSRA
    2214625732U,	// VSRAB
    2214627883U,	// VSRD
    2214635801U,	// VSRL
    2214626652U,	// VSRLB
    2214637294U,	// VSRP
    268483363U,	// VST
    2415967011U,	// VSTAlign
    2415964413U,	// VSTBR
    268473873U,	// VSTBRF
    268474649U,	// VSTBRG
    268476856U,	// VSTBRH
    268480357U,	// VSTBRQ
    2415952967U,	// VSTEB
    2415957505U,	// VSTEBRF
    2415958281U,	// VSTEBRG
    2415960488U,	// VSTEBRH
    2415957026U,	// VSTEF
    2415957938U,	// VSTEG
    2415958766U,	// VSTEH
    2415964836U,	// VSTER
    268473888U,	// VSTERF
    268474664U,	// VSTERG
    268476878U,	// VSTERH
    2214635955U,	// VSTL
    2214636412U,	// VSTM
    2214636412U,	// VSTMAlign
    2214627735U,	// VSTRC
    2214625775U,	// VSTRCB
    2214639407U,	// VSTRCBS
    2214630357U,	// VSTRCF
    2214639802U,	// VSTRCFS
    2214632080U,	// VSTRCH
    2214639968U,	// VSTRCHS
    2214627479U,	// VSTRCZB
    2214639753U,	// VSTRCZBS
    2214631063U,	// VSTRCZF
    2214639890U,	// VSTRCZFS
    2214634199U,	// VSTRCZH
    2214640051U,	// VSTRCZHS
    3019942175U,	// VSTRL
    2214638740U,	// VSTRLR
    2214640150U,	// VSTRS
    2214627130U,	// VSTRSB
    2214630981U,	// VSTRSF
    2214634012U,	// VSTRSH
    2214627528U,	// VSTRSZB
    2214631122U,	// VSTRSZF
    2214634258U,	// VSTRSZH
    2214636423U,	// VSUM
    2214626707U,	// VSUMB
    2214631598U,	// VSUMG
    2214630482U,	// VSUMGF
    2214632245U,	// VSUMGH
    2214633444U,	// VSUMH
    2214637388U,	// VSUMQ
    2214630897U,	// VSUMQF
    2214631673U,	// VSUMQG
    67152770U,	// VTM
    6336271U,	// VTP
    2214633875U,	// VUPH
    67142863U,	// VUPHB
    67146961U,	// VUPHF
    67148720U,	// VUPHH
    3019947352U,	// VUPKZ
    2214635615U,	// VUPL
    67142982U,	// VUPLB
    67147100U,	// VUPLF
    2214633228U,	// VUPLH
    67142849U,	// VUPLHB
    67146947U,	// VUPLHF
    67148700U,	// VUPLHH
    67156949U,	// VUPLHW
    2214635219U,	// VUPLL
    67142953U,	// VUPLLB
    67147071U,	// VUPLLF
    67149148U,	// VUPLLH
    2214640668U,	// VX
    6335751U,	// VZERO
    2214626425U,	// WCDGB
    2214626447U,	// WCDLGB
    2214626395U,	// WCEFB
    2214626410U,	// WCELFB
    2214626300U,	// WCFEB
    2214625963U,	// WCGDB
    2214626315U,	// WCLFEB
    2214625978U,	// WCLGDB
    2214625790U,	// WFADB
    2214626815U,	// WFASB
    2214627266U,	// WFAXB
    2214627600U,	// WFC
    67142183U,	// WFCDB
    2214625882U,	// WFCEDB
    2214639425U,	// WFCEDBS
    2214626901U,	// WFCESB
    2214639594U,	// WFCESBS
    2214627318U,	// WFCEXB
    2214639697U,	// WFCEXBS
    2214625994U,	// WFCHDB
    2214639501U,	// WFCHDBS
    2214625899U,	// WFCHEDB
    2214639444U,	// WFCHEDBS
    2214626918U,	// WFCHESB
    2214639613U,	// WFCHESBS
    2214627326U,	// WFCHEXB
    2214639706U,	// WFCHEXBS
    2214626969U,	// WFCHSB
    2214639670U,	// WFCHSBS
    2214627352U,	// WFCHXB
    2214639735U,	// WFCHXBS
    67143208U,	// WFCSB
    67143642U,	// WFCXB
    2214625867U,	// WFDDB
    2214626886U,	// WFDSB
    2214627311U,	// WFDXB
    2214626043U,	// WFIDB
    2214627018U,	// WFISB
    2214627377U,	// WFIXB
    2214634684U,	// WFK
    67142402U,	// WFKDB
    2214625934U,	// WFKEDB
    2214639483U,	// WFKEDBS
    2214626953U,	// WFKESB
    2214639652U,	// WFKESBS
    2214627344U,	// WFKEXB
    2214639726U,	// WFKEXBS
    2214626010U,	// WFKHDB
    2214639519U,	// WFKHDBS
    2214625917U,	// WFKHEDB
    2214639464U,	// WFKHEDBS
    2214626936U,	// WFKHESB
    2214639633U,	// WFKHESBS
    2214627335U,	// WFKHEXB
    2214639716U,	// WFKHEXBS
    2214626985U,	// WFKHSB
    2214639688U,	// WFKHSBS
    2214627360U,	// WFKHXB
    2214639744U,	// WFKHXBS
    67143377U,	// WFKSB
    67143736U,	// WFKXB
    67142198U,	// WFLCDB
    67143223U,	// WFLCSB
    67143649U,	// WFLCXB
    67144180U,	// WFLLD
    67156461U,	// WFLLS
    67142456U,	// WFLNDB
    67143424U,	// WFLNSB
    67143765U,	// WFLNXB
    67142490U,	// WFLPDB
    67143458U,	// WFLPSB
    67143782U,	// WFLPXB
    2214627876U,	// WFLRD
    2214640661U,	// WFLRX
    2214625805U,	// WFMADB
    2214626830U,	// WFMASB
    2214627273U,	// WFMAXB
    2214626219U,	// WFMAXDB
    2214627200U,	// WFMAXSB
    2214627470U,	// WFMAXXB
    2214626071U,	// WFMDB
    2214626087U,	// WFMINDB
    2214627055U,	// WFMINSB
    2214627404U,	// WFMINXB
    2214627039U,	// WFMSB
    2214626184U,	// WFMSDB
    2214627160U,	// WFMSSB
    2214627453U,	// WFMSXB
    2214627391U,	// WFMXB
    2214625822U,	// WFNMADB
    2214626847U,	// WFNMASB
    2214627281U,	// WFNMAXB
    2214626201U,	// WFNMSDB
    2214627177U,	// WFNMSSB
    2214627461U,	// WFNMSXB
    2214626121U,	// WFPSODB
    2214627089U,	// WFPSOSB
    2214627421U,	// WFPSOXB
    2214626169U,	// WFSDB
    67142506U,	// WFSQDB
    67143474U,	// WFSQSB
    67143790U,	// WFSQXB
    2214627145U,	// WFSSB
    2214627446U,	// WFSXB
    2214626027U,	// WFTCIDB
    2214627002U,	// WFTCISB
    2214627368U,	// WFTCIXB
    67142619U,	// WLDEB
    2214625949U,	// WLEDB
    48114U,	// X
    604080582U,	// XC
    39394U,	// XG
    33600425U,	// XGR
    2214634826U,	// XGRK
    906044510U,	// XI
    201364648U,	// XIHF
    201364793U,	// XILF
    906050717U,	// XIY
    33601203U,	// XR
    2214634862U,	// XRK
    16548U,	// XSCH
    48372U,	// XY
    234990932U,	// ZAP
  };

  static const uint16_t OpInfo1[] = {
    0U,	// PHI
    0U,	// INLINEASM
    0U,	// INLINEASM_BR
    0U,	// CFI_INSTRUCTION
    0U,	// EH_LABEL
    0U,	// GC_LABEL
    0U,	// ANNOTATION_LABEL
    0U,	// KILL
    0U,	// EXTRACT_SUBREG
    0U,	// INSERT_SUBREG
    0U,	// IMPLICIT_DEF
    0U,	// SUBREG_TO_REG
    0U,	// COPY_TO_REGCLASS
    0U,	// DBG_VALUE
    0U,	// DBG_LABEL
    0U,	// REG_SEQUENCE
    0U,	// COPY
    0U,	// BUNDLE
    0U,	// LIFETIME_START
    0U,	// LIFETIME_END
    0U,	// STACKMAP
    0U,	// FENTRY_CALL
    0U,	// PATCHPOINT
    0U,	// LOAD_STACK_GUARD
    0U,	// STATEPOINT
    0U,	// LOCAL_ESCAPE
    0U,	// FAULTING_OP
    0U,	// PATCHABLE_OP
    0U,	// PATCHABLE_FUNCTION_ENTER
    0U,	// PATCHABLE_RET
    0U,	// PATCHABLE_FUNCTION_EXIT
    0U,	// PATCHABLE_TAIL_CALL
    0U,	// PATCHABLE_EVENT_CALL
    0U,	// PATCHABLE_TYPED_EVENT_CALL
    0U,	// ICALL_BRANCH_FUNNEL
    0U,	// G_ADD
    0U,	// G_SUB
    0U,	// G_MUL
    0U,	// G_SDIV
    0U,	// G_UDIV
    0U,	// G_SREM
    0U,	// G_UREM
    0U,	// G_AND
    0U,	// G_OR
    0U,	// G_XOR
    0U,	// G_IMPLICIT_DEF
    0U,	// G_PHI
    0U,	// G_FRAME_INDEX
    0U,	// G_GLOBAL_VALUE
    0U,	// G_EXTRACT
    0U,	// G_UNMERGE_VALUES
    0U,	// G_INSERT
    0U,	// G_MERGE_VALUES
    0U,	// G_BUILD_VECTOR
    0U,	// G_BUILD_VECTOR_TRUNC
    0U,	// G_CONCAT_VECTORS
    0U,	// G_PTRTOINT
    0U,	// G_INTTOPTR
    0U,	// G_BITCAST
    0U,	// G_INTRINSIC_TRUNC
    0U,	// G_INTRINSIC_ROUND
    0U,	// G_LOAD
    0U,	// G_SEXTLOAD
    0U,	// G_ZEXTLOAD
    0U,	// G_INDEXED_LOAD
    0U,	// G_INDEXED_SEXTLOAD
    0U,	// G_INDEXED_ZEXTLOAD
    0U,	// G_STORE
    0U,	// G_INDEXED_STORE
    0U,	// G_ATOMIC_CMPXCHG_WITH_SUCCESS
    0U,	// G_ATOMIC_CMPXCHG
    0U,	// G_ATOMICRMW_XCHG
    0U,	// G_ATOMICRMW_ADD
    0U,	// G_ATOMICRMW_SUB
    0U,	// G_ATOMICRMW_AND
    0U,	// G_ATOMICRMW_NAND
    0U,	// G_ATOMICRMW_OR
    0U,	// G_ATOMICRMW_XOR
    0U,	// G_ATOMICRMW_MAX
    0U,	// G_ATOMICRMW_MIN
    0U,	// G_ATOMICRMW_UMAX
    0U,	// G_ATOMICRMW_UMIN
    0U,	// G_ATOMICRMW_FADD
    0U,	// G_ATOMICRMW_FSUB
    0U,	// G_FENCE
    0U,	// G_BRCOND
    0U,	// G_BRINDIRECT
    0U,	// G_INTRINSIC
    0U,	// G_INTRINSIC_W_SIDE_EFFECTS
    0U,	// G_ANYEXT
    0U,	// G_TRUNC
    0U,	// G_CONSTANT
    0U,	// G_FCONSTANT
    0U,	// G_VASTART
    0U,	// G_VAARG
    0U,	// G_SEXT
    0U,	// G_SEXT_INREG
    0U,	// G_ZEXT
    0U,	// G_SHL
    0U,	// G_LSHR
    0U,	// G_ASHR
    0U,	// G_ICMP
    0U,	// G_FCMP
    0U,	// G_SELECT
    0U,	// G_UADDO
    0U,	// G_UADDE
    0U,	// G_USUBO
    0U,	// G_USUBE
    0U,	// G_SADDO
    0U,	// G_SADDE
    0U,	// G_SSUBO
    0U,	// G_SSUBE
    0U,	// G_UMULO
    0U,	// G_SMULO
    0U,	// G_UMULH
    0U,	// G_SMULH
    0U,	// G_FADD
    0U,	// G_FSUB
    0U,	// G_FMUL
    0U,	// G_FMA
    0U,	// G_FMAD
    0U,	// G_FDIV
    0U,	// G_FREM
    0U,	// G_FPOW
    0U,	// G_FEXP
    0U,	// G_FEXP2
    0U,	// G_FLOG
    0U,	// G_FLOG2
    0U,	// G_FLOG10
    0U,	// G_FNEG
    0U,	// G_FPEXT
    0U,	// G_FPTRUNC
    0U,	// G_FPTOSI
    0U,	// G_FPTOUI
    0U,	// G_SITOFP
    0U,	// G_UITOFP
    0U,	// G_FABS
    0U,	// G_FCOPYSIGN
    0U,	// G_FCANONICALIZE
    0U,	// G_FMINNUM
    0U,	// G_FMAXNUM
    0U,	// G_FMINNUM_IEEE
    0U,	// G_FMAXNUM_IEEE
    0U,	// G_FMINIMUM
    0U,	// G_FMAXIMUM
    0U,	// G_GEP
    0U,	// G_PTR_MASK
    0U,	// G_SMIN
    0U,	// G_SMAX
    0U,	// G_UMIN
    0U,	// G_UMAX
    0U,	// G_BR
    0U,	// G_BRJT
    0U,	// G_INSERT_VECTOR_ELT
    0U,	// G_EXTRACT_VECTOR_ELT
    0U,	// G_SHUFFLE_VECTOR
    0U,	// G_CTTZ
    0U,	// G_CTTZ_ZERO_UNDEF
    0U,	// G_CTLZ
    0U,	// G_CTLZ_ZERO_UNDEF
    0U,	// G_CTPOP
    0U,	// G_BSWAP
    0U,	// G_BITREVERSE
    0U,	// G_FCEIL
    0U,	// G_FCOS
    0U,	// G_FSIN
    0U,	// G_FSQRT
    0U,	// G_FFLOOR
    0U,	// G_FRINT
    0U,	// G_FNEARBYINT
    0U,	// G_ADDRSPACE_CAST
    0U,	// G_BLOCK_ADDR
    0U,	// G_JUMP_TABLE
    0U,	// G_DYN_STACKALLOC
    0U,	// ADJCALLSTACKDOWN
    0U,	// ADJCALLSTACKUP
    0U,	// ADJDYNALLOC
    0U,	// AEXT128
    0U,	// AFIMux
    0U,	// AG_MemFoldPseudo
    0U,	// AHIMux
    0U,	// AHIMuxK
    0U,	// ALG_MemFoldPseudo
    0U,	// AL_MemFoldPseudo
    0U,	// ATOMIC_CMP_SWAPW
    0U,	// ATOMIC_LOADW_AFI
    0U,	// ATOMIC_LOADW_AR
    0U,	// ATOMIC_LOADW_MAX
    0U,	// ATOMIC_LOADW_MIN
    0U,	// ATOMIC_LOADW_NILH
    0U,	// ATOMIC_LOADW_NILHi
    0U,	// ATOMIC_LOADW_NR
    0U,	// ATOMIC_LOADW_NRi
    0U,	// ATOMIC_LOADW_OILH
    0U,	// ATOMIC_LOADW_OR
    0U,	// ATOMIC_LOADW_SR
    0U,	// ATOMIC_LOADW_UMAX
    0U,	// ATOMIC_LOADW_UMIN
    0U,	// ATOMIC_LOADW_XILF
    0U,	// ATOMIC_LOADW_XR
    0U,	// ATOMIC_LOAD_AFI
    0U,	// ATOMIC_LOAD_AGFI
    0U,	// ATOMIC_LOAD_AGHI
    0U,	// ATOMIC_LOAD_AGR
    0U,	// ATOMIC_LOAD_AHI
    0U,	// ATOMIC_LOAD_AR
    0U,	// ATOMIC_LOAD_MAX_32
    0U,	// ATOMIC_LOAD_MAX_64
    0U,	// ATOMIC_LOAD_MIN_32
    0U,	// ATOMIC_LOAD_MIN_64
    0U,	// ATOMIC_LOAD_NGR
    0U,	// ATOMIC_LOAD_NGRi
    0U,	// ATOMIC_LOAD_NIHF64
    0U,	// ATOMIC_LOAD_NIHF64i
    0U,	// ATOMIC_LOAD_NIHH64
    0U,	// ATOMIC_LOAD_NIHH64i
    0U,	// ATOMIC_LOAD_NIHL64
    0U,	// ATOMIC_LOAD_NIHL64i
    0U,	// ATOMIC_LOAD_NILF
    0U,	// ATOMIC_LOAD_NILF64
    0U,	// ATOMIC_LOAD_NILF64i
    0U,	// ATOMIC_LOAD_NILFi
    0U,	// ATOMIC_LOAD_NILH
    0U,	// ATOMIC_LOAD_NILH64
    0U,	// ATOMIC_LOAD_NILH64i
    0U,	// ATOMIC_LOAD_NILHi
    0U,	// ATOMIC_LOAD_NILL
    0U,	// ATOMIC_LOAD_NILL64
    0U,	// ATOMIC_LOAD_NILL64i
    0U,	// ATOMIC_LOAD_NILLi
    0U,	// ATOMIC_LOAD_NR
    0U,	// ATOMIC_LOAD_NRi
    0U,	// ATOMIC_LOAD_OGR
    0U,	// ATOMIC_LOAD_OIHF64
    0U,	// ATOMIC_LOAD_OIHH64
    0U,	// ATOMIC_LOAD_OIHL64
    0U,	// ATOMIC_LOAD_OILF
    0U,	// ATOMIC_LOAD_OILF64
    0U,	// ATOMIC_LOAD_OILH
    0U,	// ATOMIC_LOAD_OILH64
    0U,	// ATOMIC_LOAD_OILL
    0U,	// ATOMIC_LOAD_OILL64
    0U,	// ATOMIC_LOAD_OR
    0U,	// ATOMIC_LOAD_SGR
    0U,	// ATOMIC_LOAD_SR
    0U,	// ATOMIC_LOAD_UMAX_32
    0U,	// ATOMIC_LOAD_UMAX_64
    0U,	// ATOMIC_LOAD_UMIN_32
    0U,	// ATOMIC_LOAD_UMIN_64
    0U,	// ATOMIC_LOAD_XGR
    0U,	// ATOMIC_LOAD_XIHF64
    0U,	// ATOMIC_LOAD_XILF
    0U,	// ATOMIC_LOAD_XILF64
    0U,	// ATOMIC_LOAD_XR
    0U,	// ATOMIC_SWAPW
    0U,	// ATOMIC_SWAP_32
    0U,	// ATOMIC_SWAP_64
    0U,	// A_MemFoldPseudo
    0U,	// CFIMux
    0U,	// CGIBCall
    0U,	// CGIBReturn
    0U,	// CGRBCall
    0U,	// CGRBReturn
    0U,	// CHIMux
    0U,	// CIBCall
    0U,	// CIBReturn
    0U,	// CLCLoop
    0U,	// CLCSequence
    0U,	// CLFIMux
    0U,	// CLGIBCall
    0U,	// CLGIBReturn
    0U,	// CLGRBCall
    0U,	// CLGRBReturn
    0U,	// CLIBCall
    0U,	// CLIBReturn
    0U,	// CLMux
    0U,	// CLRBCall
    0U,	// CLRBReturn
    0U,	// CLSTLoop
    0U,	// CMux
    0U,	// CRBCall
    0U,	// CRBReturn
    0U,	// CallBASR
    0U,	// CallBCR
    0U,	// CallBR
    0U,	// CallBRASL
    0U,	// CallBRCL
    0U,	// CallJG
    0U,	// CondReturn
    0U,	// CondStore16
    0U,	// CondStore16Inv
    0U,	// CondStore16Mux
    0U,	// CondStore16MuxInv
    0U,	// CondStore32
    0U,	// CondStore32Inv
    0U,	// CondStore32Mux
    0U,	// CondStore32MuxInv
    0U,	// CondStore64
    0U,	// CondStore64Inv
    0U,	// CondStore8
    0U,	// CondStore8Inv
    0U,	// CondStore8Mux
    0U,	// CondStore8MuxInv
    0U,	// CondStoreF32
    0U,	// CondStoreF32Inv
    0U,	// CondStoreF64
    0U,	// CondStoreF64Inv
    0U,	// CondTrap
    0U,	// GOT
    0U,	// IIFMux
    0U,	// IIHF64
    0U,	// IIHH64
    0U,	// IIHL64
    0U,	// IIHMux
    0U,	// IILF64
    0U,	// IILH64
    0U,	// IILL64
    0U,	// IILMux
    0U,	// L128
    0U,	// LBMux
    0U,	// LEFR
    0U,	// LFER
    0U,	// LHIMux
    0U,	// LHMux
    0U,	// LLCMux
    0U,	// LLCRMux
    0U,	// LLHMux
    0U,	// LLHRMux
    0U,	// LMux
    0U,	// LOCHIMux
    0U,	// LOCMux
    0U,	// LOCRMux
    0U,	// LTDBRCompare_VecPseudo
    0U,	// LTEBRCompare_VecPseudo
    0U,	// LTXBRCompare_VecPseudo
    0U,	// LX
    0U,	// MVCLoop
    0U,	// MVCSequence
    0U,	// MVSTLoop
    0U,	// MemBarrier
    0U,	// NCLoop
    0U,	// NCSequence
    0U,	// NG_MemFoldPseudo
    0U,	// NIFMux
    0U,	// NIHF64
    0U,	// NIHH64
    0U,	// NIHL64
    0U,	// NIHMux
    0U,	// NILF64
    0U,	// NILH64
    0U,	// NILL64
    0U,	// NILMux
    0U,	// N_MemFoldPseudo
    0U,	// OCLoop
    0U,	// OCSequence
    0U,	// OG_MemFoldPseudo
    0U,	// OIFMux
    0U,	// OIHF64
    0U,	// OIHH64
    0U,	// OIHL64
    0U,	// OIHMux
    0U,	// OILF64
    0U,	// OILH64
    0U,	// OILL64
    0U,	// OILMux
    0U,	// O_MemFoldPseudo
    0U,	// PAIR128
    0U,	// RISBHH
    0U,	// RISBHL
    0U,	// RISBLH
    0U,	// RISBLL
    0U,	// RISBMux
    0U,	// Return
    0U,	// SELRMux
    0U,	// SG_MemFoldPseudo
    0U,	// SLG_MemFoldPseudo
    0U,	// SL_MemFoldPseudo
    0U,	// SRSTLoop
    0U,	// ST128
    0U,	// STCMux
    0U,	// STHMux
    0U,	// STMux
    0U,	// STOCMux
    0U,	// STX
    0U,	// S_MemFoldPseudo
    0U,	// Select32
    0U,	// Select64
    0U,	// SelectF128
    0U,	// SelectF32
    0U,	// SelectF64
    0U,	// SelectVR128
    0U,	// SelectVR32
    0U,	// SelectVR64
    0U,	// Serialize
    0U,	// TBEGIN_nofloat
    0U,	// TLS_GDCALL
    0U,	// TLS_LDCALL
    0U,	// TMHH64
    0U,	// TMHL64
    0U,	// TMHMux
    0U,	// TMLH64
    0U,	// TMLL64
    0U,	// TMLMux
    0U,	// Trap
    0U,	// VL32
    0U,	// VL64
    0U,	// VLR32
    0U,	// VLR64
    0U,	// VLVGP32
    0U,	// VST32
    0U,	// VST64
    0U,	// XCLoop
    0U,	// XCSequence
    0U,	// XG_MemFoldPseudo
    0U,	// XIFMux
    0U,	// XIHF64
    0U,	// XILF64
    0U,	// X_MemFoldPseudo
    0U,	// ZEXT128
    0U,	// A
    0U,	// AD
    0U,	// ADB
    0U,	// ADBR
    0U,	// ADR
    0U,	// ADTR
    1024U,	// ADTRA
    0U,	// AE
    0U,	// AEB
    0U,	// AEBR
    0U,	// AER
    0U,	// AFI
    0U,	// AG
    0U,	// AGF
    0U,	// AGFI
    0U,	// AGFR
    0U,	// AGH
    0U,	// AGHI
    16U,	// AGHIK
    0U,	// AGR
    0U,	// AGRK
    0U,	// AGSI
    0U,	// AH
    0U,	// AHHHR
    0U,	// AHHLR
    0U,	// AHI
    16U,	// AHIK
    0U,	// AHY
    0U,	// AIH
    0U,	// AL
    0U,	// ALC
    0U,	// ALCG
    0U,	// ALCGR
    0U,	// ALCR
    0U,	// ALFI
    0U,	// ALG
    0U,	// ALGF
    0U,	// ALGFI
    0U,	// ALGFR
    16U,	// ALGHSIK
    0U,	// ALGR
    0U,	// ALGRK
    0U,	// ALGSI
    0U,	// ALHHHR
    0U,	// ALHHLR
    16U,	// ALHSIK
    0U,	// ALR
    0U,	// ALRK
    0U,	// ALSI
    0U,	// ALSIH
    0U,	// ALSIHN
    0U,	// ALY
    0U,	// AP
    0U,	// AR
    0U,	// ARK
    0U,	// ASI
    0U,	// AU
    0U,	// AUR
    0U,	// AW
    0U,	// AWR
    0U,	// AXBR
    0U,	// AXR
    0U,	// AXTR
    1024U,	// AXTRA
    0U,	// AY
    0U,	// B
    0U,	// BAKR
    0U,	// BAL
    0U,	// BALR
    0U,	// BAS
    0U,	// BASR
    0U,	// BASSM
    0U,	// BAsmE
    0U,	// BAsmH
    0U,	// BAsmHE
    0U,	// BAsmL
    0U,	// BAsmLE
    0U,	// BAsmLH
    0U,	// BAsmM
    0U,	// BAsmNE
    0U,	// BAsmNH
    0U,	// BAsmNHE
    0U,	// BAsmNL
    0U,	// BAsmNLE
    0U,	// BAsmNLH
    0U,	// BAsmNM
    0U,	// BAsmNO
    0U,	// BAsmNP
    0U,	// BAsmNZ
    0U,	// BAsmO
    0U,	// BAsmP
    0U,	// BAsmZ
    0U,	// BC
    0U,	// BCAsm
    0U,	// BCR
    0U,	// BCRAsm
    0U,	// BCT
    0U,	// BCTG
    0U,	// BCTGR
    0U,	// BCTR
    0U,	// BI
    0U,	// BIAsmE
    0U,	// BIAsmH
    0U,	// BIAsmHE
    0U,	// BIAsmL
    0U,	// BIAsmLE
    0U,	// BIAsmLH
    0U,	// BIAsmM
    0U,	// BIAsmNE
    0U,	// BIAsmNH
    0U,	// BIAsmNHE
    0U,	// BIAsmNL
    0U,	// BIAsmNLE
    0U,	// BIAsmNLH
    0U,	// BIAsmNM
    0U,	// BIAsmNO
    0U,	// BIAsmNP
    0U,	// BIAsmNZ
    0U,	// BIAsmO
    0U,	// BIAsmP
    0U,	// BIAsmZ
    0U,	// BIC
    0U,	// BICAsm
    1U,	// BPP
    1U,	// BPRP
    0U,	// BR
    0U,	// BRAS
    0U,	// BRASL
    0U,	// BRAsmE
    0U,	// BRAsmH
    0U,	// BRAsmHE
    0U,	// BRAsmL
    0U,	// BRAsmLE
    0U,	// BRAsmLH
    0U,	// BRAsmM
    0U,	// BRAsmNE
    0U,	// BRAsmNH
    0U,	// BRAsmNHE
    0U,	// BRAsmNL
    0U,	// BRAsmNLE
    0U,	// BRAsmNLH
    0U,	// BRAsmNM
    0U,	// BRAsmNO
    0U,	// BRAsmNP
    0U,	// BRAsmNZ
    0U,	// BRAsmO
    0U,	// BRAsmP
    0U,	// BRAsmZ
    0U,	// BRC
    0U,	// BRCAsm
    0U,	// BRCL
    0U,	// BRCLAsm
    0U,	// BRCT
    0U,	// BRCTG
    0U,	// BRCTH
    32U,	// BRXH
    32U,	// BRXHG
    32U,	// BRXLE
    32U,	// BRXLG
    0U,	// BSA
    0U,	// BSG
    0U,	// BSM
    48U,	// BXH
    48U,	// BXHG
    48U,	// BXLE
    48U,	// BXLEG
    0U,	// C
    0U,	// CD
    0U,	// CDB
    0U,	// CDBR
    0U,	// CDFBR
    66U,	// CDFBRA
    0U,	// CDFR
    66U,	// CDFTR
    0U,	// CDGBR
    66U,	// CDGBRA
    0U,	// CDGR
    0U,	// CDGTR
    66U,	// CDGTRA
    66U,	// CDLFBR
    66U,	// CDLFTR
    66U,	// CDLGBR
    66U,	// CDLGTR
    0U,	// CDPT
    0U,	// CDR
    48U,	// CDS
    48U,	// CDSG
    0U,	// CDSTR
    48U,	// CDSY
    0U,	// CDTR
    0U,	// CDUTR
    0U,	// CDZT
    0U,	// CE
    0U,	// CEB
    0U,	// CEBR
    0U,	// CEDTR
    0U,	// CEFBR
    66U,	// CEFBRA
    0U,	// CEFR
    0U,	// CEGBR
    66U,	// CEGBRA
    0U,	// CEGR
    66U,	// CELFBR
    66U,	// CELGBR
    0U,	// CER
    0U,	// CEXTR
    0U,	// CFC
    82U,	// CFDBR
    66U,	// CFDBRA
    82U,	// CFDR
    66U,	// CFDTR
    82U,	// CFEBR
    66U,	// CFEBRA
    82U,	// CFER
    0U,	// CFI
    82U,	// CFXBR
    66U,	// CFXBRA
    82U,	// CFXR
    66U,	// CFXTR
    0U,	// CG
    82U,	// CGDBR
    66U,	// CGDBRA
    82U,	// CGDR
    82U,	// CGDTR
    66U,	// CGDTRA
    82U,	// CGEBR
    66U,	// CGEBRA
    82U,	// CGER
    0U,	// CGF
    0U,	// CGFI
    0U,	// CGFR
    0U,	// CGFRL
    0U,	// CGH
    0U,	// CGHI
    0U,	// CGHRL
    0U,	// CGHSI
    0U,	// CGIB
    50U,	// CGIBAsm
    3U,	// CGIBAsmE
    3U,	// CGIBAsmH
    3U,	// CGIBAsmHE
    3U,	// CGIBAsmL
    3U,	// CGIBAsmLE
    3U,	// CGIBAsmLH
    3U,	// CGIBAsmNE
    3U,	// CGIBAsmNH
    3U,	// CGIBAsmNHE
    3U,	// CGIBAsmNL
    3U,	// CGIBAsmNLE
    3U,	// CGIBAsmNLH
    0U,	// CGIJ
    34U,	// CGIJAsm
    1U,	// CGIJAsmE
    1U,	// CGIJAsmH
    1U,	// CGIJAsmHE
    1U,	// CGIJAsmL
    1U,	// CGIJAsmLE
    1U,	// CGIJAsmLH
    1U,	// CGIJAsmNE
    1U,	// CGIJAsmNH
    1U,	// CGIJAsmNHE
    1U,	// CGIJAsmNL
    1U,	// CGIJAsmNLE
    1U,	// CGIJAsmNLH
    0U,	// CGIT
    96U,	// CGITAsm
    0U,	// CGITAsmE
    0U,	// CGITAsmH
    0U,	// CGITAsmHE
    0U,	// CGITAsmL
    0U,	// CGITAsmLE
    0U,	// CGITAsmLH
    0U,	// CGITAsmNE
    0U,	// CGITAsmNH
    0U,	// CGITAsmNHE
    0U,	// CGITAsmNL
    0U,	// CGITAsmNLE
    0U,	// CGITAsmNLH
    0U,	// CGR
    83U,	// CGRB
    17504U,	// CGRBAsm
    112U,	// CGRBAsmE
    112U,	// CGRBAsmH
    112U,	// CGRBAsmHE
    112U,	// CGRBAsmL
    112U,	// CGRBAsmLE
    112U,	// CGRBAsmLH
    112U,	// CGRBAsmNE
    112U,	// CGRBAsmNH
    112U,	// CGRBAsmNHE
    112U,	// CGRBAsmNL
    112U,	// CGRBAsmNLE
    112U,	// CGRBAsmNLH
    4U,	// CGRJ
    33888U,	// CGRJAsm
    128U,	// CGRJAsmE
    128U,	// CGRJAsmH
    128U,	// CGRJAsmHE
    128U,	// CGRJAsmL
    128U,	// CGRJAsmLE
    128U,	// CGRJAsmLH
    128U,	// CGRJAsmNE
    128U,	// CGRJAsmNH
    128U,	// CGRJAsmNHE
    128U,	// CGRJAsmNL
    128U,	// CGRJAsmNLE
    128U,	// CGRJAsmNLH
    0U,	// CGRL
    0U,	// CGRT
    96U,	// CGRTAsm
    0U,	// CGRTAsmE
    0U,	// CGRTAsmH
    0U,	// CGRTAsmHE
    0U,	// CGRTAsmL
    0U,	// CGRTAsmLE
    0U,	// CGRTAsmLH
    0U,	// CGRTAsmNE
    0U,	// CGRTAsmNH
    0U,	// CGRTAsmNHE
    0U,	// CGRTAsmNL
    0U,	// CGRTAsmNLE
    0U,	// CGRTAsmNLH
    82U,	// CGXBR
    66U,	// CGXBRA
    82U,	// CGXR
    82U,	// CGXTR
    66U,	// CGXTRA
    0U,	// CH
    0U,	// CHF
    0U,	// CHHR
    0U,	// CHHSI
    0U,	// CHI
    0U,	// CHLR
    0U,	// CHRL
    0U,	// CHSI
    0U,	// CHY
    0U,	// CIB
    50U,	// CIBAsm
    3U,	// CIBAsmE
    3U,	// CIBAsmH
    3U,	// CIBAsmHE
    3U,	// CIBAsmL
    3U,	// CIBAsmLE
    3U,	// CIBAsmLH
    3U,	// CIBAsmNE
    3U,	// CIBAsmNH
    3U,	// CIBAsmNHE
    3U,	// CIBAsmNL
    3U,	// CIBAsmNLE
    3U,	// CIBAsmNLH
    0U,	// CIH
    0U,	// CIJ
    34U,	// CIJAsm
    1U,	// CIJAsmE
    1U,	// CIJAsmH
    1U,	// CIJAsmHE
    1U,	// CIJAsmL
    1U,	// CIJAsmLE
    1U,	// CIJAsmLH
    1U,	// CIJAsmNE
    1U,	// CIJAsmNH
    1U,	// CIJAsmNHE
    1U,	// CIJAsmNL
    1U,	// CIJAsmNLE
    1U,	// CIJAsmNLH
    0U,	// CIT
    96U,	// CITAsm
    0U,	// CITAsmE
    0U,	// CITAsmH
    0U,	// CITAsmHE
    0U,	// CITAsmL
    0U,	// CITAsmLE
    0U,	// CITAsmLH
    0U,	// CITAsmNE
    0U,	// CITAsmNH
    0U,	// CITAsmNHE
    0U,	// CITAsmNL
    0U,	// CITAsmNLE
    0U,	// CITAsmNLH
    0U,	// CKSM
    0U,	// CL
    0U,	// CLC
    0U,	// CLCL
    144U,	// CLCLE
    144U,	// CLCLU
    66U,	// CLFDBR
    66U,	// CLFDTR
    66U,	// CLFEBR
    0U,	// CLFHSI
    0U,	// CLFI
    0U,	// CLFIT
    96U,	// CLFITAsm
    0U,	// CLFITAsmE
    0U,	// CLFITAsmH
    0U,	// CLFITAsmHE
    0U,	// CLFITAsmL
    0U,	// CLFITAsmLE
    0U,	// CLFITAsmLH
    0U,	// CLFITAsmNE
    0U,	// CLFITAsmNH
    0U,	// CLFITAsmNHE
    0U,	// CLFITAsmNL
    0U,	// CLFITAsmNLE
    0U,	// CLFITAsmNLH
    66U,	// CLFXBR
    66U,	// CLFXTR
    0U,	// CLG
    66U,	// CLGDBR
    66U,	// CLGDTR
    66U,	// CLGEBR
    0U,	// CLGF
    0U,	// CLGFI
    0U,	// CLGFR
    0U,	// CLGFRL
    0U,	// CLGHRL
    0U,	// CLGHSI
    0U,	// CLGIB
    50U,	// CLGIBAsm
    3U,	// CLGIBAsmE
    3U,	// CLGIBAsmH
    3U,	// CLGIBAsmHE
    3U,	// CLGIBAsmL
    3U,	// CLGIBAsmLE
    3U,	// CLGIBAsmLH
    3U,	// CLGIBAsmNE
    3U,	// CLGIBAsmNH
    3U,	// CLGIBAsmNHE
    3U,	// CLGIBAsmNL
    3U,	// CLGIBAsmNLE
    3U,	// CLGIBAsmNLH
    0U,	// CLGIJ
    34U,	// CLGIJAsm
    1U,	// CLGIJAsmE
    1U,	// CLGIJAsmH
    1U,	// CLGIJAsmHE
    1U,	// CLGIJAsmL
    1U,	// CLGIJAsmLE
    1U,	// CLGIJAsmLH
    1U,	// CLGIJAsmNE
    1U,	// CLGIJAsmNH
    1U,	// CLGIJAsmNHE
    1U,	// CLGIJAsmNL
    1U,	// CLGIJAsmNLE
    1U,	// CLGIJAsmNLH
    0U,	// CLGIT
    96U,	// CLGITAsm
    0U,	// CLGITAsmE
    0U,	// CLGITAsmH
    0U,	// CLGITAsmHE
    0U,	// CLGITAsmL
    0U,	// CLGITAsmLE
    0U,	// CLGITAsmLH
    0U,	// CLGITAsmNE
    0U,	// CLGITAsmNH
    0U,	// CLGITAsmNHE
    0U,	// CLGITAsmNL
    0U,	// CLGITAsmNLE
    0U,	// CLGITAsmNLH
    0U,	// CLGR
    83U,	// CLGRB
    17504U,	// CLGRBAsm
    112U,	// CLGRBAsmE
    112U,	// CLGRBAsmH
    112U,	// CLGRBAsmHE
    112U,	// CLGRBAsmL
    112U,	// CLGRBAsmLE
    112U,	// CLGRBAsmLH
    112U,	// CLGRBAsmNE
    112U,	// CLGRBAsmNH
    112U,	// CLGRBAsmNHE
    112U,	// CLGRBAsmNL
    112U,	// CLGRBAsmNLE
    112U,	// CLGRBAsmNLH
    4U,	// CLGRJ
    33888U,	// CLGRJAsm
    128U,	// CLGRJAsmE
    128U,	// CLGRJAsmH
    128U,	// CLGRJAsmHE
    128U,	// CLGRJAsmL
    128U,	// CLGRJAsmLE
    128U,	// CLGRJAsmLH
    128U,	// CLGRJAsmNE
    128U,	// CLGRJAsmNH
    128U,	// CLGRJAsmNHE
    128U,	// CLGRJAsmNL
    128U,	// CLGRJAsmNLE
    128U,	// CLGRJAsmNLH
    0U,	// CLGRL
    0U,	// CLGRT
    96U,	// CLGRTAsm
    0U,	// CLGRTAsmE
    0U,	// CLGRTAsmH
    0U,	// CLGRTAsmHE
    0U,	// CLGRTAsmL
    0U,	// CLGRTAsmLE
    0U,	// CLGRTAsmLH
    0U,	// CLGRTAsmNE
    0U,	// CLGRTAsmNH
    0U,	// CLGRTAsmNHE
    0U,	// CLGRTAsmNL
    0U,	// CLGRTAsmNLE
    0U,	// CLGRTAsmNLH
    0U,	// CLGT
    160U,	// CLGTAsm
    0U,	// CLGTAsmE
    0U,	// CLGTAsmH
    0U,	// CLGTAsmHE
    0U,	// CLGTAsmL
    0U,	// CLGTAsmLE
    0U,	// CLGTAsmLH
    0U,	// CLGTAsmNE
    0U,	// CLGTAsmNH
    0U,	// CLGTAsmNHE
    0U,	// CLGTAsmNL
    0U,	// CLGTAsmNLE
    0U,	// CLGTAsmNLH
    66U,	// CLGXBR
    66U,	// CLGXTR
    0U,	// CLHF
    0U,	// CLHHR
    0U,	// CLHHSI
    0U,	// CLHLR
    0U,	// CLHRL
    0U,	// CLI
    0U,	// CLIB
    50U,	// CLIBAsm
    3U,	// CLIBAsmE
    3U,	// CLIBAsmH
    3U,	// CLIBAsmHE
    3U,	// CLIBAsmL
    3U,	// CLIBAsmLE
    3U,	// CLIBAsmLH
    3U,	// CLIBAsmNE
    3U,	// CLIBAsmNH
    3U,	// CLIBAsmNHE
    3U,	// CLIBAsmNL
    3U,	// CLIBAsmNLE
    3U,	// CLIBAsmNLH
    0U,	// CLIH
    0U,	// CLIJ
    34U,	// CLIJAsm
    1U,	// CLIJAsmE
    1U,	// CLIJAsmH
    1U,	// CLIJAsmHE
    1U,	// CLIJAsmL
    1U,	// CLIJAsmLE
    1U,	// CLIJAsmLH
    1U,	// CLIJAsmNE
    1U,	// CLIJAsmNH
    1U,	// CLIJAsmNHE
    1U,	// CLIJAsmNL
    1U,	// CLIJAsmNLE
    1U,	// CLIJAsmNLH
    0U,	// CLIY
    3U,	// CLM
    3U,	// CLMH
    3U,	// CLMY
    0U,	// CLR
    83U,	// CLRB
    17504U,	// CLRBAsm
    112U,	// CLRBAsmE
    112U,	// CLRBAsmH
    112U,	// CLRBAsmHE
    112U,	// CLRBAsmL
    112U,	// CLRBAsmLE
    112U,	// CLRBAsmLH
    112U,	// CLRBAsmNE
    112U,	// CLRBAsmNH
    112U,	// CLRBAsmNHE
    112U,	// CLRBAsmNL
    112U,	// CLRBAsmNLE
    112U,	// CLRBAsmNLH
    4U,	// CLRJ
    33888U,	// CLRJAsm
    128U,	// CLRJAsmE
    128U,	// CLRJAsmH
    128U,	// CLRJAsmHE
    128U,	// CLRJAsmL
    128U,	// CLRJAsmLE
    128U,	// CLRJAsmLH
    128U,	// CLRJAsmNE
    128U,	// CLRJAsmNH
    128U,	// CLRJAsmNHE
    128U,	// CLRJAsmNL
    128U,	// CLRJAsmNLE
    128U,	// CLRJAsmNLH
    0U,	// CLRL
    0U,	// CLRT
    96U,	// CLRTAsm
    0U,	// CLRTAsmE
    0U,	// CLRTAsmH
    0U,	// CLRTAsmHE
    0U,	// CLRTAsmL
    0U,	// CLRTAsmLE
    0U,	// CLRTAsmLH
    0U,	// CLRTAsmNE
    0U,	// CLRTAsmNH
    0U,	// CLRTAsmNHE
    0U,	// CLRTAsmNL
    0U,	// CLRTAsmNLE
    0U,	// CLRTAsmNLH
    0U,	// CLST
    0U,	// CLT
    160U,	// CLTAsm
    0U,	// CLTAsmE
    0U,	// CLTAsmH
    0U,	// CLTAsmHE
    0U,	// CLTAsmL
    0U,	// CLTAsmLE
    0U,	// CLTAsmLH
    0U,	// CLTAsmNE
    0U,	// CLTAsmNH
    0U,	// CLTAsmNHE
    0U,	// CLTAsmNL
    0U,	// CLTAsmNLE
    0U,	// CLTAsmNLH
    0U,	// CLY
    0U,	// CMPSC
    0U,	// CP
    0U,	// CPDT
    176U,	// CPSDRdd
    176U,	// CPSDRds
    176U,	// CPSDRsd
    176U,	// CPSDRss
    0U,	// CPXT
    0U,	// CPYA
    0U,	// CR
    83U,	// CRB
    17504U,	// CRBAsm
    112U,	// CRBAsmE
    112U,	// CRBAsmH
    112U,	// CRBAsmHE
    112U,	// CRBAsmL
    112U,	// CRBAsmLE
    112U,	// CRBAsmLH
    112U,	// CRBAsmNE
    112U,	// CRBAsmNH
    112U,	// CRBAsmNHE
    112U,	// CRBAsmNL
    112U,	// CRBAsmNLE
    112U,	// CRBAsmNLH
    1200U,	// CRDTE
    176U,	// CRDTEOpt
    4U,	// CRJ
    33888U,	// CRJAsm
    128U,	// CRJAsmE
    128U,	// CRJAsmH
    128U,	// CRJAsmHE
    128U,	// CRJAsmL
    128U,	// CRJAsmLE
    128U,	// CRJAsmLH
    128U,	// CRJAsmNE
    128U,	// CRJAsmNH
    128U,	// CRJAsmNHE
    128U,	// CRJAsmNL
    128U,	// CRJAsmNLE
    128U,	// CRJAsmNLH
    0U,	// CRL
    0U,	// CRT
    96U,	// CRTAsm
    0U,	// CRTAsmE
    0U,	// CRTAsmH
    0U,	// CRTAsmHE
    0U,	// CRTAsmL
    0U,	// CRTAsmLE
    0U,	// CRTAsmLH
    0U,	// CRTAsmNE
    0U,	// CRTAsmNH
    0U,	// CRTAsmNHE
    0U,	// CRTAsmNL
    0U,	// CRTAsmNLE
    0U,	// CRTAsmNLH
    48U,	// CS
    0U,	// CSCH
    96U,	// CSDTR
    48U,	// CSG
    0U,	// CSP
    0U,	// CSPG
    192U,	// CSST
    96U,	// CSXTR
    48U,	// CSY
    208U,	// CU12
    0U,	// CU12Opt
    208U,	// CU14
    0U,	// CU14Opt
    208U,	// CU21
    0U,	// CU21Opt
    208U,	// CU24
    0U,	// CU24Opt
    0U,	// CU41
    0U,	// CU42
    0U,	// CUDTR
    0U,	// CUSE
    208U,	// CUTFU
    0U,	// CUTFUOpt
    208U,	// CUUTF
    0U,	// CUUTFOpt
    0U,	// CUXTR
    0U,	// CVB
    0U,	// CVBG
    0U,	// CVBY
    0U,	// CVD
    0U,	// CVDG
    0U,	// CVDY
    0U,	// CXBR
    0U,	// CXFBR
    66U,	// CXFBRA
    0U,	// CXFR
    66U,	// CXFTR
    0U,	// CXGBR
    66U,	// CXGBRA
    0U,	// CXGR
    0U,	// CXGTR
    66U,	// CXGTRA
    66U,	// CXLFBR
    66U,	// CXLFTR
    66U,	// CXLGBR
    66U,	// CXLGTR
    0U,	// CXPT
    0U,	// CXR
    0U,	// CXSTR
    0U,	// CXTR
    0U,	// CXUTR
    0U,	// CXZT
    0U,	// CY
    0U,	// CZDT
    0U,	// CZXT
    0U,	// D
    0U,	// DD
    0U,	// DDB
    0U,	// DDBR
    0U,	// DDR
    0U,	// DDTR
    1024U,	// DDTRA
    0U,	// DE
    0U,	// DEB
    0U,	// DEBR
    0U,	// DER
    192U,	// DFLTCC
    112U,	// DIAG
    50400U,	// DIDBR
    50400U,	// DIEBR
    0U,	// DL
    0U,	// DLG
    0U,	// DLGR
    0U,	// DLR
    0U,	// DP
    0U,	// DR
    0U,	// DSG
    0U,	// DSGF
    0U,	// DSGFR
    0U,	// DSGR
    0U,	// DXBR
    0U,	// DXR
    0U,	// DXTR
    1024U,	// DXTRA
    0U,	// EAR
    112U,	// ECAG
    0U,	// ECCTR
    0U,	// ECPGA
    192U,	// ECTG
    0U,	// ED
    0U,	// EDMK
    0U,	// EEDTR
    0U,	// EEXTR
    0U,	// EFPC
    0U,	// EPAIR
    0U,	// EPAR
    0U,	// EPCTR
    0U,	// EPSW
    0U,	// EREG
    0U,	// EREGG
    0U,	// ESAIR
    0U,	// ESAR
    0U,	// ESDTR
    0U,	// ESEA
    0U,	// ESTA
    0U,	// ESXTR
    0U,	// ETND
    0U,	// EX
    0U,	// EXRL
    82U,	// FIDBR
    66U,	// FIDBRA
    0U,	// FIDR
    66U,	// FIDTR
    82U,	// FIEBR
    66U,	// FIEBRA
    0U,	// FIER
    82U,	// FIXBR
    66U,	// FIXBRA
    0U,	// FIXR
    66U,	// FIXTR
    0U,	// FLOGR
    0U,	// HDR
    0U,	// HER
    0U,	// HSCH
    0U,	// IAC
    0U,	// IC
    0U,	// IC32
    0U,	// IC32Y
    0U,	// ICM
    0U,	// ICMH
    0U,	// ICMY
    0U,	// ICY
    1200U,	// IDTE
    176U,	// IDTEOpt
    176U,	// IEDTR
    176U,	// IEXTR
    0U,	// IIHF
    0U,	// IIHH
    0U,	// IIHL
    0U,	// IILF
    0U,	// IILH
    0U,	// IILL
    0U,	// IPK
    0U,	// IPM
    1024U,	// IPTE
    0U,	// IPTEOpt
    0U,	// IPTEOptOpt
    0U,	// IRBM
    0U,	// ISKE
    0U,	// IVSK
    0U,	// InsnE
    4U,	// InsnRI
    2290U,	// InsnRIE
    1U,	// InsnRIL
    5U,	// InsnRILU
    5U,	// InsnRIS
    0U,	// InsnRR
    82U,	// InsnRRE
    3314U,	// InsnRRF
    4338U,	// InsnRRS
    5362U,	// InsnRS
    5362U,	// InsnRSE
    2290U,	// InsnRSI
    5362U,	// InsnRSY
    1U,	// InsnRX
    1U,	// InsnRXE
    6386U,	// InsnRXF
    1U,	// InsnRXY
    0U,	// InsnS
    6U,	// InsnSI
    6U,	// InsnSIL
    7U,	// InsnSIY
    0U,	// InsnSS
    83U,	// InsnSSE
    7411U,	// InsnSSF
    0U,	// J
    0U,	// JAsmE
    0U,	// JAsmH
    0U,	// JAsmHE
    0U,	// JAsmL
    0U,	// JAsmLE
    0U,	// JAsmLH
    0U,	// JAsmM
    0U,	// JAsmNE
    0U,	// JAsmNH
    0U,	// JAsmNHE
    0U,	// JAsmNL
    0U,	// JAsmNLE
    0U,	// JAsmNLH
    0U,	// JAsmNM
    0U,	// JAsmNO
    0U,	// JAsmNP
    0U,	// JAsmNZ
    0U,	// JAsmO
    0U,	// JAsmP
    0U,	// JAsmZ
    0U,	// JG
    0U,	// JGAsmE
    0U,	// JGAsmH
    0U,	// JGAsmHE
    0U,	// JGAsmL
    0U,	// JGAsmLE
    0U,	// JGAsmLH
    0U,	// JGAsmM
    0U,	// JGAsmNE
    0U,	// JGAsmNH
    0U,	// JGAsmNHE
    0U,	// JGAsmNL
    0U,	// JGAsmNLE
    0U,	// JGAsmNLH
    0U,	// JGAsmNM
    0U,	// JGAsmNO
    0U,	// JGAsmNP
    0U,	// JGAsmNZ
    0U,	// JGAsmO
    0U,	// JGAsmP
    0U,	// JGAsmZ
    0U,	// KDB
    0U,	// KDBR
    0U,	// KDSA
    0U,	// KDTR
    0U,	// KEB
    0U,	// KEBR
    0U,	// KIMD
    0U,	// KLMD
    0U,	// KM
    176U,	// KMA
    0U,	// KMAC
    0U,	// KMC
    176U,	// KMCTR
    0U,	// KMF
    0U,	// KMO
    0U,	// KXBR
    0U,	// KXTR
    0U,	// L
    0U,	// LA
    112U,	// LAA
    112U,	// LAAG
    112U,	// LAAL
    112U,	// LAALG
    0U,	// LAE
    0U,	// LAEY
    112U,	// LAM
    112U,	// LAMY
    112U,	// LAN
    112U,	// LANG
    112U,	// LAO
    112U,	// LAOG
    0U,	// LARL
    0U,	// LASP
    0U,	// LAT
    112U,	// LAX
    112U,	// LAXG
    0U,	// LAY
    0U,	// LB
    0U,	// LBH
    0U,	// LBR
    208U,	// LCBB
    0U,	// LCCTL
    0U,	// LCDBR
    0U,	// LCDFR
    0U,	// LCDFR_32
    0U,	// LCDR
    0U,	// LCEBR
    0U,	// LCER
    0U,	// LCGFR
    0U,	// LCGR
    0U,	// LCR
    112U,	// LCTL
    112U,	// LCTLG
    0U,	// LCXBR
    0U,	// LCXR
    0U,	// LD
    0U,	// LDE
    0U,	// LDE32
    0U,	// LDEB
    0U,	// LDEBR
    0U,	// LDER
    96U,	// LDETR
    0U,	// LDGR
    0U,	// LDR
    0U,	// LDR32
    0U,	// LDXBR
    66U,	// LDXBRA
    0U,	// LDXR
    66U,	// LDXTR
    0U,	// LDY
    0U,	// LE
    0U,	// LEDBR
    66U,	// LEDBRA
    0U,	// LEDR
    66U,	// LEDTR
    0U,	// LER
    0U,	// LEXBR
    66U,	// LEXBRA
    0U,	// LEXR
    0U,	// LEY
    0U,	// LFAS
    0U,	// LFH
    0U,	// LFHAT
    0U,	// LFPC
    0U,	// LG
    0U,	// LGAT
    0U,	// LGB
    0U,	// LGBR
    0U,	// LGDR
    0U,	// LGF
    0U,	// LGFI
    0U,	// LGFR
    0U,	// LGFRL
    0U,	// LGG
    0U,	// LGH
    0U,	// LGHI
    0U,	// LGHR
    0U,	// LGHRL
    0U,	// LGR
    0U,	// LGRL
    0U,	// LGSC
    0U,	// LH
    0U,	// LHH
    0U,	// LHI
    0U,	// LHR
    0U,	// LHRL
    0U,	// LHY
    0U,	// LLC
    0U,	// LLCH
    0U,	// LLCR
    0U,	// LLGC
    0U,	// LLGCR
    0U,	// LLGF
    0U,	// LLGFAT
    0U,	// LLGFR
    0U,	// LLGFRL
    0U,	// LLGFSG
    0U,	// LLGH
    0U,	// LLGHR
    0U,	// LLGHRL
    0U,	// LLGT
    0U,	// LLGTAT
    0U,	// LLGTR
    0U,	// LLH
    0U,	// LLHH
    0U,	// LLHR
    0U,	// LLHRL
    0U,	// LLIHF
    0U,	// LLIHH
    0U,	// LLIHL
    0U,	// LLILF
    0U,	// LLILH
    0U,	// LLILL
    0U,	// LLZRGF
    112U,	// LM
    17520U,	// LMD
    112U,	// LMG
    112U,	// LMH
    112U,	// LMY
    0U,	// LNDBR
    0U,	// LNDFR
    0U,	// LNDFR_32
    0U,	// LNDR
    0U,	// LNEBR
    0U,	// LNER
    0U,	// LNGFR
    0U,	// LNGR
    0U,	// LNR
    0U,	// LNXBR
    0U,	// LNXR
    0U,	// LOC
    208U,	// LOCAsm
    0U,	// LOCAsmE
    0U,	// LOCAsmH
    0U,	// LOCAsmHE
    0U,	// LOCAsmL
    0U,	// LOCAsmLE
    0U,	// LOCAsmLH
    0U,	// LOCAsmM
    0U,	// LOCAsmNE
    0U,	// LOCAsmNH
    0U,	// LOCAsmNHE
    0U,	// LOCAsmNL
    0U,	// LOCAsmNLE
    0U,	// LOCAsmNLH
    0U,	// LOCAsmNM
    0U,	// LOCAsmNO
    0U,	// LOCAsmNP
    0U,	// LOCAsmNZ
    0U,	// LOCAsmO
    0U,	// LOCAsmP
    0U,	// LOCAsmZ
    0U,	// LOCFH
    208U,	// LOCFHAsm
    0U,	// LOCFHAsmE
    0U,	// LOCFHAsmH
    0U,	// LOCFHAsmHE
    0U,	// LOCFHAsmL
    0U,	// LOCFHAsmLE
    0U,	// LOCFHAsmLH
    0U,	// LOCFHAsmM
    0U,	// LOCFHAsmNE
    0U,	// LOCFHAsmNH
    0U,	// LOCFHAsmNHE
    0U,	// LOCFHAsmNL
    0U,	// LOCFHAsmNLE
    0U,	// LOCFHAsmNLH
    0U,	// LOCFHAsmNM
    0U,	// LOCFHAsmNO
    0U,	// LOCFHAsmNP
    0U,	// LOCFHAsmNZ
    0U,	// LOCFHAsmO
    0U,	// LOCFHAsmP
    0U,	// LOCFHAsmZ
    0U,	// LOCFHR
    256U,	// LOCFHRAsm
    0U,	// LOCFHRAsmE
    0U,	// LOCFHRAsmH
    0U,	// LOCFHRAsmHE
    0U,	// LOCFHRAsmL
    0U,	// LOCFHRAsmLE
    0U,	// LOCFHRAsmLH
    0U,	// LOCFHRAsmM
    0U,	// LOCFHRAsmNE
    0U,	// LOCFHRAsmNH
    0U,	// LOCFHRAsmNHE
    0U,	// LOCFHRAsmNL
    0U,	// LOCFHRAsmNLE
    0U,	// LOCFHRAsmNLH
    0U,	// LOCFHRAsmNM
    0U,	// LOCFHRAsmNO
    0U,	// LOCFHRAsmNP
    0U,	// LOCFHRAsmNZ
    0U,	// LOCFHRAsmO
    0U,	// LOCFHRAsmP
    0U,	// LOCFHRAsmZ
    0U,	// LOCG
    208U,	// LOCGAsm
    0U,	// LOCGAsmE
    0U,	// LOCGAsmH
    0U,	// LOCGAsmHE
    0U,	// LOCGAsmL
    0U,	// LOCGAsmLE
    0U,	// LOCGAsmLH
    0U,	// LOCGAsmM
    0U,	// LOCGAsmNE
    0U,	// LOCGAsmNH
    0U,	// LOCGAsmNHE
    0U,	// LOCGAsmNL
    0U,	// LOCGAsmNLE
    0U,	// LOCGAsmNLH
    0U,	// LOCGAsmNM
    0U,	// LOCGAsmNO
    0U,	// LOCGAsmNP
    0U,	// LOCGAsmNZ
    0U,	// LOCGAsmO
    0U,	// LOCGAsmP
    0U,	// LOCGAsmZ
    0U,	// LOCGHI
    256U,	// LOCGHIAsm
    0U,	// LOCGHIAsmE
    0U,	// LOCGHIAsmH
    0U,	// LOCGHIAsmHE
    0U,	// LOCGHIAsmL
    0U,	// LOCGHIAsmLE
    0U,	// LOCGHIAsmLH
    0U,	// LOCGHIAsmM
    0U,	// LOCGHIAsmNE
    0U,	// LOCGHIAsmNH
    0U,	// LOCGHIAsmNHE
    0U,	// LOCGHIAsmNL
    0U,	// LOCGHIAsmNLE
    0U,	// LOCGHIAsmNLH
    0U,	// LOCGHIAsmNM
    0U,	// LOCGHIAsmNO
    0U,	// LOCGHIAsmNP
    0U,	// LOCGHIAsmNZ
    0U,	// LOCGHIAsmO
    0U,	// LOCGHIAsmP
    0U,	// LOCGHIAsmZ
    0U,	// LOCGR
    256U,	// LOCGRAsm
    0U,	// LOCGRAsmE
    0U,	// LOCGRAsmH
    0U,	// LOCGRAsmHE
    0U,	// LOCGRAsmL
    0U,	// LOCGRAsmLE
    0U,	// LOCGRAsmLH
    0U,	// LOCGRAsmM
    0U,	// LOCGRAsmNE
    0U,	// LOCGRAsmNH
    0U,	// LOCGRAsmNHE
    0U,	// LOCGRAsmNL
    0U,	// LOCGRAsmNLE
    0U,	// LOCGRAsmNLH
    0U,	// LOCGRAsmNM
    0U,	// LOCGRAsmNO
    0U,	// LOCGRAsmNP
    0U,	// LOCGRAsmNZ
    0U,	// LOCGRAsmO
    0U,	// LOCGRAsmP
    0U,	// LOCGRAsmZ
    0U,	// LOCHHI
    256U,	// LOCHHIAsm
    0U,	// LOCHHIAsmE
    0U,	// LOCHHIAsmH
    0U,	// LOCHHIAsmHE
    0U,	// LOCHHIAsmL
    0U,	// LOCHHIAsmLE
    0U,	// LOCHHIAsmLH
    0U,	// LOCHHIAsmM
    0U,	// LOCHHIAsmNE
    0U,	// LOCHHIAsmNH
    0U,	// LOCHHIAsmNHE
    0U,	// LOCHHIAsmNL
    0U,	// LOCHHIAsmNLE
    0U,	// LOCHHIAsmNLH
    0U,	// LOCHHIAsmNM
    0U,	// LOCHHIAsmNO
    0U,	// LOCHHIAsmNP
    0U,	// LOCHHIAsmNZ
    0U,	// LOCHHIAsmO
    0U,	// LOCHHIAsmP
    0U,	// LOCHHIAsmZ
    0U,	// LOCHI
    256U,	// LOCHIAsm
    0U,	// LOCHIAsmE
    0U,	// LOCHIAsmH
    0U,	// LOCHIAsmHE
    0U,	// LOCHIAsmL
    0U,	// LOCHIAsmLE
    0U,	// LOCHIAsmLH
    0U,	// LOCHIAsmM
    0U,	// LOCHIAsmNE
    0U,	// LOCHIAsmNH
    0U,	// LOCHIAsmNHE
    0U,	// LOCHIAsmNL
    0U,	// LOCHIAsmNLE
    0U,	// LOCHIAsmNLH
    0U,	// LOCHIAsmNM
    0U,	// LOCHIAsmNO
    0U,	// LOCHIAsmNP
    0U,	// LOCHIAsmNZ
    0U,	// LOCHIAsmO
    0U,	// LOCHIAsmP
    0U,	// LOCHIAsmZ
    0U,	// LOCR
    256U,	// LOCRAsm
    0U,	// LOCRAsmE
    0U,	// LOCRAsmH
    0U,	// LOCRAsmHE
    0U,	// LOCRAsmL
    0U,	// LOCRAsmLE
    0U,	// LOCRAsmLH
    0U,	// LOCRAsmM
    0U,	// LOCRAsmNE
    0U,	// LOCRAsmNH
    0U,	// LOCRAsmNHE
    0U,	// LOCRAsmNL
    0U,	// LOCRAsmNLE
    0U,	// LOCRAsmNLH
    0U,	// LOCRAsmNM
    0U,	// LOCRAsmNO
    0U,	// LOCRAsmNP
    0U,	// LOCRAsmNZ
    0U,	// LOCRAsmO
    0U,	// LOCRAsmP
    0U,	// LOCRAsmZ
    0U,	// LPCTL
    48U,	// LPD
    0U,	// LPDBR
    0U,	// LPDFR
    0U,	// LPDFR_32
    48U,	// LPDG
    0U,	// LPDR
    0U,	// LPEBR
    0U,	// LPER
    0U,	// LPGFR
    0U,	// LPGR
    0U,	// LPP
    0U,	// LPQ
    0U,	// LPR
    0U,	// LPSW
    0U,	// LPSWE
    50400U,	// LPTEA
    0U,	// LPXBR
    0U,	// LPXR
    0U,	// LR
    0U,	// LRA
    0U,	// LRAG
    0U,	// LRAY
    0U,	// LRDR
    0U,	// LRER
    0U,	// LRL
    0U,	// LRV
    0U,	// LRVG
    0U,	// LRVGR
    0U,	// LRVH
    0U,	// LRVR
    0U,	// LSCTL
    0U,	// LT
    0U,	// LTDBR
    0U,	// LTDBRCompare
    0U,	// LTDR
    0U,	// LTDTR
    0U,	// LTEBR
    0U,	// LTEBRCompare
    0U,	// LTER
    0U,	// LTG
    0U,	// LTGF
    0U,	// LTGFR
    0U,	// LTGR
    0U,	// LTR
    0U,	// LTXBR
    0U,	// LTXBRCompare
    0U,	// LTXR
    0U,	// LTXTR
    0U,	// LURA
    0U,	// LURAG
    0U,	// LXD
    0U,	// LXDB
    0U,	// LXDBR
    0U,	// LXDR
    96U,	// LXDTR
    0U,	// LXE
    0U,	// LXEB
    0U,	// LXEBR
    0U,	// LXER
    0U,	// LXR
    0U,	// LY
    0U,	// LZDR
    0U,	// LZER
    0U,	// LZRF
    0U,	// LZRG
    0U,	// LZXR
    0U,	// M
    272U,	// MAD
    272U,	// MADB
    224U,	// MADBR
    224U,	// MADR
    272U,	// MAE
    272U,	// MAEB
    224U,	// MAEBR
    224U,	// MAER
    272U,	// MAY
    272U,	// MAYH
    224U,	// MAYHR
    272U,	// MAYL
    224U,	// MAYLR
    224U,	// MAYR
    0U,	// MC
    0U,	// MD
    0U,	// MDB
    0U,	// MDBR
    0U,	// MDE
    0U,	// MDEB
    0U,	// MDEBR
    0U,	// MDER
    0U,	// MDR
    0U,	// MDTR
    1024U,	// MDTRA
    0U,	// ME
    0U,	// MEE
    0U,	// MEEB
    0U,	// MEEBR
    0U,	// MEER
    0U,	// MER
    0U,	// MFY
    0U,	// MG
    0U,	// MGH
    0U,	// MGHI
    0U,	// MGRK
    0U,	// MH
    0U,	// MHI
    0U,	// MHY
    0U,	// ML
    0U,	// MLG
    0U,	// MLGR
    0U,	// MLR
    0U,	// MP
    0U,	// MR
    0U,	// MS
    0U,	// MSC
    0U,	// MSCH
    272U,	// MSD
    272U,	// MSDB
    224U,	// MSDBR
    224U,	// MSDR
    272U,	// MSE
    272U,	// MSEB
    224U,	// MSEBR
    224U,	// MSER
    0U,	// MSFI
    0U,	// MSG
    0U,	// MSGC
    0U,	// MSGF
    0U,	// MSGFI
    0U,	// MSGFR
    0U,	// MSGR
    0U,	// MSGRKC
    0U,	// MSR
    0U,	// MSRKC
    0U,	// MSTA
    0U,	// MSY
    0U,	// MVC
    0U,	// MVCDK
    0U,	// MVCIN
    0U,	// MVCK
    0U,	// MVCL
    144U,	// MVCLE
    144U,	// MVCLU
    192U,	// MVCOS
    0U,	// MVCP
    0U,	// MVCRL
    0U,	// MVCS
    0U,	// MVCSK
    0U,	// MVGHI
    0U,	// MVHHI
    0U,	// MVHI
    0U,	// MVI
    0U,	// MVIY
    0U,	// MVN
    0U,	// MVO
    0U,	// MVPG
    0U,	// MVST
    0U,	// MVZ
    0U,	// MXBR
    0U,	// MXD
    0U,	// MXDB
    0U,	// MXDBR
    0U,	// MXDR
    0U,	// MXR
    0U,	// MXTR
    1024U,	// MXTRA
    288U,	// MY
    288U,	// MYH
    0U,	// MYHR
    288U,	// MYL
    0U,	// MYLR
    0U,	// MYR
    0U,	// N
    0U,	// NC
    0U,	// NCGRK
    0U,	// NCRK
    0U,	// NG
    0U,	// NGR
    0U,	// NGRK
    0U,	// NI
    0U,	// NIAI
    0U,	// NIHF
    0U,	// NIHH
    0U,	// NIHL
    0U,	// NILF
    0U,	// NILH
    0U,	// NILL
    0U,	// NIY
    0U,	// NNGRK
    0U,	// NNRK
    0U,	// NOGRK
    0U,	// NORK
    0U,	// NR
    0U,	// NRK
    0U,	// NTSTG
    0U,	// NXGRK
    0U,	// NXRK
    0U,	// NY
    0U,	// O
    0U,	// OC
    0U,	// OCGRK
    0U,	// OCRK
    0U,	// OG
    0U,	// OGR
    0U,	// OGRK
    0U,	// OI
    0U,	// OIHF
    0U,	// OIHH
    0U,	// OIHL
    0U,	// OILF
    0U,	// OILH
    0U,	// OILL
    0U,	// OIY
    0U,	// OR
    0U,	// ORK
    0U,	// OY
    0U,	// PACK
    0U,	// PALB
    0U,	// PC
    0U,	// PCC
    0U,	// PCKMO
    0U,	// PFD
    0U,	// PFDRL
    0U,	// PFMF
    0U,	// PFPO
    0U,	// PGIN
    0U,	// PGOUT
    0U,	// PKA
    0U,	// PKU
    17632U,	// PLO
    0U,	// POPCNT
    96U,	// POPCNTOpt
    96U,	// PPA
    0U,	// PPNO
    0U,	// PR
    0U,	// PRNO
    0U,	// PT
    0U,	// PTF
    0U,	// PTFF
    0U,	// PTI
    0U,	// PTLB
    50400U,	// QADTR
    50400U,	// QAXTR
    0U,	// QCTRI
    0U,	// QSI
    0U,	// RCHP
    34096U,	// RISBG
    34096U,	// RISBG32
    34096U,	// RISBGN
    34096U,	// RISBHG
    34096U,	// RISBLG
    112U,	// RLL
    112U,	// RLLG
    34096U,	// RNSBG
    34096U,	// ROSBG
    0U,	// RP
    0U,	// RRBE
    0U,	// RRBM
    50400U,	// RRDTR
    50400U,	// RRXTR
    0U,	// RSCH
    34096U,	// RXSBG
    0U,	// S
    0U,	// SAC
    0U,	// SACF
    0U,	// SAL
    0U,	// SAM24
    0U,	// SAM31
    0U,	// SAM64
    0U,	// SAR
    0U,	// SCCTR
    0U,	// SCHM
    0U,	// SCK
    0U,	// SCKC
    0U,	// SCKPF
    0U,	// SD
    0U,	// SDB
    0U,	// SDBR
    0U,	// SDR
    0U,	// SDTR
    1024U,	// SDTRA
    0U,	// SE
    0U,	// SEB
    0U,	// SEBR
    7U,	// SELFHR
    1200U,	// SELFHRAsm
    176U,	// SELFHRAsmE
    176U,	// SELFHRAsmH
    176U,	// SELFHRAsmHE
    176U,	// SELFHRAsmL
    176U,	// SELFHRAsmLE
    176U,	// SELFHRAsmLH
    176U,	// SELFHRAsmM
    176U,	// SELFHRAsmNE
    176U,	// SELFHRAsmNH
    176U,	// SELFHRAsmNHE
    176U,	// SELFHRAsmNL
    176U,	// SELFHRAsmNLE
    176U,	// SELFHRAsmNLH
    176U,	// SELFHRAsmNM
    176U,	// SELFHRAsmNO
    176U,	// SELFHRAsmNP
    176U,	// SELFHRAsmNZ
    176U,	// SELFHRAsmO
    176U,	// SELFHRAsmP
    176U,	// SELFHRAsmZ
    7U,	// SELGR
    1200U,	// SELGRAsm
    176U,	// SELGRAsmE
    176U,	// SELGRAsmH
    176U,	// SELGRAsmHE
    176U,	// SELGRAsmL
    176U,	// SELGRAsmLE
    176U,	// SELGRAsmLH
    176U,	// SELGRAsmM
    176U,	// SELGRAsmNE
    176U,	// SELGRAsmNH
    176U,	// SELGRAsmNHE
    176U,	// SELGRAsmNL
    176U,	// SELGRAsmNLE
    176U,	// SELGRAsmNLH
    176U,	// SELGRAsmNM
    176U,	// SELGRAsmNO
    176U,	// SELGRAsmNP
    176U,	// SELGRAsmNZ
    176U,	// SELGRAsmO
    176U,	// SELGRAsmP
    176U,	// SELGRAsmZ
    7U,	// SELR
    1200U,	// SELRAsm
    176U,	// SELRAsmE
    176U,	// SELRAsmH
    176U,	// SELRAsmHE
    176U,	// SELRAsmL
    176U,	// SELRAsmLE
    176U,	// SELRAsmLH
    176U,	// SELRAsmM
    176U,	// SELRAsmNE
    176U,	// SELRAsmNH
    176U,	// SELRAsmNHE
    176U,	// SELRAsmNL
    176U,	// SELRAsmNLE
    176U,	// SELRAsmNLH
    176U,	// SELRAsmNM
    176U,	// SELRAsmNO
    176U,	// SELRAsmNP
    176U,	// SELRAsmNZ
    176U,	// SELRAsmO
    176U,	// SELRAsmP
    176U,	// SELRAsmZ
    0U,	// SER
    0U,	// SFASR
    0U,	// SFPC
    0U,	// SG
    0U,	// SGF
    0U,	// SGFR
    0U,	// SGH
    0U,	// SGR
    0U,	// SGRK
    0U,	// SH
    0U,	// SHHHR
    0U,	// SHHLR
    0U,	// SHY
    0U,	// SIE
    0U,	// SIGA
    112U,	// SIGP
    0U,	// SL
    0U,	// SLA
    112U,	// SLAG
    112U,	// SLAK
    0U,	// SLB
    0U,	// SLBG
    0U,	// SLBGR
    0U,	// SLBR
    0U,	// SLDA
    0U,	// SLDL
    288U,	// SLDT
    0U,	// SLFI
    0U,	// SLG
    0U,	// SLGF
    0U,	// SLGFI
    0U,	// SLGFR
    0U,	// SLGR
    0U,	// SLGRK
    0U,	// SLHHHR
    0U,	// SLHHLR
    0U,	// SLL
    112U,	// SLLG
    112U,	// SLLK
    0U,	// SLR
    0U,	// SLRK
    288U,	// SLXT
    0U,	// SLY
    0U,	// SORTL
    0U,	// SP
    0U,	// SPCTR
    0U,	// SPKA
    0U,	// SPM
    0U,	// SPT
    0U,	// SPX
    0U,	// SQD
    0U,	// SQDB
    0U,	// SQDBR
    0U,	// SQDR
    0U,	// SQE
    0U,	// SQEB
    0U,	// SQEBR
    0U,	// SQER
    0U,	// SQXBR
    0U,	// SQXR
    0U,	// SR
    0U,	// SRA
    112U,	// SRAG
    112U,	// SRAK
    0U,	// SRDA
    0U,	// SRDL
    288U,	// SRDT
    0U,	// SRK
    0U,	// SRL
    112U,	// SRLG
    112U,	// SRLK
    0U,	// SRNM
    0U,	// SRNMB
    0U,	// SRNMT
    320U,	// SRP
    0U,	// SRST
    0U,	// SRSTU
    288U,	// SRXT
    0U,	// SSAIR
    0U,	// SSAR
    0U,	// SSCH
    96U,	// SSKE
    0U,	// SSKEOpt
    0U,	// SSM
    0U,	// ST
    112U,	// STAM
    112U,	// STAMY
    0U,	// STAP
    0U,	// STC
    0U,	// STCH
    0U,	// STCK
    0U,	// STCKC
    0U,	// STCKE
    0U,	// STCKF
    3U,	// STCM
    3U,	// STCMH
    3U,	// STCMY
    0U,	// STCPS
    0U,	// STCRW
    112U,	// STCTG
    112U,	// STCTL
    0U,	// STCY
    0U,	// STD
    0U,	// STDY
    0U,	// STE
    0U,	// STEY
    0U,	// STFH
    0U,	// STFL
    0U,	// STFLE
    0U,	// STFPC
    0U,	// STG
    0U,	// STGRL
    0U,	// STGSC
    0U,	// STH
    0U,	// STHH
    0U,	// STHRL
    0U,	// STHY
    0U,	// STIDP
    112U,	// STM
    112U,	// STMG
    112U,	// STMH
    112U,	// STMY
    0U,	// STNSM
    0U,	// STOC
    256U,	// STOCAsm
    0U,	// STOCAsmE
    0U,	// STOCAsmH
    0U,	// STOCAsmHE
    0U,	// STOCAsmL
    0U,	// STOCAsmLE
    0U,	// STOCAsmLH
    0U,	// STOCAsmM
    0U,	// STOCAsmNE
    0U,	// STOCAsmNH
    0U,	// STOCAsmNHE
    0U,	// STOCAsmNL
    0U,	// STOCAsmNLE
    0U,	// STOCAsmNLH
    0U,	// STOCAsmNM
    0U,	// STOCAsmNO
    0U,	// STOCAsmNP
    0U,	// STOCAsmNZ
    0U,	// STOCAsmO
    0U,	// STOCAsmP
    0U,	// STOCAsmZ
    0U,	// STOCFH
    256U,	// STOCFHAsm
    0U,	// STOCFHAsmE
    0U,	// STOCFHAsmH
    0U,	// STOCFHAsmHE
    0U,	// STOCFHAsmL
    0U,	// STOCFHAsmLE
    0U,	// STOCFHAsmLH
    0U,	// STOCFHAsmM
    0U,	// STOCFHAsmNE
    0U,	// STOCFHAsmNH
    0U,	// STOCFHAsmNHE
    0U,	// STOCFHAsmNL
    0U,	// STOCFHAsmNLE
    0U,	// STOCFHAsmNLH
    0U,	// STOCFHAsmNM
    0U,	// STOCFHAsmNO
    0U,	// STOCFHAsmNP
    0U,	// STOCFHAsmNZ
    0U,	// STOCFHAsmO
    0U,	// STOCFHAsmP
    0U,	// STOCFHAsmZ
    0U,	// STOCG
    256U,	// STOCGAsm
    0U,	// STOCGAsmE
    0U,	// STOCGAsmH
    0U,	// STOCGAsmHE
    0U,	// STOCGAsmL
    0U,	// STOCGAsmLE
    0U,	// STOCGAsmLH
    0U,	// STOCGAsmM
    0U,	// STOCGAsmNE
    0U,	// STOCGAsmNH
    0U,	// STOCGAsmNHE
    0U,	// STOCGAsmNL
    0U,	// STOCGAsmNLE
    0U,	// STOCGAsmNLH
    0U,	// STOCGAsmNM
    0U,	// STOCGAsmNO
    0U,	// STOCGAsmNP
    0U,	// STOCGAsmNZ
    0U,	// STOCGAsmO
    0U,	// STOCGAsmP
    0U,	// STOCGAsmZ
    0U,	// STOSM
    0U,	// STPQ
    0U,	// STPT
    0U,	// STPX
    0U,	// STRAG
    0U,	// STRL
    0U,	// STRV
    0U,	// STRVG
    0U,	// STRVH
    0U,	// STSCH
    0U,	// STSI
    0U,	// STURA
    0U,	// STURG
    0U,	// STY
    0U,	// SU
    0U,	// SUR
    0U,	// SVC
    0U,	// SW
    0U,	// SWR
    0U,	// SXBR
    0U,	// SXR
    0U,	// SXTR
    1024U,	// SXTRA
    0U,	// SY
    0U,	// TABORT
    0U,	// TAM
    0U,	// TAR
    0U,	// TB
    82U,	// TBDR
    82U,	// TBEDR
    0U,	// TBEGIN
    0U,	// TBEGINC
    0U,	// TCDB
    0U,	// TCEB
    0U,	// TCXB
    0U,	// TDCDT
    0U,	// TDCET
    0U,	// TDCXT
    0U,	// TDGDT
    0U,	// TDGET
    0U,	// TDGXT
    0U,	// TEND
    0U,	// THDER
    0U,	// THDR
    0U,	// TM
    0U,	// TMHH
    0U,	// TMHL
    0U,	// TMLH
    0U,	// TMLL
    0U,	// TMY
    0U,	// TP
    0U,	// TPI
    0U,	// TPROT
    0U,	// TR
    112U,	// TRACE
    112U,	// TRACG
    0U,	// TRAP2
    0U,	// TRAP4
    0U,	// TRE
    208U,	// TROO
    0U,	// TROOOpt
    208U,	// TROT
    0U,	// TROTOpt
    0U,	// TRT
    0U,	// TRTE
    0U,	// TRTEOpt
    208U,	// TRTO
    0U,	// TRTOOpt
    0U,	// TRTR
    0U,	// TRTRE
    0U,	// TRTREOpt
    208U,	// TRTT
    0U,	// TRTTOpt
    0U,	// TS
    0U,	// TSCH
    0U,	// UNPK
    0U,	// UNPKA
    0U,	// UNPKU
    0U,	// UPT
    1024U,	// VA
    0U,	// VAB
    50176U,	// VAC
    1024U,	// VACC
    0U,	// VACCB
    50176U,	// VACCC
    50176U,	// VACCCQ
    0U,	// VACCF
    0U,	// VACCG
    0U,	// VACCH
    0U,	// VACCQ
    50176U,	// VACQ
    0U,	// VAF
    0U,	// VAG
    0U,	// VAH
    1024U,	// VAP
    0U,	// VAQ
    1024U,	// VAVG
    0U,	// VAVGB
    0U,	// VAVGF
    0U,	// VAVGG
    0U,	// VAVGH
    1024U,	// VAVGL
    0U,	// VAVGLB
    0U,	// VAVGLF
    0U,	// VAVGLG
    0U,	// VAVGLH
    0U,	// VBPERM
    1120U,	// VCDG
    1120U,	// VCDGB
    1120U,	// VCDLG
    1120U,	// VCDLGB
    1120U,	// VCEFB
    1120U,	// VCELFB
    1024U,	// VCEQ
    0U,	// VCEQB
    0U,	// VCEQBS
    0U,	// VCEQF
    0U,	// VCEQFS
    0U,	// VCEQG
    0U,	// VCEQGS
    0U,	// VCEQH
    0U,	// VCEQHS
    1120U,	// VCFEB
    1120U,	// VCFPL
    1120U,	// VCFPS
    1120U,	// VCGD
    1120U,	// VCGDB
    1024U,	// VCH
    0U,	// VCHB
    0U,	// VCHBS
    0U,	// VCHF
    0U,	// VCHFS
    0U,	// VCHG
    0U,	// VCHGS
    0U,	// VCHH
    0U,	// VCHHS
    1024U,	// VCHL
    0U,	// VCHLB
    0U,	// VCHLBS
    0U,	// VCHLF
    0U,	// VCHLFS
    0U,	// VCHLG
    0U,	// VCHLGS
    0U,	// VCHLH
    0U,	// VCHLHS
    0U,	// VCKSM
    1120U,	// VCLFEB
    1120U,	// VCLFP
    1120U,	// VCLGD
    1120U,	// VCLGDB
    96U,	// VCLZ
    0U,	// VCLZB
    0U,	// VCLZF
    0U,	// VCLZG
    0U,	// VCLZH
    96U,	// VCP
    1120U,	// VCSFP
    96U,	// VCTZ
    0U,	// VCTZB
    0U,	// VCTZF
    0U,	// VCTZG
    0U,	// VCTZH
    96U,	// VCVB
    96U,	// VCVBG
    1120U,	// VCVBGOpt
    1120U,	// VCVBOpt
    20816U,	// VCVD
    20816U,	// VCVDG
    1024U,	// VDP
    96U,	// VEC
    0U,	// VECB
    0U,	// VECF
    0U,	// VECG
    0U,	// VECH
    96U,	// VECL
    0U,	// VECLB
    0U,	// VECLF
    0U,	// VECLG
    0U,	// VECLH
    34016U,	// VERIM
    34016U,	// VERIMB
    34016U,	// VERIMF
    34016U,	// VERIMG
    34016U,	// VERIMH
    50288U,	// VERLL
    112U,	// VERLLB
    112U,	// VERLLF
    112U,	// VERLLG
    112U,	// VERLLH
    1024U,	// VERLLV
    0U,	// VERLLVB
    0U,	// VERLLVF
    0U,	// VERLLVG
    0U,	// VERLLVH
    50288U,	// VESL
    112U,	// VESLB
    112U,	// VESLF
    112U,	// VESLG
    112U,	// VESLH
    1024U,	// VESLV
    0U,	// VESLVB
    0U,	// VESLVF
    0U,	// VESLVG
    0U,	// VESLVH
    50288U,	// VESRA
    112U,	// VESRAB
    112U,	// VESRAF
    112U,	// VESRAG
    112U,	// VESRAH
    1024U,	// VESRAV
    0U,	// VESRAVB
    0U,	// VESRAVF
    0U,	// VESRAVG
    0U,	// VESRAVH
    50288U,	// VESRL
    112U,	// VESRLB
    112U,	// VESRLF
    112U,	// VESRLG
    112U,	// VESRLH
    1024U,	// VESRLV
    0U,	// VESRLVB
    0U,	// VESRLVF
    0U,	// VESRLVG
    0U,	// VESRLVH
    1024U,	// VFA
    0U,	// VFADB
    1024U,	// VFAE
    1024U,	// VFAEB
    1024U,	// VFAEBS
    1024U,	// VFAEF
    1024U,	// VFAEFS
    1024U,	// VFAEH
    1024U,	// VFAEHS
    1024U,	// VFAEZB
    1024U,	// VFAEZBS
    1024U,	// VFAEZF
    1024U,	// VFAEZFS
    1024U,	// VFAEZH
    1024U,	// VFAEZHS
    0U,	// VFASB
    1024U,	// VFCE
    0U,	// VFCEDB
    0U,	// VFCEDBS
    0U,	// VFCESB
    0U,	// VFCESBS
    1024U,	// VFCH
    0U,	// VFCHDB
    0U,	// VFCHDBS
    1024U,	// VFCHE
    0U,	// VFCHEDB
    0U,	// VFCHEDBS
    0U,	// VFCHESB
    0U,	// VFCHESBS
    0U,	// VFCHSB
    0U,	// VFCHSBS
    1024U,	// VFD
    0U,	// VFDDB
    0U,	// VFDSB
    1024U,	// VFEE
    1024U,	// VFEEB
    0U,	// VFEEBS
    1024U,	// VFEEF
    0U,	// VFEEFS
    1024U,	// VFEEH
    0U,	// VFEEHS
    0U,	// VFEEZB
    0U,	// VFEEZBS
    0U,	// VFEEZF
    0U,	// VFEEZFS
    0U,	// VFEEZH
    0U,	// VFEEZHS
    1024U,	// VFENE
    1024U,	// VFENEB
    0U,	// VFENEBS
    1024U,	// VFENEF
    0U,	// VFENEFS
    1024U,	// VFENEH
    0U,	// VFENEHS
    0U,	// VFENEZB
    0U,	// VFENEZBS
    0U,	// VFENEZF
    0U,	// VFENEZFS
    0U,	// VFENEZH
    0U,	// VFENEZHS
    1120U,	// VFI
    1120U,	// VFIDB
    1120U,	// VFISB
    0U,	// VFKEDB
    0U,	// VFKEDBS
    0U,	// VFKESB
    0U,	// VFKESBS
    0U,	// VFKHDB
    0U,	// VFKHDBS
    0U,	// VFKHEDB
    0U,	// VFKHEDBS
    0U,	// VFKHESB
    0U,	// VFKHESBS
    0U,	// VFKHSB
    0U,	// VFKHSBS
    0U,	// VFLCDB
    0U,	// VFLCSB
    1120U,	// VFLL
    0U,	// VFLLS
    0U,	// VFLNDB
    0U,	// VFLNSB
    0U,	// VFLPDB
    0U,	// VFLPSB
    1120U,	// VFLR
    1120U,	// VFLRD
    1024U,	// VFM
    50176U,	// VFMA
    50176U,	// VFMADB
    50176U,	// VFMASB
    1024U,	// VFMAX
    1024U,	// VFMAXDB
    1024U,	// VFMAXSB
    0U,	// VFMDB
    1024U,	// VFMIN
    1024U,	// VFMINDB
    1024U,	// VFMINSB
    50176U,	// VFMS
    0U,	// VFMSB
    50176U,	// VFMSDB
    50176U,	// VFMSSB
    50176U,	// VFNMA
    50176U,	// VFNMADB
    50176U,	// VFNMASB
    50176U,	// VFNMS
    50176U,	// VFNMSDB
    50176U,	// VFNMSSB
    1120U,	// VFPSO
    96U,	// VFPSODB
    96U,	// VFPSOSB
    1024U,	// VFS
    0U,	// VFSDB
    1120U,	// VFSQ
    0U,	// VFSQDB
    0U,	// VFSQSB
    0U,	// VFSSB
    1376U,	// VFTCI
    352U,	// VFTCIDB
    352U,	// VFTCISB
    0U,	// VGBM
    8U,	// VGEF
    8U,	// VGEG
    1024U,	// VGFM
    50176U,	// VGFMA
    50176U,	// VGFMAB
    50176U,	// VGFMAF
    50176U,	// VGFMAG
    50176U,	// VGFMAH
    0U,	// VGFMB
    0U,	// VGFMF
    0U,	// VGFMG
    0U,	// VGFMH
    73U,	// VGM
    89U,	// VGMB
    89U,	// VGMF
    89U,	// VGMG
    89U,	// VGMH
    1120U,	// VISTR
    96U,	// VISTRB
    0U,	// VISTRBS
    96U,	// VISTRF
    0U,	// VISTRFS
    96U,	// VISTRH
    0U,	// VISTRHS
    0U,	// VL
    208U,	// VLAlign
    208U,	// VLBB
    208U,	// VLBR
    0U,	// VLBRF
    0U,	// VLBRG
    0U,	// VLBRH
    0U,	// VLBRQ
    208U,	// VLBRREP
    0U,	// VLBRREPF
    0U,	// VLBRREPG
    0U,	// VLBRREPH
    96U,	// VLC
    0U,	// VLCB
    0U,	// VLCF
    0U,	// VLCG
    0U,	// VLCH
    1120U,	// VLDE
    0U,	// VLDEB
    320U,	// VLEB
    368U,	// VLEBRF
    384U,	// VLEBRG
    400U,	// VLEBRH
    1120U,	// VLED
    1120U,	// VLEDB
    368U,	// VLEF
    384U,	// VLEG
    400U,	// VLEH
    256U,	// VLEIB
    416U,	// VLEIF
    432U,	// VLEIG
    448U,	// VLEIH
    208U,	// VLER
    0U,	// VLERF
    0U,	// VLERG
    0U,	// VLERH
    50288U,	// VLGV
    112U,	// VLGVB
    112U,	// VLGVF
    112U,	// VLGVG
    112U,	// VLGVH
    96U,	// VLIP
    112U,	// VLL
    208U,	// VLLEBRZ
    0U,	// VLLEBRZE
    0U,	// VLLEBRZF
    0U,	// VLLEBRZG
    0U,	// VLLEBRZH
    208U,	// VLLEZ
    0U,	// VLLEZB
    0U,	// VLLEZF
    0U,	// VLLEZG
    0U,	// VLLEZH
    0U,	// VLLEZLF
    112U,	// VLM
    50288U,	// VLMAlign
    96U,	// VLP
    0U,	// VLPB
    0U,	// VLPF
    0U,	// VLPG
    0U,	// VLPH
    0U,	// VLR
    208U,	// VLREP
    0U,	// VLREPB
    0U,	// VLREPF
    0U,	// VLREPG
    0U,	// VLREPH
    304U,	// VLRL
    112U,	// VLRLR
    33840U,	// VLVG
    48U,	// VLVGB
    48U,	// VLVGF
    48U,	// VLVGG
    48U,	// VLVGH
    0U,	// VLVGP
    50176U,	// VMAE
    50176U,	// VMAEB
    50176U,	// VMAEF
    50176U,	// VMAEH
    50176U,	// VMAH
    50176U,	// VMAHB
    50176U,	// VMAHF
    50176U,	// VMAHH
    50176U,	// VMAL
    50176U,	// VMALB
    50176U,	// VMALE
    50176U,	// VMALEB
    50176U,	// VMALEF
    50176U,	// VMALEH
    50176U,	// VMALF
    50176U,	// VMALH
    50176U,	// VMALHB
    50176U,	// VMALHF
    50176U,	// VMALHH
    50176U,	// VMALHW
    50176U,	// VMALO
    50176U,	// VMALOB
    50176U,	// VMALOF
    50176U,	// VMALOH
    50176U,	// VMAO
    50176U,	// VMAOB
    50176U,	// VMAOF
    50176U,	// VMAOH
    1024U,	// VME
    0U,	// VMEB
    0U,	// VMEF
    0U,	// VMEH
    1024U,	// VMH
    0U,	// VMHB
    0U,	// VMHF
    0U,	// VMHH
    1024U,	// VML
    0U,	// VMLB
    1024U,	// VMLE
    0U,	// VMLEB
    0U,	// VMLEF
    0U,	// VMLEH
    0U,	// VMLF
    1024U,	// VMLH
    0U,	// VMLHB
    0U,	// VMLHF
    0U,	// VMLHH
    0U,	// VMLHW
    1024U,	// VMLO
    0U,	// VMLOB
    0U,	// VMLOF
    0U,	// VMLOH
    1024U,	// VMN
    0U,	// VMNB
    0U,	// VMNF
    0U,	// VMNG
    0U,	// VMNH
    1024U,	// VMNL
    0U,	// VMNLB
    0U,	// VMNLF
    0U,	// VMNLG
    0U,	// VMNLH
    1024U,	// VMO
    0U,	// VMOB
    0U,	// VMOF
    0U,	// VMOH
    1024U,	// VMP
    1024U,	// VMRH
    0U,	// VMRHB
    0U,	// VMRHF
    0U,	// VMRHG
    0U,	// VMRHH
    1024U,	// VMRL
    0U,	// VMRLB
    0U,	// VMRLF
    0U,	// VMRLG
    0U,	// VMRLH
    50176U,	// VMSL
    50176U,	// VMSLG
    1024U,	// VMSP
    1024U,	// VMX
    0U,	// VMXB
    0U,	// VMXF
    0U,	// VMXG
    0U,	// VMXH
    1024U,	// VMXL
    0U,	// VMXLB
    0U,	// VMXLF
    0U,	// VMXLG
    0U,	// VMXLH
    0U,	// VN
    0U,	// VNC
    0U,	// VNN
    0U,	// VNO
    0U,	// VNX
    0U,	// VO
    0U,	// VOC
    0U,	// VONE
    1024U,	// VPDI
    50176U,	// VPERM
    1024U,	// VPK
    0U,	// VPKF
    0U,	// VPKG
    0U,	// VPKH
    1024U,	// VPKLS
    0U,	// VPKLSF
    0U,	// VPKLSFS
    0U,	// VPKLSG
    0U,	// VPKLSGS
    0U,	// VPKLSH
    0U,	// VPKLSHS
    1024U,	// VPKS
    0U,	// VPKSF
    0U,	// VPKSFS
    0U,	// VPKSG
    0U,	// VPKSGS
    0U,	// VPKSH
    0U,	// VPKSHS
    304U,	// VPKZ
    96U,	// VPOPCT
    0U,	// VPOPCTB
    0U,	// VPOPCTF
    0U,	// VPOPCTG
    0U,	// VPOPCTH
    8528U,	// VPSOP
    1488U,	// VREP
    464U,	// VREPB
    464U,	// VREPF
    464U,	// VREPG
    464U,	// VREPH
    96U,	// VREPI
    0U,	// VREPIB
    0U,	// VREPIF
    0U,	// VREPIG
    0U,	// VREPIH
    1024U,	// VRP
    1024U,	// VS
    0U,	// VSB
    50176U,	// VSBCBI
    50176U,	// VSBCBIQ
    50176U,	// VSBI
    50176U,	// VSBIQ
    1024U,	// VSCBI
    0U,	// VSCBIB
    0U,	// VSCBIF
    0U,	// VSCBIG
    0U,	// VSCBIH
    0U,	// VSCBIQ
    9U,	// VSCEF
    10U,	// VSCEG
    1024U,	// VSDP
    96U,	// VSEG
    0U,	// VSEGB
    0U,	// VSEGF
    0U,	// VSEGH
    50176U,	// VSEL
    0U,	// VSF
    0U,	// VSG
    0U,	// VSH
    0U,	// VSL
    0U,	// VSLB
    1024U,	// VSLD
    1024U,	// VSLDB
    1024U,	// VSP
    0U,	// VSQ
    0U,	// VSRA
    0U,	// VSRAB
    1024U,	// VSRD
    0U,	// VSRL
    0U,	// VSRLB
    8528U,	// VSRP
    0U,	// VST
    208U,	// VSTAlign
    208U,	// VSTBR
    0U,	// VSTBRF
    0U,	// VSTBRG
    0U,	// VSTBRH
    0U,	// VSTBRQ
    208U,	// VSTEB
    480U,	// VSTEBRF
    496U,	// VSTEBRG
    512U,	// VSTEBRH
    480U,	// VSTEF
    496U,	// VSTEG
    512U,	// VSTEH
    208U,	// VSTER
    0U,	// VSTERF
    0U,	// VSTERG
    0U,	// VSTERH
    112U,	// VSTL
    112U,	// VSTM
    50288U,	// VSTMAlign
    50176U,	// VSTRC
    50176U,	// VSTRCB
    50176U,	// VSTRCBS
    50176U,	// VSTRCF
    50176U,	// VSTRCFS
    50176U,	// VSTRCH
    50176U,	// VSTRCHS
    50176U,	// VSTRCZB
    50176U,	// VSTRCZBS
    50176U,	// VSTRCZF
    50176U,	// VSTRCZFS
    50176U,	// VSTRCZH
    50176U,	// VSTRCZHS
    304U,	// VSTRL
    112U,	// VSTRLR
    50176U,	// VSTRS
    50176U,	// VSTRSB
    50176U,	// VSTRSF
    50176U,	// VSTRSH
    50176U,	// VSTRSZB
    50176U,	// VSTRSZF
    50176U,	// VSTRSZH
    1024U,	// VSUM
    0U,	// VSUMB
    1024U,	// VSUMG
    0U,	// VSUMGF
    0U,	// VSUMGH
    0U,	// VSUMH
    1024U,	// VSUMQ
    0U,	// VSUMQF
    0U,	// VSUMQG
    0U,	// VTM
    0U,	// VTP
    96U,	// VUPH
    0U,	// VUPHB
    0U,	// VUPHF
    0U,	// VUPHH
    304U,	// VUPKZ
    96U,	// VUPL
    0U,	// VUPLB
    0U,	// VUPLF
    96U,	// VUPLH
    0U,	// VUPLHB
    0U,	// VUPLHF
    0U,	// VUPLHH
    0U,	// VUPLHW
    96U,	// VUPLL
    0U,	// VUPLLB
    0U,	// VUPLLF
    0U,	// VUPLLH
    0U,	// VX
    0U,	// VZERO
    1120U,	// WCDGB
    1120U,	// WCDLGB
    1120U,	// WCEFB
    1120U,	// WCELFB
    1120U,	// WCFEB
    1120U,	// WCGDB
    1120U,	// WCLFEB
    1120U,	// WCLGDB
    0U,	// WFADB
    0U,	// WFASB
    0U,	// WFAXB
    1120U,	// WFC
    0U,	// WFCDB
    0U,	// WFCEDB
    0U,	// WFCEDBS
    0U,	// WFCESB
    0U,	// WFCESBS
    0U,	// WFCEXB
    0U,	// WFCEXBS
    0U,	// WFCHDB
    0U,	// WFCHDBS
    0U,	// WFCHEDB
    0U,	// WFCHEDBS
    0U,	// WFCHESB
    0U,	// WFCHESBS
    0U,	// WFCHEXB
    0U,	// WFCHEXBS
    0U,	// WFCHSB
    0U,	// WFCHSBS
    0U,	// WFCHXB
    0U,	// WFCHXBS
    0U,	// WFCSB
    0U,	// WFCXB
    0U,	// WFDDB
    0U,	// WFDSB
    0U,	// WFDXB
    1120U,	// WFIDB
    1120U,	// WFISB
    1120U,	// WFIXB
    1120U,	// WFK
    0U,	// WFKDB
    0U,	// WFKEDB
    0U,	// WFKEDBS
    0U,	// WFKESB
    0U,	// WFKESBS
    0U,	// WFKEXB
    0U,	// WFKEXBS
    0U,	// WFKHDB
    0U,	// WFKHDBS
    0U,	// WFKHEDB
    0U,	// WFKHEDBS
    0U,	// WFKHESB
    0U,	// WFKHESBS
    0U,	// WFKHEXB
    0U,	// WFKHEXBS
    0U,	// WFKHSB
    0U,	// WFKHSBS
    0U,	// WFKHXB
    0U,	// WFKHXBS
    0U,	// WFKSB
    0U,	// WFKXB
    0U,	// WFLCDB
    0U,	// WFLCSB
    0U,	// WFLCXB
    0U,	// WFLLD
    0U,	// WFLLS
    0U,	// WFLNDB
    0U,	// WFLNSB
    0U,	// WFLNXB
    0U,	// WFLPDB
    0U,	// WFLPSB
    0U,	// WFLPXB
    1120U,	// WFLRD
    1120U,	// WFLRX
    50176U,	// WFMADB
    50176U,	// WFMASB
    50176U,	// WFMAXB
    1024U,	// WFMAXDB
    1024U,	// WFMAXSB
    1024U,	// WFMAXXB
    0U,	// WFMDB
    1024U,	// WFMINDB
    1024U,	// WFMINSB
    1024U,	// WFMINXB
    0U,	// WFMSB
    50176U,	// WFMSDB
    50176U,	// WFMSSB
    50176U,	// WFMSXB
    0U,	// WFMXB
    50176U,	// WFNMADB
    50176U,	// WFNMASB
    50176U,	// WFNMAXB
    50176U,	// WFNMSDB
    50176U,	// WFNMSSB
    50176U,	// WFNMSXB
    96U,	// WFPSODB
    96U,	// WFPSOSB
    96U,	// WFPSOXB
    0U,	// WFSDB
    0U,	// WFSQDB
    0U,	// WFSQSB
    0U,	// WFSQXB
    0U,	// WFSSB
    0U,	// WFSXB
    352U,	// WFTCIDB
    352U,	// WFTCISB
    352U,	// WFTCIXB
    0U,	// WLDEB
    1120U,	// WLEDB
    0U,	// X
    0U,	// XC
    0U,	// XG
    0U,	// XGR
    0U,	// XGRK
    0U,	// XI
    0U,	// XIHF
    0U,	// XILF
    0U,	// XIY
    0U,	// XR
    0U,	// XRK
    0U,	// XSCH
    0U,	// XY
    0U,	// ZAP
  };

  static const uint8_t OpInfo2[] = {
    0U,	// PHI
    0U,	// INLINEASM
    0U,	// INLINEASM_BR
    0U,	// CFI_INSTRUCTION
    0U,	// EH_LABEL
    0U,	// GC_LABEL
    0U,	// ANNOTATION_LABEL
    0U,	// KILL
    0U,	// EXTRACT_SUBREG
    0U,	// INSERT_SUBREG
    0U,	// IMPLICIT_DEF
    0U,	// SUBREG_TO_REG
    0U,	// COPY_TO_REGCLASS
    0U,	// DBG_VALUE
    0U,	// DBG_LABEL
    0U,	// REG_SEQUENCE
    0U,	// COPY
    0U,	// BUNDLE
    0U,	// LIFETIME_START
    0U,	// LIFETIME_END
    0U,	// STACKMAP
    0U,	// FENTRY_CALL
    0U,	// PATCHPOINT
    0U,	// LOAD_STACK_GUARD
    0U,	// STATEPOINT
    0U,	// LOCAL_ESCAPE
    0U,	// FAULTING_OP
    0U,	// PATCHABLE_OP
    0U,	// PATCHABLE_FUNCTION_ENTER
    0U,	// PATCHABLE_RET
    0U,	// PATCHABLE_FUNCTION_EXIT
    0U,	// PATCHABLE_TAIL_CALL
    0U,	// PATCHABLE_EVENT_CALL
    0U,	// PATCHABLE_TYPED_EVENT_CALL
    0U,	// ICALL_BRANCH_FUNNEL
    0U,	// G_ADD
    0U,	// G_SUB
    0U,	// G_MUL
    0U,	// G_SDIV
    0U,	// G_UDIV
    0U,	// G_SREM
    0U,	// G_UREM
    0U,	// G_AND
    0U,	// G_OR
    0U,	// G_XOR
    0U,	// G_IMPLICIT_DEF
    0U,	// G_PHI
    0U,	// G_FRAME_INDEX
    0U,	// G_GLOBAL_VALUE
    0U,	// G_EXTRACT
    0U,	// G_UNMERGE_VALUES
    0U,	// G_INSERT
    0U,	// G_MERGE_VALUES
    0U,	// G_BUILD_VECTOR
    0U,	// G_BUILD_VECTOR_TRUNC
    0U,	// G_CONCAT_VECTORS
    0U,	// G_PTRTOINT
    0U,	// G_INTTOPTR
    0U,	// G_BITCAST
    0U,	// G_INTRINSIC_TRUNC
    0U,	// G_INTRINSIC_ROUND
    0U,	// G_LOAD
    0U,	// G_SEXTLOAD
    0U,	// G_ZEXTLOAD
    0U,	// G_INDEXED_LOAD
    0U,	// G_INDEXED_SEXTLOAD
    0U,	// G_INDEXED_ZEXTLOAD
    0U,	// G_STORE
    0U,	// G_INDEXED_STORE
    0U,	// G_ATOMIC_CMPXCHG_WITH_SUCCESS
    0U,	// G_ATOMIC_CMPXCHG
    0U,	// G_ATOMICRMW_XCHG
    0U,	// G_ATOMICRMW_ADD
    0U,	// G_ATOMICRMW_SUB
    0U,	// G_ATOMICRMW_AND
    0U,	// G_ATOMICRMW_NAND
    0U,	// G_ATOMICRMW_OR
    0U,	// G_ATOMICRMW_XOR
    0U,	// G_ATOMICRMW_MAX
    0U,	// G_ATOMICRMW_MIN
    0U,	// G_ATOMICRMW_UMAX
    0U,	// G_ATOMICRMW_UMIN
    0U,	// G_ATOMICRMW_FADD
    0U,	// G_ATOMICRMW_FSUB
    0U,	// G_FENCE
    0U,	// G_BRCOND
    0U,	// G_BRINDIRECT
    0U,	// G_INTRINSIC
    0U,	// G_INTRINSIC_W_SIDE_EFFECTS
    0U,	// G_ANYEXT
    0U,	// G_TRUNC
    0U,	// G_CONSTANT
    0U,	// G_FCONSTANT
    0U,	// G_VASTART
    0U,	// G_VAARG
    0U,	// G_SEXT
    0U,	// G_SEXT_INREG
    0U,	// G_ZEXT
    0U,	// G_SHL
    0U,	// G_LSHR
    0U,	// G_ASHR
    0U,	// G_ICMP
    0U,	// G_FCMP
    0U,	// G_SELECT
    0U,	// G_UADDO
    0U,	// G_UADDE
    0U,	// G_USUBO
    0U,	// G_USUBE
    0U,	// G_SADDO
    0U,	// G_SADDE
    0U,	// G_SSUBO
    0U,	// G_SSUBE
    0U,	// G_UMULO
    0U,	// G_SMULO
    0U,	// G_UMULH
    0U,	// G_SMULH
    0U,	// G_FADD
    0U,	// G_FSUB
    0U,	// G_FMUL
    0U,	// G_FMA
    0U,	// G_FMAD
    0U,	// G_FDIV
    0U,	// G_FREM
    0U,	// G_FPOW
    0U,	// G_FEXP
    0U,	// G_FEXP2
    0U,	// G_FLOG
    0U,	// G_FLOG2
    0U,	// G_FLOG10
    0U,	// G_FNEG
    0U,	// G_FPEXT
    0U,	// G_FPTRUNC
    0U,	// G_FPTOSI
    0U,	// G_FPTOUI
    0U,	// G_SITOFP
    0U,	// G_UITOFP
    0U,	// G_FABS
    0U,	// G_FCOPYSIGN
    0U,	// G_FCANONICALIZE
    0U,	// G_FMINNUM
    0U,	// G_FMAXNUM
    0U,	// G_FMINNUM_IEEE
    0U,	// G_FMAXNUM_IEEE
    0U,	// G_FMINIMUM
    0U,	// G_FMAXIMUM
    0U,	// G_GEP
    0U,	// G_PTR_MASK
    0U,	// G_SMIN
    0U,	// G_SMAX
    0U,	// G_UMIN
    0U,	// G_UMAX
    0U,	// G_BR
    0U,	// G_BRJT
    0U,	// G_INSERT_VECTOR_ELT
    0U,	// G_EXTRACT_VECTOR_ELT
    0U,	// G_SHUFFLE_VECTOR
    0U,	// G_CTTZ
    0U,	// G_CTTZ_ZERO_UNDEF
    0U,	// G_CTLZ
    0U,	// G_CTLZ_ZERO_UNDEF
    0U,	// G_CTPOP
    0U,	// G_BSWAP
    0U,	// G_BITREVERSE
    0U,	// G_FCEIL
    0U,	// G_FCOS
    0U,	// G_FSIN
    0U,	// G_FSQRT
    0U,	// G_FFLOOR
    0U,	// G_FRINT
    0U,	// G_FNEARBYINT
    0U,	// G_ADDRSPACE_CAST
    0U,	// G_BLOCK_ADDR
    0U,	// G_JUMP_TABLE
    0U,	// G_DYN_STACKALLOC
    0U,	// ADJCALLSTACKDOWN
    0U,	// ADJCALLSTACKUP
    0U,	// ADJDYNALLOC
    0U,	// AEXT128
    0U,	// AFIMux
    0U,	// AG_MemFoldPseudo
    0U,	// AHIMux
    0U,	// AHIMuxK
    0U,	// ALG_MemFoldPseudo
    0U,	// AL_MemFoldPseudo
    0U,	// ATOMIC_CMP_SWAPW
    0U,	// ATOMIC_LOADW_AFI
    0U,	// ATOMIC_LOADW_AR
    0U,	// ATOMIC_LOADW_MAX
    0U,	// ATOMIC_LOADW_MIN
    0U,	// ATOMIC_LOADW_NILH
    0U,	// ATOMIC_LOADW_NILHi
    0U,	// ATOMIC_LOADW_NR
    0U,	// ATOMIC_LOADW_NRi
    0U,	// ATOMIC_LOADW_OILH
    0U,	// ATOMIC_LOADW_OR
    0U,	// ATOMIC_LOADW_SR
    0U,	// ATOMIC_LOADW_UMAX
    0U,	// ATOMIC_LOADW_UMIN
    0U,	// ATOMIC_LOADW_XILF
    0U,	// ATOMIC_LOADW_XR
    0U,	// ATOMIC_LOAD_AFI
    0U,	// ATOMIC_LOAD_AGFI
    0U,	// ATOMIC_LOAD_AGHI
    0U,	// ATOMIC_LOAD_AGR
    0U,	// ATOMIC_LOAD_AHI
    0U,	// ATOMIC_LOAD_AR
    0U,	// ATOMIC_LOAD_MAX_32
    0U,	// ATOMIC_LOAD_MAX_64
    0U,	// ATOMIC_LOAD_MIN_32
    0U,	// ATOMIC_LOAD_MIN_64
    0U,	// ATOMIC_LOAD_NGR
    0U,	// ATOMIC_LOAD_NGRi
    0U,	// ATOMIC_LOAD_NIHF64
    0U,	// ATOMIC_LOAD_NIHF64i
    0U,	// ATOMIC_LOAD_NIHH64
    0U,	// ATOMIC_LOAD_NIHH64i
    0U,	// ATOMIC_LOAD_NIHL64
    0U,	// ATOMIC_LOAD_NIHL64i
    0U,	// ATOMIC_LOAD_NILF
    0U,	// ATOMIC_LOAD_NILF64
    0U,	// ATOMIC_LOAD_NILF64i
    0U,	// ATOMIC_LOAD_NILFi
    0U,	// ATOMIC_LOAD_NILH
    0U,	// ATOMIC_LOAD_NILH64
    0U,	// ATOMIC_LOAD_NILH64i
    0U,	// ATOMIC_LOAD_NILHi
    0U,	// ATOMIC_LOAD_NILL
    0U,	// ATOMIC_LOAD_NILL64
    0U,	// ATOMIC_LOAD_NILL64i
    0U,	// ATOMIC_LOAD_NILLi
    0U,	// ATOMIC_LOAD_NR
    0U,	// ATOMIC_LOAD_NRi
    0U,	// ATOMIC_LOAD_OGR
    0U,	// ATOMIC_LOAD_OIHF64
    0U,	// ATOMIC_LOAD_OIHH64
    0U,	// ATOMIC_LOAD_OIHL64
    0U,	// ATOMIC_LOAD_OILF
    0U,	// ATOMIC_LOAD_OILF64
    0U,	// ATOMIC_LOAD_OILH
    0U,	// ATOMIC_LOAD_OILH64
    0U,	// ATOMIC_LOAD_OILL
    0U,	// ATOMIC_LOAD_OILL64
    0U,	// ATOMIC_LOAD_OR
    0U,	// ATOMIC_LOAD_SGR
    0U,	// ATOMIC_LOAD_SR
    0U,	// ATOMIC_LOAD_UMAX_32
    0U,	// ATOMIC_LOAD_UMAX_64
    0U,	// ATOMIC_LOAD_UMIN_32
    0U,	// ATOMIC_LOAD_UMIN_64
    0U,	// ATOMIC_LOAD_XGR
    0U,	// ATOMIC_LOAD_XIHF64
    0U,	// ATOMIC_LOAD_XILF
    0U,	// ATOMIC_LOAD_XILF64
    0U,	// ATOMIC_LOAD_XR
    0U,	// ATOMIC_SWAPW
    0U,	// ATOMIC_SWAP_32
    0U,	// ATOMIC_SWAP_64
    0U,	// A_MemFoldPseudo
    0U,	// CFIMux
    0U,	// CGIBCall
    0U,	// CGIBReturn
    0U,	// CGRBCall
    0U,	// CGRBReturn
    0U,	// CHIMux
    0U,	// CIBCall
    0U,	// CIBReturn
    0U,	// CLCLoop
    0U,	// CLCSequence
    0U,	// CLFIMux
    0U,	// CLGIBCall
    0U,	// CLGIBReturn
    0U,	// CLGRBCall
    0U,	// CLGRBReturn
    0U,	// CLIBCall
    0U,	// CLIBReturn
    0U,	// CLMux
    0U,	// CLRBCall
    0U,	// CLRBReturn
    0U,	// CLSTLoop
    0U,	// CMux
    0U,	// CRBCall
    0U,	// CRBReturn
    0U,	// CallBASR
    0U,	// CallBCR
    0U,	// CallBR
    0U,	// CallBRASL
    0U,	// CallBRCL
    0U,	// CallJG
    0U,	// CondReturn
    0U,	// CondStore16
    0U,	// CondStore16Inv
    0U,	// CondStore16Mux
    0U,	// CondStore16MuxInv
    0U,	// CondStore32
    0U,	// CondStore32Inv
    0U,	// CondStore32Mux
    0U,	// CondStore32MuxInv
    0U,	// CondStore64
    0U,	// CondStore64Inv
    0U,	// CondStore8
    0U,	// CondStore8Inv
    0U,	// CondStore8Mux
    0U,	// CondStore8MuxInv
    0U,	// CondStoreF32
    0U,	// CondStoreF32Inv
    0U,	// CondStoreF64
    0U,	// CondStoreF64Inv
    0U,	// CondTrap
    0U,	// GOT
    0U,	// IIFMux
    0U,	// IIHF64
    0U,	// IIHH64
    0U,	// IIHL64
    0U,	// IIHMux
    0U,	// IILF64
    0U,	// IILH64
    0U,	// IILL64
    0U,	// IILMux
    0U,	// L128
    0U,	// LBMux
    0U,	// LEFR
    0U,	// LFER
    0U,	// LHIMux
    0U,	// LHMux
    0U,	// LLCMux
    0U,	// LLCRMux
    0U,	// LLHMux
    0U,	// LLHRMux
    0U,	// LMux
    0U,	// LOCHIMux
    0U,	// LOCMux
    0U,	// LOCRMux
    0U,	// LTDBRCompare_VecPseudo
    0U,	// LTEBRCompare_VecPseudo
    0U,	// LTXBRCompare_VecPseudo
    0U,	// LX
    0U,	// MVCLoop
    0U,	// MVCSequence
    0U,	// MVSTLoop
    0U,	// MemBarrier
    0U,	// NCLoop
    0U,	// NCSequence
    0U,	// NG_MemFoldPseudo
    0U,	// NIFMux
    0U,	// NIHF64
    0U,	// NIHH64
    0U,	// NIHL64
    0U,	// NIHMux
    0U,	// NILF64
    0U,	// NILH64
    0U,	// NILL64
    0U,	// NILMux
    0U,	// N_MemFoldPseudo
    0U,	// OCLoop
    0U,	// OCSequence
    0U,	// OG_MemFoldPseudo
    0U,	// OIFMux
    0U,	// OIHF64
    0U,	// OIHH64
    0U,	// OIHL64
    0U,	// OIHMux
    0U,	// OILF64
    0U,	// OILH64
    0U,	// OILL64
    0U,	// OILMux
    0U,	// O_MemFoldPseudo
    0U,	// PAIR128
    0U,	// RISBHH
    0U,	// RISBHL
    0U,	// RISBLH
    0U,	// RISBLL
    0U,	// RISBMux
    0U,	// Return
    0U,	// SELRMux
    0U,	// SG_MemFoldPseudo
    0U,	// SLG_MemFoldPseudo
    0U,	// SL_MemFoldPseudo
    0U,	// SRSTLoop
    0U,	// ST128
    0U,	// STCMux
    0U,	// STHMux
    0U,	// STMux
    0U,	// STOCMux
    0U,	// STX
    0U,	// S_MemFoldPseudo
    0U,	// Select32
    0U,	// Select64
    0U,	// SelectF128
    0U,	// SelectF32
    0U,	// SelectF64
    0U,	// SelectVR128
    0U,	// SelectVR32
    0U,	// SelectVR64
    0U,	// Serialize
    0U,	// TBEGIN_nofloat
    0U,	// TLS_GDCALL
    0U,	// TLS_LDCALL
    0U,	// TMHH64
    0U,	// TMHL64
    0U,	// TMHMux
    0U,	// TMLH64
    0U,	// TMLL64
    0U,	// TMLMux
    0U,	// Trap
    0U,	// VL32
    0U,	// VL64
    0U,	// VLR32
    0U,	// VLR64
    0U,	// VLVGP32
    0U,	// VST32
    0U,	// VST64
    0U,	// XCLoop
    0U,	// XCSequence
    0U,	// XG_MemFoldPseudo
    0U,	// XIFMux
    0U,	// XIHF64
    0U,	// XILF64
    0U,	// X_MemFoldPseudo
    0U,	// ZEXT128
    0U,	// A
    0U,	// AD
    0U,	// ADB
    0U,	// ADBR
    0U,	// ADR
    0U,	// ADTR
    0U,	// ADTRA
    0U,	// AE
    0U,	// AEB
    0U,	// AEBR
    0U,	// AER
    0U,	// AFI
    0U,	// AG
    0U,	// AGF
    0U,	// AGFI
    0U,	// AGFR
    0U,	// AGH
    0U,	// AGHI
    0U,	// AGHIK
    0U,	// AGR
    0U,	// AGRK
    0U,	// AGSI
    0U,	// AH
    0U,	// AHHHR
    0U,	// AHHLR
    0U,	// AHI
    0U,	// AHIK
    0U,	// AHY
    0U,	// AIH
    0U,	// AL
    0U,	// ALC
    0U,	// ALCG
    0U,	// ALCGR
    0U,	// ALCR
    0U,	// ALFI
    0U,	// ALG
    0U,	// ALGF
    0U,	// ALGFI
    0U,	// ALGFR
    0U,	// ALGHSIK
    0U,	// ALGR
    0U,	// ALGRK
    0U,	// ALGSI
    0U,	// ALHHHR
    0U,	// ALHHLR
    0U,	// ALHSIK
    0U,	// ALR
    0U,	// ALRK
    0U,	// ALSI
    0U,	// ALSIH
    0U,	// ALSIHN
    0U,	// ALY
    0U,	// AP
    0U,	// AR
    0U,	// ARK
    0U,	// ASI
    0U,	// AU
    0U,	// AUR
    0U,	// AW
    0U,	// AWR
    0U,	// AXBR
    0U,	// AXR
    0U,	// AXTR
    0U,	// AXTRA
    0U,	// AY
    0U,	// B
    0U,	// BAKR
    0U,	// BAL
    0U,	// BALR
    0U,	// BAS
    0U,	// BASR
    0U,	// BASSM
    0U,	// BAsmE
    0U,	// BAsmH
    0U,	// BAsmHE
    0U,	// BAsmL
    0U,	// BAsmLE
    0U,	// BAsmLH
    0U,	// BAsmM
    0U,	// BAsmNE
    0U,	// BAsmNH
    0U,	// BAsmNHE
    0U,	// BAsmNL
    0U,	// BAsmNLE
    0U,	// BAsmNLH
    0U,	// BAsmNM
    0U,	// BAsmNO
    0U,	// BAsmNP
    0U,	// BAsmNZ
    0U,	// BAsmO
    0U,	// BAsmP
    0U,	// BAsmZ
    0U,	// BC
    0U,	// BCAsm
    0U,	// BCR
    0U,	// BCRAsm
    0U,	// BCT
    0U,	// BCTG
    0U,	// BCTGR
    0U,	// BCTR
    0U,	// BI
    0U,	// BIAsmE
    0U,	// BIAsmH
    0U,	// BIAsmHE
    0U,	// BIAsmL
    0U,	// BIAsmLE
    0U,	// BIAsmLH
    0U,	// BIAsmM
    0U,	// BIAsmNE
    0U,	// BIAsmNH
    0U,	// BIAsmNHE
    0U,	// BIAsmNL
    0U,	// BIAsmNLE
    0U,	// BIAsmNLH
    0U,	// BIAsmNM
    0U,	// BIAsmNO
    0U,	// BIAsmNP
    0U,	// BIAsmNZ
    0U,	// BIAsmO
    0U,	// BIAsmP
    0U,	// BIAsmZ
    0U,	// BIC
    0U,	// BICAsm
    0U,	// BPP
    0U,	// BPRP
    0U,	// BR
    0U,	// BRAS
    0U,	// BRASL
    0U,	// BRAsmE
    0U,	// BRAsmH
    0U,	// BRAsmHE
    0U,	// BRAsmL
    0U,	// BRAsmLE
    0U,	// BRAsmLH
    0U,	// BRAsmM
    0U,	// BRAsmNE
    0U,	// BRAsmNH
    0U,	// BRAsmNHE
    0U,	// BRAsmNL
    0U,	// BRAsmNLE
    0U,	// BRAsmNLH
    0U,	// BRAsmNM
    0U,	// BRAsmNO
    0U,	// BRAsmNP
    0U,	// BRAsmNZ
    0U,	// BRAsmO
    0U,	// BRAsmP
    0U,	// BRAsmZ
    0U,	// BRC
    0U,	// BRCAsm
    0U,	// BRCL
    0U,	// BRCLAsm
    0U,	// BRCT
    0U,	// BRCTG
    0U,	// BRCTH
    0U,	// BRXH
    0U,	// BRXHG
    0U,	// BRXLE
    0U,	// BRXLG
    0U,	// BSA
    0U,	// BSG
    0U,	// BSM
    0U,	// BXH
    0U,	// BXHG
    0U,	// BXLE
    0U,	// BXLEG
    0U,	// C
    0U,	// CD
    0U,	// CDB
    0U,	// CDBR
    0U,	// CDFBR
    0U,	// CDFBRA
    0U,	// CDFR
    0U,	// CDFTR
    0U,	// CDGBR
    0U,	// CDGBRA
    0U,	// CDGR
    0U,	// CDGTR
    0U,	// CDGTRA
    0U,	// CDLFBR
    0U,	// CDLFTR
    0U,	// CDLGBR
    0U,	// CDLGTR
    0U,	// CDPT
    0U,	// CDR
    0U,	// CDS
    0U,	// CDSG
    0U,	// CDSTR
    0U,	// CDSY
    0U,	// CDTR
    0U,	// CDUTR
    0U,	// CDZT
    0U,	// CE
    0U,	// CEB
    0U,	// CEBR
    0U,	// CEDTR
    0U,	// CEFBR
    0U,	// CEFBRA
    0U,	// CEFR
    0U,	// CEGBR
    0U,	// CEGBRA
    0U,	// CEGR
    0U,	// CELFBR
    0U,	// CELGBR
    0U,	// CER
    0U,	// CEXTR
    0U,	// CFC
    0U,	// CFDBR
    0U,	// CFDBRA
    0U,	// CFDR
    0U,	// CFDTR
    0U,	// CFEBR
    0U,	// CFEBRA
    0U,	// CFER
    0U,	// CFI
    0U,	// CFXBR
    0U,	// CFXBRA
    0U,	// CFXR
    0U,	// CFXTR
    0U,	// CG
    0U,	// CGDBR
    0U,	// CGDBRA
    0U,	// CGDR
    0U,	// CGDTR
    0U,	// CGDTRA
    0U,	// CGEBR
    0U,	// CGEBRA
    0U,	// CGER
    0U,	// CGF
    0U,	// CGFI
    0U,	// CGFR
    0U,	// CGFRL
    0U,	// CGH
    0U,	// CGHI
    0U,	// CGHRL
    0U,	// CGHSI
    0U,	// CGIB
    0U,	// CGIBAsm
    0U,	// CGIBAsmE
    0U,	// CGIBAsmH
    0U,	// CGIBAsmHE
    0U,	// CGIBAsmL
    0U,	// CGIBAsmLE
    0U,	// CGIBAsmLH
    0U,	// CGIBAsmNE
    0U,	// CGIBAsmNH
    0U,	// CGIBAsmNHE
    0U,	// CGIBAsmNL
    0U,	// CGIBAsmNLE
    0U,	// CGIBAsmNLH
    0U,	// CGIJ
    0U,	// CGIJAsm
    0U,	// CGIJAsmE
    0U,	// CGIJAsmH
    0U,	// CGIJAsmHE
    0U,	// CGIJAsmL
    0U,	// CGIJAsmLE
    0U,	// CGIJAsmLH
    0U,	// CGIJAsmNE
    0U,	// CGIJAsmNH
    0U,	// CGIJAsmNHE
    0U,	// CGIJAsmNL
    0U,	// CGIJAsmNLE
    0U,	// CGIJAsmNLH
    0U,	// CGIT
    0U,	// CGITAsm
    0U,	// CGITAsmE
    0U,	// CGITAsmH
    0U,	// CGITAsmHE
    0U,	// CGITAsmL
    0U,	// CGITAsmLE
    0U,	// CGITAsmLH
    0U,	// CGITAsmNE
    0U,	// CGITAsmNH
    0U,	// CGITAsmNHE
    0U,	// CGITAsmNL
    0U,	// CGITAsmNLE
    0U,	// CGITAsmNLH
    0U,	// CGR
    0U,	// CGRB
    0U,	// CGRBAsm
    0U,	// CGRBAsmE
    0U,	// CGRBAsmH
    0U,	// CGRBAsmHE
    0U,	// CGRBAsmL
    0U,	// CGRBAsmLE
    0U,	// CGRBAsmLH
    0U,	// CGRBAsmNE
    0U,	// CGRBAsmNH
    0U,	// CGRBAsmNHE
    0U,	// CGRBAsmNL
    0U,	// CGRBAsmNLE
    0U,	// CGRBAsmNLH
    0U,	// CGRJ
    0U,	// CGRJAsm
    0U,	// CGRJAsmE
    0U,	// CGRJAsmH
    0U,	// CGRJAsmHE
    0U,	// CGRJAsmL
    0U,	// CGRJAsmLE
    0U,	// CGRJAsmLH
    0U,	// CGRJAsmNE
    0U,	// CGRJAsmNH
    0U,	// CGRJAsmNHE
    0U,	// CGRJAsmNL
    0U,	// CGRJAsmNLE
    0U,	// CGRJAsmNLH
    0U,	// CGRL
    0U,	// CGRT
    0U,	// CGRTAsm
    0U,	// CGRTAsmE
    0U,	// CGRTAsmH
    0U,	// CGRTAsmHE
    0U,	// CGRTAsmL
    0U,	// CGRTAsmLE
    0U,	// CGRTAsmLH
    0U,	// CGRTAsmNE
    0U,	// CGRTAsmNH
    0U,	// CGRTAsmNHE
    0U,	// CGRTAsmNL
    0U,	// CGRTAsmNLE
    0U,	// CGRTAsmNLH
    0U,	// CGXBR
    0U,	// CGXBRA
    0U,	// CGXR
    0U,	// CGXTR
    0U,	// CGXTRA
    0U,	// CH
    0U,	// CHF
    0U,	// CHHR
    0U,	// CHHSI
    0U,	// CHI
    0U,	// CHLR
    0U,	// CHRL
    0U,	// CHSI
    0U,	// CHY
    0U,	// CIB
    0U,	// CIBAsm
    0U,	// CIBAsmE
    0U,	// CIBAsmH
    0U,	// CIBAsmHE
    0U,	// CIBAsmL
    0U,	// CIBAsmLE
    0U,	// CIBAsmLH
    0U,	// CIBAsmNE
    0U,	// CIBAsmNH
    0U,	// CIBAsmNHE
    0U,	// CIBAsmNL
    0U,	// CIBAsmNLE
    0U,	// CIBAsmNLH
    0U,	// CIH
    0U,	// CIJ
    0U,	// CIJAsm
    0U,	// CIJAsmE
    0U,	// CIJAsmH
    0U,	// CIJAsmHE
    0U,	// CIJAsmL
    0U,	// CIJAsmLE
    0U,	// CIJAsmLH
    0U,	// CIJAsmNE
    0U,	// CIJAsmNH
    0U,	// CIJAsmNHE
    0U,	// CIJAsmNL
    0U,	// CIJAsmNLE
    0U,	// CIJAsmNLH
    0U,	// CIT
    0U,	// CITAsm
    0U,	// CITAsmE
    0U,	// CITAsmH
    0U,	// CITAsmHE
    0U,	// CITAsmL
    0U,	// CITAsmLE
    0U,	// CITAsmLH
    0U,	// CITAsmNE
    0U,	// CITAsmNH
    0U,	// CITAsmNHE
    0U,	// CITAsmNL
    0U,	// CITAsmNLE
    0U,	// CITAsmNLH
    0U,	// CKSM
    0U,	// CL
    0U,	// CLC
    0U,	// CLCL
    0U,	// CLCLE
    0U,	// CLCLU
    0U,	// CLFDBR
    0U,	// CLFDTR
    0U,	// CLFEBR
    0U,	// CLFHSI
    0U,	// CLFI
    0U,	// CLFIT
    0U,	// CLFITAsm
    0U,	// CLFITAsmE
    0U,	// CLFITAsmH
    0U,	// CLFITAsmHE
    0U,	// CLFITAsmL
    0U,	// CLFITAsmLE
    0U,	// CLFITAsmLH
    0U,	// CLFITAsmNE
    0U,	// CLFITAsmNH
    0U,	// CLFITAsmNHE
    0U,	// CLFITAsmNL
    0U,	// CLFITAsmNLE
    0U,	// CLFITAsmNLH
    0U,	// CLFXBR
    0U,	// CLFXTR
    0U,	// CLG
    0U,	// CLGDBR
    0U,	// CLGDTR
    0U,	// CLGEBR
    0U,	// CLGF
    0U,	// CLGFI
    0U,	// CLGFR
    0U,	// CLGFRL
    0U,	// CLGHRL
    0U,	// CLGHSI
    0U,	// CLGIB
    0U,	// CLGIBAsm
    0U,	// CLGIBAsmE
    0U,	// CLGIBAsmH
    0U,	// CLGIBAsmHE
    0U,	// CLGIBAsmL
    0U,	// CLGIBAsmLE
    0U,	// CLGIBAsmLH
    0U,	// CLGIBAsmNE
    0U,	// CLGIBAsmNH
    0U,	// CLGIBAsmNHE
    0U,	// CLGIBAsmNL
    0U,	// CLGIBAsmNLE
    0U,	// CLGIBAsmNLH
    0U,	// CLGIJ
    0U,	// CLGIJAsm
    0U,	// CLGIJAsmE
    0U,	// CLGIJAsmH
    0U,	// CLGIJAsmHE
    0U,	// CLGIJAsmL
    0U,	// CLGIJAsmLE
    0U,	// CLGIJAsmLH
    0U,	// CLGIJAsmNE
    0U,	// CLGIJAsmNH
    0U,	// CLGIJAsmNHE
    0U,	// CLGIJAsmNL
    0U,	// CLGIJAsmNLE
    0U,	// CLGIJAsmNLH
    0U,	// CLGIT
    0U,	// CLGITAsm
    0U,	// CLGITAsmE
    0U,	// CLGITAsmH
    0U,	// CLGITAsmHE
    0U,	// CLGITAsmL
    0U,	// CLGITAsmLE
    0U,	// CLGITAsmLH
    0U,	// CLGITAsmNE
    0U,	// CLGITAsmNH
    0U,	// CLGITAsmNHE
    0U,	// CLGITAsmNL
    0U,	// CLGITAsmNLE
    0U,	// CLGITAsmNLH
    0U,	// CLGR
    0U,	// CLGRB
    0U,	// CLGRBAsm
    0U,	// CLGRBAsmE
    0U,	// CLGRBAsmH
    0U,	// CLGRBAsmHE
    0U,	// CLGRBAsmL
    0U,	// CLGRBAsmLE
    0U,	// CLGRBAsmLH
    0U,	// CLGRBAsmNE
    0U,	// CLGRBAsmNH
    0U,	// CLGRBAsmNHE
    0U,	// CLGRBAsmNL
    0U,	// CLGRBAsmNLE
    0U,	// CLGRBAsmNLH
    0U,	// CLGRJ
    0U,	// CLGRJAsm
    0U,	// CLGRJAsmE
    0U,	// CLGRJAsmH
    0U,	// CLGRJAsmHE
    0U,	// CLGRJAsmL
    0U,	// CLGRJAsmLE
    0U,	// CLGRJAsmLH
    0U,	// CLGRJAsmNE
    0U,	// CLGRJAsmNH
    0U,	// CLGRJAsmNHE
    0U,	// CLGRJAsmNL
    0U,	// CLGRJAsmNLE
    0U,	// CLGRJAsmNLH
    0U,	// CLGRL
    0U,	// CLGRT
    0U,	// CLGRTAsm
    0U,	// CLGRTAsmE
    0U,	// CLGRTAsmH
    0U,	// CLGRTAsmHE
    0U,	// CLGRTAsmL
    0U,	// CLGRTAsmLE
    0U,	// CLGRTAsmLH
    0U,	// CLGRTAsmNE
    0U,	// CLGRTAsmNH
    0U,	// CLGRTAsmNHE
    0U,	// CLGRTAsmNL
    0U,	// CLGRTAsmNLE
    0U,	// CLGRTAsmNLH
    0U,	// CLGT
    0U,	// CLGTAsm
    0U,	// CLGTAsmE
    0U,	// CLGTAsmH
    0U,	// CLGTAsmHE
    0U,	// CLGTAsmL
    0U,	// CLGTAsmLE
    0U,	// CLGTAsmLH
    0U,	// CLGTAsmNE
    0U,	// CLGTAsmNH
    0U,	// CLGTAsmNHE
    0U,	// CLGTAsmNL
    0U,	// CLGTAsmNLE
    0U,	// CLGTAsmNLH
    0U,	// CLGXBR
    0U,	// CLGXTR
    0U,	// CLHF
    0U,	// CLHHR
    0U,	// CLHHSI
    0U,	// CLHLR
    0U,	// CLHRL
    0U,	// CLI
    0U,	// CLIB
    0U,	// CLIBAsm
    0U,	// CLIBAsmE
    0U,	// CLIBAsmH
    0U,	// CLIBAsmHE
    0U,	// CLIBAsmL
    0U,	// CLIBAsmLE
    0U,	// CLIBAsmLH
    0U,	// CLIBAsmNE
    0U,	// CLIBAsmNH
    0U,	// CLIBAsmNHE
    0U,	// CLIBAsmNL
    0U,	// CLIBAsmNLE
    0U,	// CLIBAsmNLH
    0U,	// CLIH
    0U,	// CLIJ
    0U,	// CLIJAsm
    0U,	// CLIJAsmE
    0U,	// CLIJAsmH
    0U,	// CLIJAsmHE
    0U,	// CLIJAsmL
    0U,	// CLIJAsmLE
    0U,	// CLIJAsmLH
    0U,	// CLIJAsmNE
    0U,	// CLIJAsmNH
    0U,	// CLIJAsmNHE
    0U,	// CLIJAsmNL
    0U,	// CLIJAsmNLE
    0U,	// CLIJAsmNLH
    0U,	// CLIY
    0U,	// CLM
    0U,	// CLMH
    0U,	// CLMY
    0U,	// CLR
    0U,	// CLRB
    0U,	// CLRBAsm
    0U,	// CLRBAsmE
    0U,	// CLRBAsmH
    0U,	// CLRBAsmHE
    0U,	// CLRBAsmL
    0U,	// CLRBAsmLE
    0U,	// CLRBAsmLH
    0U,	// CLRBAsmNE
    0U,	// CLRBAsmNH
    0U,	// CLRBAsmNHE
    0U,	// CLRBAsmNL
    0U,	// CLRBAsmNLE
    0U,	// CLRBAsmNLH
    0U,	// CLRJ
    0U,	// CLRJAsm
    0U,	// CLRJAsmE
    0U,	// CLRJAsmH
    0U,	// CLRJAsmHE
    0U,	// CLRJAsmL
    0U,	// CLRJAsmLE
    0U,	// CLRJAsmLH
    0U,	// CLRJAsmNE
    0U,	// CLRJAsmNH
    0U,	// CLRJAsmNHE
    0U,	// CLRJAsmNL
    0U,	// CLRJAsmNLE
    0U,	// CLRJAsmNLH
    0U,	// CLRL
    0U,	// CLRT
    0U,	// CLRTAsm
    0U,	// CLRTAsmE
    0U,	// CLRTAsmH
    0U,	// CLRTAsmHE
    0U,	// CLRTAsmL
    0U,	// CLRTAsmLE
    0U,	// CLRTAsmLH
    0U,	// CLRTAsmNE
    0U,	// CLRTAsmNH
    0U,	// CLRTAsmNHE
    0U,	// CLRTAsmNL
    0U,	// CLRTAsmNLE
    0U,	// CLRTAsmNLH
    0U,	// CLST
    0U,	// CLT
    0U,	// CLTAsm
    0U,	// CLTAsmE
    0U,	// CLTAsmH
    0U,	// CLTAsmHE
    0U,	// CLTAsmL
    0U,	// CLTAsmLE
    0U,	// CLTAsmLH
    0U,	// CLTAsmNE
    0U,	// CLTAsmNH
    0U,	// CLTAsmNHE
    0U,	// CLTAsmNL
    0U,	// CLTAsmNLE
    0U,	// CLTAsmNLH
    0U,	// CLY
    0U,	// CMPSC
    0U,	// CP
    0U,	// CPDT
    0U,	// CPSDRdd
    0U,	// CPSDRds
    0U,	// CPSDRsd
    0U,	// CPSDRss
    0U,	// CPXT
    0U,	// CPYA
    0U,	// CR
    0U,	// CRB
    0U,	// CRBAsm
    0U,	// CRBAsmE
    0U,	// CRBAsmH
    0U,	// CRBAsmHE
    0U,	// CRBAsmL
    0U,	// CRBAsmLE
    0U,	// CRBAsmLH
    0U,	// CRBAsmNE
    0U,	// CRBAsmNH
    0U,	// CRBAsmNHE
    0U,	// CRBAsmNL
    0U,	// CRBAsmNLE
    0U,	// CRBAsmNLH
    0U,	// CRDTE
    0U,	// CRDTEOpt
    0U,	// CRJ
    0U,	// CRJAsm
    0U,	// CRJAsmE
    0U,	// CRJAsmH
    0U,	// CRJAsmHE
    0U,	// CRJAsmL
    0U,	// CRJAsmLE
    0U,	// CRJAsmLH
    0U,	// CRJAsmNE
    0U,	// CRJAsmNH
    0U,	// CRJAsmNHE
    0U,	// CRJAsmNL
    0U,	// CRJAsmNLE
    0U,	// CRJAsmNLH
    0U,	// CRL
    0U,	// CRT
    0U,	// CRTAsm
    0U,	// CRTAsmE
    0U,	// CRTAsmH
    0U,	// CRTAsmHE
    0U,	// CRTAsmL
    0U,	// CRTAsmLE
    0U,	// CRTAsmLH
    0U,	// CRTAsmNE
    0U,	// CRTAsmNH
    0U,	// CRTAsmNHE
    0U,	// CRTAsmNL
    0U,	// CRTAsmNLE
    0U,	// CRTAsmNLH
    0U,	// CS
    0U,	// CSCH
    0U,	// CSDTR
    0U,	// CSG
    0U,	// CSP
    0U,	// CSPG
    0U,	// CSST
    0U,	// CSXTR
    0U,	// CSY
    0U,	// CU12
    0U,	// CU12Opt
    0U,	// CU14
    0U,	// CU14Opt
    0U,	// CU21
    0U,	// CU21Opt
    0U,	// CU24
    0U,	// CU24Opt
    0U,	// CU41
    0U,	// CU42
    0U,	// CUDTR
    0U,	// CUSE
    0U,	// CUTFU
    0U,	// CUTFUOpt
    0U,	// CUUTF
    0U,	// CUUTFOpt
    0U,	// CUXTR
    0U,	// CVB
    0U,	// CVBG
    0U,	// CVBY
    0U,	// CVD
    0U,	// CVDG
    0U,	// CVDY
    0U,	// CXBR
    0U,	// CXFBR
    0U,	// CXFBRA
    0U,	// CXFR
    0U,	// CXFTR
    0U,	// CXGBR
    0U,	// CXGBRA
    0U,	// CXGR
    0U,	// CXGTR
    0U,	// CXGTRA
    0U,	// CXLFBR
    0U,	// CXLFTR
    0U,	// CXLGBR
    0U,	// CXLGTR
    0U,	// CXPT
    0U,	// CXR
    0U,	// CXSTR
    0U,	// CXTR
    0U,	// CXUTR
    0U,	// CXZT
    0U,	// CY
    0U,	// CZDT
    0U,	// CZXT
    0U,	// D
    0U,	// DD
    0U,	// DDB
    0U,	// DDBR
    0U,	// DDR
    0U,	// DDTR
    0U,	// DDTRA
    0U,	// DE
    0U,	// DEB
    0U,	// DEBR
    0U,	// DER
    0U,	// DFLTCC
    0U,	// DIAG
    0U,	// DIDBR
    0U,	// DIEBR
    0U,	// DL
    0U,	// DLG
    0U,	// DLGR
    0U,	// DLR
    0U,	// DP
    0U,	// DR
    0U,	// DSG
    0U,	// DSGF
    0U,	// DSGFR
    0U,	// DSGR
    0U,	// DXBR
    0U,	// DXR
    0U,	// DXTR
    0U,	// DXTRA
    0U,	// EAR
    0U,	// ECAG
    0U,	// ECCTR
    0U,	// ECPGA
    0U,	// ECTG
    0U,	// ED
    0U,	// EDMK
    0U,	// EEDTR
    0U,	// EEXTR
    0U,	// EFPC
    0U,	// EPAIR
    0U,	// EPAR
    0U,	// EPCTR
    0U,	// EPSW
    0U,	// EREG
    0U,	// EREGG
    0U,	// ESAIR
    0U,	// ESAR
    0U,	// ESDTR
    0U,	// ESEA
    0U,	// ESTA
    0U,	// ESXTR
    0U,	// ETND
    0U,	// EX
    0U,	// EXRL
    0U,	// FIDBR
    0U,	// FIDBRA
    0U,	// FIDR
    0U,	// FIDTR
    0U,	// FIEBR
    0U,	// FIEBRA
    0U,	// FIER
    0U,	// FIXBR
    0U,	// FIXBRA
    0U,	// FIXR
    0U,	// FIXTR
    0U,	// FLOGR
    0U,	// HDR
    0U,	// HER
    0U,	// HSCH
    0U,	// IAC
    0U,	// IC
    0U,	// IC32
    0U,	// IC32Y
    0U,	// ICM
    0U,	// ICMH
    0U,	// ICMY
    0U,	// ICY
    0U,	// IDTE
    0U,	// IDTEOpt
    0U,	// IEDTR
    0U,	// IEXTR
    0U,	// IIHF
    0U,	// IIHH
    0U,	// IIHL
    0U,	// IILF
    0U,	// IILH
    0U,	// IILL
    0U,	// IPK
    0U,	// IPM
    0U,	// IPTE
    0U,	// IPTEOpt
    0U,	// IPTEOptOpt
    0U,	// IRBM
    0U,	// ISKE
    0U,	// IVSK
    0U,	// InsnE
    0U,	// InsnRI
    0U,	// InsnRIE
    0U,	// InsnRIL
    0U,	// InsnRILU
    0U,	// InsnRIS
    0U,	// InsnRR
    0U,	// InsnRRE
    0U,	// InsnRRF
    1U,	// InsnRRS
    0U,	// InsnRS
    0U,	// InsnRSE
    0U,	// InsnRSI
    0U,	// InsnRSY
    0U,	// InsnRX
    0U,	// InsnRXE
    0U,	// InsnRXF
    0U,	// InsnRXY
    0U,	// InsnS
    0U,	// InsnSI
    0U,	// InsnSIL
    0U,	// InsnSIY
    0U,	// InsnSS
    0U,	// InsnSSE
    0U,	// InsnSSF
    0U,	// J
    0U,	// JAsmE
    0U,	// JAsmH
    0U,	// JAsmHE
    0U,	// JAsmL
    0U,	// JAsmLE
    0U,	// JAsmLH
    0U,	// JAsmM
    0U,	// JAsmNE
    0U,	// JAsmNH
    0U,	// JAsmNHE
    0U,	// JAsmNL
    0U,	// JAsmNLE
    0U,	// JAsmNLH
    0U,	// JAsmNM
    0U,	// JAsmNO
    0U,	// JAsmNP
    0U,	// JAsmNZ
    0U,	// JAsmO
    0U,	// JAsmP
    0U,	// JAsmZ
    0U,	// JG
    0U,	// JGAsmE
    0U,	// JGAsmH
    0U,	// JGAsmHE
    0U,	// JGAsmL
    0U,	// JGAsmLE
    0U,	// JGAsmLH
    0U,	// JGAsmM
    0U,	// JGAsmNE
    0U,	// JGAsmNH
    0U,	// JGAsmNHE
    0U,	// JGAsmNL
    0U,	// JGAsmNLE
    0U,	// JGAsmNLH
    0U,	// JGAsmNM
    0U,	// JGAsmNO
    0U,	// JGAsmNP
    0U,	// JGAsmNZ
    0U,	// JGAsmO
    0U,	// JGAsmP
    0U,	// JGAsmZ
    0U,	// KDB
    0U,	// KDBR
    0U,	// KDSA
    0U,	// KDTR
    0U,	// KEB
    0U,	// KEBR
    0U,	// KIMD
    0U,	// KLMD
    0U,	// KM
    0U,	// KMA
    0U,	// KMAC
    0U,	// KMC
    0U,	// KMCTR
    0U,	// KMF
    0U,	// KMO
    0U,	// KXBR
    0U,	// KXTR
    0U,	// L
    0U,	// LA
    0U,	// LAA
    0U,	// LAAG
    0U,	// LAAL
    0U,	// LAALG
    0U,	// LAE
    0U,	// LAEY
    0U,	// LAM
    0U,	// LAMY
    0U,	// LAN
    0U,	// LANG
    0U,	// LAO
    0U,	// LAOG
    0U,	// LARL
    0U,	// LASP
    0U,	// LAT
    0U,	// LAX
    0U,	// LAXG
    0U,	// LAY
    0U,	// LB
    0U,	// LBH
    0U,	// LBR
    0U,	// LCBB
    0U,	// LCCTL
    0U,	// LCDBR
    0U,	// LCDFR
    0U,	// LCDFR_32
    0U,	// LCDR
    0U,	// LCEBR
    0U,	// LCER
    0U,	// LCGFR
    0U,	// LCGR
    0U,	// LCR
    0U,	// LCTL
    0U,	// LCTLG
    0U,	// LCXBR
    0U,	// LCXR
    0U,	// LD
    0U,	// LDE
    0U,	// LDE32
    0U,	// LDEB
    0U,	// LDEBR
    0U,	// LDER
    0U,	// LDETR
    0U,	// LDGR
    0U,	// LDR
    0U,	// LDR32
    0U,	// LDXBR
    0U,	// LDXBRA
    0U,	// LDXR
    0U,	// LDXTR
    0U,	// LDY
    0U,	// LE
    0U,	// LEDBR
    0U,	// LEDBRA
    0U,	// LEDR
    0U,	// LEDTR
    0U,	// LER
    0U,	// LEXBR
    0U,	// LEXBRA
    0U,	// LEXR
    0U,	// LEY
    0U,	// LFAS
    0U,	// LFH
    0U,	// LFHAT
    0U,	// LFPC
    0U,	// LG
    0U,	// LGAT
    0U,	// LGB
    0U,	// LGBR
    0U,	// LGDR
    0U,	// LGF
    0U,	// LGFI
    0U,	// LGFR
    0U,	// LGFRL
    0U,	// LGG
    0U,	// LGH
    0U,	// LGHI
    0U,	// LGHR
    0U,	// LGHRL
    0U,	// LGR
    0U,	// LGRL
    0U,	// LGSC
    0U,	// LH
    0U,	// LHH
    0U,	// LHI
    0U,	// LHR
    0U,	// LHRL
    0U,	// LHY
    0U,	// LLC
    0U,	// LLCH
    0U,	// LLCR
    0U,	// LLGC
    0U,	// LLGCR
    0U,	// LLGF
    0U,	// LLGFAT
    0U,	// LLGFR
    0U,	// LLGFRL
    0U,	// LLGFSG
    0U,	// LLGH
    0U,	// LLGHR
    0U,	// LLGHRL
    0U,	// LLGT
    0U,	// LLGTAT
    0U,	// LLGTR
    0U,	// LLH
    0U,	// LLHH
    0U,	// LLHR
    0U,	// LLHRL
    0U,	// LLIHF
    0U,	// LLIHH
    0U,	// LLIHL
    0U,	// LLILF
    0U,	// LLILH
    0U,	// LLILL
    0U,	// LLZRGF
    0U,	// LM
    1U,	// LMD
    0U,	// LMG
    0U,	// LMH
    0U,	// LMY
    0U,	// LNDBR
    0U,	// LNDFR
    0U,	// LNDFR_32
    0U,	// LNDR
    0U,	// LNEBR
    0U,	// LNER
    0U,	// LNGFR
    0U,	// LNGR
    0U,	// LNR
    0U,	// LNXBR
    0U,	// LNXR
    0U,	// LOC
    0U,	// LOCAsm
    0U,	// LOCAsmE
    0U,	// LOCAsmH
    0U,	// LOCAsmHE
    0U,	// LOCAsmL
    0U,	// LOCAsmLE
    0U,	// LOCAsmLH
    0U,	// LOCAsmM
    0U,	// LOCAsmNE
    0U,	// LOCAsmNH
    0U,	// LOCAsmNHE
    0U,	// LOCAsmNL
    0U,	// LOCAsmNLE
    0U,	// LOCAsmNLH
    0U,	// LOCAsmNM
    0U,	// LOCAsmNO
    0U,	// LOCAsmNP
    0U,	// LOCAsmNZ
    0U,	// LOCAsmO
    0U,	// LOCAsmP
    0U,	// LOCAsmZ
    0U,	// LOCFH
    0U,	// LOCFHAsm
    0U,	// LOCFHAsmE
    0U,	// LOCFHAsmH
    0U,	// LOCFHAsmHE
    0U,	// LOCFHAsmL
    0U,	// LOCFHAsmLE
    0U,	// LOCFHAsmLH
    0U,	// LOCFHAsmM
    0U,	// LOCFHAsmNE
    0U,	// LOCFHAsmNH
    0U,	// LOCFHAsmNHE
    0U,	// LOCFHAsmNL
    0U,	// LOCFHAsmNLE
    0U,	// LOCFHAsmNLH
    0U,	// LOCFHAsmNM
    0U,	// LOCFHAsmNO
    0U,	// LOCFHAsmNP
    0U,	// LOCFHAsmNZ
    0U,	// LOCFHAsmO
    0U,	// LOCFHAsmP
    0U,	// LOCFHAsmZ
    0U,	// LOCFHR
    0U,	// LOCFHRAsm
    0U,	// LOCFHRAsmE
    0U,	// LOCFHRAsmH
    0U,	// LOCFHRAsmHE
    0U,	// LOCFHRAsmL
    0U,	// LOCFHRAsmLE
    0U,	// LOCFHRAsmLH
    0U,	// LOCFHRAsmM
    0U,	// LOCFHRAsmNE
    0U,	// LOCFHRAsmNH
    0U,	// LOCFHRAsmNHE
    0U,	// LOCFHRAsmNL
    0U,	// LOCFHRAsmNLE
    0U,	// LOCFHRAsmNLH
    0U,	// LOCFHRAsmNM
    0U,	// LOCFHRAsmNO
    0U,	// LOCFHRAsmNP
    0U,	// LOCFHRAsmNZ
    0U,	// LOCFHRAsmO
    0U,	// LOCFHRAsmP
    0U,	// LOCFHRAsmZ
    0U,	// LOCG
    0U,	// LOCGAsm
    0U,	// LOCGAsmE
    0U,	// LOCGAsmH
    0U,	// LOCGAsmHE
    0U,	// LOCGAsmL
    0U,	// LOCGAsmLE
    0U,	// LOCGAsmLH
    0U,	// LOCGAsmM
    0U,	// LOCGAsmNE
    0U,	// LOCGAsmNH
    0U,	// LOCGAsmNHE
    0U,	// LOCGAsmNL
    0U,	// LOCGAsmNLE
    0U,	// LOCGAsmNLH
    0U,	// LOCGAsmNM
    0U,	// LOCGAsmNO
    0U,	// LOCGAsmNP
    0U,	// LOCGAsmNZ
    0U,	// LOCGAsmO
    0U,	// LOCGAsmP
    0U,	// LOCGAsmZ
    0U,	// LOCGHI
    0U,	// LOCGHIAsm
    0U,	// LOCGHIAsmE
    0U,	// LOCGHIAsmH
    0U,	// LOCGHIAsmHE
    0U,	// LOCGHIAsmL
    0U,	// LOCGHIAsmLE
    0U,	// LOCGHIAsmLH
    0U,	// LOCGHIAsmM
    0U,	// LOCGHIAsmNE
    0U,	// LOCGHIAsmNH
    0U,	// LOCGHIAsmNHE
    0U,	// LOCGHIAsmNL
    0U,	// LOCGHIAsmNLE
    0U,	// LOCGHIAsmNLH
    0U,	// LOCGHIAsmNM
    0U,	// LOCGHIAsmNO
    0U,	// LOCGHIAsmNP
    0U,	// LOCGHIAsmNZ
    0U,	// LOCGHIAsmO
    0U,	// LOCGHIAsmP
    0U,	// LOCGHIAsmZ
    0U,	// LOCGR
    0U,	// LOCGRAsm
    0U,	// LOCGRAsmE
    0U,	// LOCGRAsmH
    0U,	// LOCGRAsmHE
    0U,	// LOCGRAsmL
    0U,	// LOCGRAsmLE
    0U,	// LOCGRAsmLH
    0U,	// LOCGRAsmM
    0U,	// LOCGRAsmNE
    0U,	// LOCGRAsmNH
    0U,	// LOCGRAsmNHE
    0U,	// LOCGRAsmNL
    0U,	// LOCGRAsmNLE
    0U,	// LOCGRAsmNLH
    0U,	// LOCGRAsmNM
    0U,	// LOCGRAsmNO
    0U,	// LOCGRAsmNP
    0U,	// LOCGRAsmNZ
    0U,	// LOCGRAsmO
    0U,	// LOCGRAsmP
    0U,	// LOCGRAsmZ
    0U,	// LOCHHI
    0U,	// LOCHHIAsm
    0U,	// LOCHHIAsmE
    0U,	// LOCHHIAsmH
    0U,	// LOCHHIAsmHE
    0U,	// LOCHHIAsmL
    0U,	// LOCHHIAsmLE
    0U,	// LOCHHIAsmLH
    0U,	// LOCHHIAsmM
    0U,	// LOCHHIAsmNE
    0U,	// LOCHHIAsmNH
    0U,	// LOCHHIAsmNHE
    0U,	// LOCHHIAsmNL
    0U,	// LOCHHIAsmNLE
    0U,	// LOCHHIAsmNLH
    0U,	// LOCHHIAsmNM
    0U,	// LOCHHIAsmNO
    0U,	// LOCHHIAsmNP
    0U,	// LOCHHIAsmNZ
    0U,	// LOCHHIAsmO
    0U,	// LOCHHIAsmP
    0U,	// LOCHHIAsmZ
    0U,	// LOCHI
    0U,	// LOCHIAsm
    0U,	// LOCHIAsmE
    0U,	// LOCHIAsmH
    0U,	// LOCHIAsmHE
    0U,	// LOCHIAsmL
    0U,	// LOCHIAsmLE
    0U,	// LOCHIAsmLH
    0U,	// LOCHIAsmM
    0U,	// LOCHIAsmNE
    0U,	// LOCHIAsmNH
    0U,	// LOCHIAsmNHE
    0U,	// LOCHIAsmNL
    0U,	// LOCHIAsmNLE
    0U,	// LOCHIAsmNLH
    0U,	// LOCHIAsmNM
    0U,	// LOCHIAsmNO
    0U,	// LOCHIAsmNP
    0U,	// LOCHIAsmNZ
    0U,	// LOCHIAsmO
    0U,	// LOCHIAsmP
    0U,	// LOCHIAsmZ
    0U,	// LOCR
    0U,	// LOCRAsm
    0U,	// LOCRAsmE
    0U,	// LOCRAsmH
    0U,	// LOCRAsmHE
    0U,	// LOCRAsmL
    0U,	// LOCRAsmLE
    0U,	// LOCRAsmLH
    0U,	// LOCRAsmM
    0U,	// LOCRAsmNE
    0U,	// LOCRAsmNH
    0U,	// LOCRAsmNHE
    0U,	// LOCRAsmNL
    0U,	// LOCRAsmNLE
    0U,	// LOCRAsmNLH
    0U,	// LOCRAsmNM
    0U,	// LOCRAsmNO
    0U,	// LOCRAsmNP
    0U,	// LOCRAsmNZ
    0U,	// LOCRAsmO
    0U,	// LOCRAsmP
    0U,	// LOCRAsmZ
    0U,	// LPCTL
    0U,	// LPD
    0U,	// LPDBR
    0U,	// LPDFR
    0U,	// LPDFR_32
    0U,	// LPDG
    0U,	// LPDR
    0U,	// LPEBR
    0U,	// LPER
    0U,	// LPGFR
    0U,	// LPGR
    0U,	// LPP
    0U,	// LPQ
    0U,	// LPR
    0U,	// LPSW
    0U,	// LPSWE
    0U,	// LPTEA
    0U,	// LPXBR
    0U,	// LPXR
    0U,	// LR
    0U,	// LRA
    0U,	// LRAG
    0U,	// LRAY
    0U,	// LRDR
    0U,	// LRER
    0U,	// LRL
    0U,	// LRV
    0U,	// LRVG
    0U,	// LRVGR
    0U,	// LRVH
    0U,	// LRVR
    0U,	// LSCTL
    0U,	// LT
    0U,	// LTDBR
    0U,	// LTDBRCompare
    0U,	// LTDR
    0U,	// LTDTR
    0U,	// LTEBR
    0U,	// LTEBRCompare
    0U,	// LTER
    0U,	// LTG
    0U,	// LTGF
    0U,	// LTGFR
    0U,	// LTGR
    0U,	// LTR
    0U,	// LTXBR
    0U,	// LTXBRCompare
    0U,	// LTXR
    0U,	// LTXTR
    0U,	// LURA
    0U,	// LURAG
    0U,	// LXD
    0U,	// LXDB
    0U,	// LXDBR
    0U,	// LXDR
    0U,	// LXDTR
    0U,	// LXE
    0U,	// LXEB
    0U,	// LXEBR
    0U,	// LXER
    0U,	// LXR
    0U,	// LY
    0U,	// LZDR
    0U,	// LZER
    0U,	// LZRF
    0U,	// LZRG
    0U,	// LZXR
    0U,	// M
    0U,	// MAD
    0U,	// MADB
    0U,	// MADBR
    0U,	// MADR
    0U,	// MAE
    0U,	// MAEB
    0U,	// MAEBR
    0U,	// MAER
    0U,	// MAY
    0U,	// MAYH
    0U,	// MAYHR
    0U,	// MAYL
    0U,	// MAYLR
    0U,	// MAYR
    0U,	// MC
    0U,	// MD
    0U,	// MDB
    0U,	// MDBR
    0U,	// MDE
    0U,	// MDEB
    0U,	// MDEBR
    0U,	// MDER
    0U,	// MDR
    0U,	// MDTR
    0U,	// MDTRA
    0U,	// ME
    0U,	// MEE
    0U,	// MEEB
    0U,	// MEEBR
    0U,	// MEER
    0U,	// MER
    0U,	// MFY
    0U,	// MG
    0U,	// MGH
    0U,	// MGHI
    0U,	// MGRK
    0U,	// MH
    0U,	// MHI
    0U,	// MHY
    0U,	// ML
    0U,	// MLG
    0U,	// MLGR
    0U,	// MLR
    0U,	// MP
    0U,	// MR
    0U,	// MS
    0U,	// MSC
    0U,	// MSCH
    0U,	// MSD
    0U,	// MSDB
    0U,	// MSDBR
    0U,	// MSDR
    0U,	// MSE
    0U,	// MSEB
    0U,	// MSEBR
    0U,	// MSER
    0U,	// MSFI
    0U,	// MSG
    0U,	// MSGC
    0U,	// MSGF
    0U,	// MSGFI
    0U,	// MSGFR
    0U,	// MSGR
    0U,	// MSGRKC
    0U,	// MSR
    0U,	// MSRKC
    0U,	// MSTA
    0U,	// MSY
    0U,	// MVC
    0U,	// MVCDK
    0U,	// MVCIN
    0U,	// MVCK
    0U,	// MVCL
    0U,	// MVCLE
    0U,	// MVCLU
    0U,	// MVCOS
    0U,	// MVCP
    0U,	// MVCRL
    0U,	// MVCS
    0U,	// MVCSK
    0U,	// MVGHI
    0U,	// MVHHI
    0U,	// MVHI
    0U,	// MVI
    0U,	// MVIY
    0U,	// MVN
    0U,	// MVO
    0U,	// MVPG
    0U,	// MVST
    0U,	// MVZ
    0U,	// MXBR
    0U,	// MXD
    0U,	// MXDB
    0U,	// MXDBR
    0U,	// MXDR
    0U,	// MXR
    0U,	// MXTR
    0U,	// MXTRA
    0U,	// MY
    0U,	// MYH
    0U,	// MYHR
    0U,	// MYL
    0U,	// MYLR
    0U,	// MYR
    0U,	// N
    0U,	// NC
    0U,	// NCGRK
    0U,	// NCRK
    0U,	// NG
    0U,	// NGR
    0U,	// NGRK
    0U,	// NI
    0U,	// NIAI
    0U,	// NIHF
    0U,	// NIHH
    0U,	// NIHL
    0U,	// NILF
    0U,	// NILH
    0U,	// NILL
    0U,	// NIY
    0U,	// NNGRK
    0U,	// NNRK
    0U,	// NOGRK
    0U,	// NORK
    0U,	// NR
    0U,	// NRK
    0U,	// NTSTG
    0U,	// NXGRK
    0U,	// NXRK
    0U,	// NY
    0U,	// O
    0U,	// OC
    0U,	// OCGRK
    0U,	// OCRK
    0U,	// OG
    0U,	// OGR
    0U,	// OGRK
    0U,	// OI
    0U,	// OIHF
    0U,	// OIHH
    0U,	// OIHL
    0U,	// OILF
    0U,	// OILH
    0U,	// OILL
    0U,	// OIY
    0U,	// OR
    0U,	// ORK
    0U,	// OY
    0U,	// PACK
    0U,	// PALB
    0U,	// PC
    0U,	// PCC
    0U,	// PCKMO
    0U,	// PFD
    0U,	// PFDRL
    0U,	// PFMF
    0U,	// PFPO
    0U,	// PGIN
    0U,	// PGOUT
    0U,	// PKA
    0U,	// PKU
    1U,	// PLO
    0U,	// POPCNT
    0U,	// POPCNTOpt
    0U,	// PPA
    0U,	// PPNO
    0U,	// PR
    0U,	// PRNO
    0U,	// PT
    0U,	// PTF
    0U,	// PTFF
    0U,	// PTI
    0U,	// PTLB
    0U,	// QADTR
    0U,	// QAXTR
    0U,	// QCTRI
    0U,	// QSI
    0U,	// RCHP
    5U,	// RISBG
    5U,	// RISBG32
    5U,	// RISBGN
    5U,	// RISBHG
    5U,	// RISBLG
    0U,	// RLL
    0U,	// RLLG
    5U,	// RNSBG
    5U,	// ROSBG
    0U,	// RP
    0U,	// RRBE
    0U,	// RRBM
    0U,	// RRDTR
    0U,	// RRXTR
    0U,	// RSCH
    5U,	// RXSBG
    0U,	// S
    0U,	// SAC
    0U,	// SACF
    0U,	// SAL
    0U,	// SAM24
    0U,	// SAM31
    0U,	// SAM64
    0U,	// SAR
    0U,	// SCCTR
    0U,	// SCHM
    0U,	// SCK
    0U,	// SCKC
    0U,	// SCKPF
    0U,	// SD
    0U,	// SDB
    0U,	// SDBR
    0U,	// SDR
    0U,	// SDTR
    0U,	// SDTRA
    0U,	// SE
    0U,	// SEB
    0U,	// SEBR
    0U,	// SELFHR
    0U,	// SELFHRAsm
    0U,	// SELFHRAsmE
    0U,	// SELFHRAsmH
    0U,	// SELFHRAsmHE
    0U,	// SELFHRAsmL
    0U,	// SELFHRAsmLE
    0U,	// SELFHRAsmLH
    0U,	// SELFHRAsmM
    0U,	// SELFHRAsmNE
    0U,	// SELFHRAsmNH
    0U,	// SELFHRAsmNHE
    0U,	// SELFHRAsmNL
    0U,	// SELFHRAsmNLE
    0U,	// SELFHRAsmNLH
    0U,	// SELFHRAsmNM
    0U,	// SELFHRAsmNO
    0U,	// SELFHRAsmNP
    0U,	// SELFHRAsmNZ
    0U,	// SELFHRAsmO
    0U,	// SELFHRAsmP
    0U,	// SELFHRAsmZ
    0U,	// SELGR
    0U,	// SELGRAsm
    0U,	// SELGRAsmE
    0U,	// SELGRAsmH
    0U,	// SELGRAsmHE
    0U,	// SELGRAsmL
    0U,	// SELGRAsmLE
    0U,	// SELGRAsmLH
    0U,	// SELGRAsmM
    0U,	// SELGRAsmNE
    0U,	// SELGRAsmNH
    0U,	// SELGRAsmNHE
    0U,	// SELGRAsmNL
    0U,	// SELGRAsmNLE
    0U,	// SELGRAsmNLH
    0U,	// SELGRAsmNM
    0U,	// SELGRAsmNO
    0U,	// SELGRAsmNP
    0U,	// SELGRAsmNZ
    0U,	// SELGRAsmO
    0U,	// SELGRAsmP
    0U,	// SELGRAsmZ
    0U,	// SELR
    0U,	// SELRAsm
    0U,	// SELRAsmE
    0U,	// SELRAsmH
    0U,	// SELRAsmHE
    0U,	// SELRAsmL
    0U,	// SELRAsmLE
    0U,	// SELRAsmLH
    0U,	// SELRAsmM
    0U,	// SELRAsmNE
    0U,	// SELRAsmNH
    0U,	// SELRAsmNHE
    0U,	// SELRAsmNL
    0U,	// SELRAsmNLE
    0U,	// SELRAsmNLH
    0U,	// SELRAsmNM
    0U,	// SELRAsmNO
    0U,	// SELRAsmNP
    0U,	// SELRAsmNZ
    0U,	// SELRAsmO
    0U,	// SELRAsmP
    0U,	// SELRAsmZ
    0U,	// SER
    0U,	// SFASR
    0U,	// SFPC
    0U,	// SG
    0U,	// SGF
    0U,	// SGFR
    0U,	// SGH
    0U,	// SGR
    0U,	// SGRK
    0U,	// SH
    0U,	// SHHHR
    0U,	// SHHLR
    0U,	// SHY
    0U,	// SIE
    0U,	// SIGA
    0U,	// SIGP
    0U,	// SL
    0U,	// SLA
    0U,	// SLAG
    0U,	// SLAK
    0U,	// SLB
    0U,	// SLBG
    0U,	// SLBGR
    0U,	// SLBR
    0U,	// SLDA
    0U,	// SLDL
    0U,	// SLDT
    0U,	// SLFI
    0U,	// SLG
    0U,	// SLGF
    0U,	// SLGFI
    0U,	// SLGFR
    0U,	// SLGR
    0U,	// SLGRK
    0U,	// SLHHHR
    0U,	// SLHHLR
    0U,	// SLL
    0U,	// SLLG
    0U,	// SLLK
    0U,	// SLR
    0U,	// SLRK
    0U,	// SLXT
    0U,	// SLY
    0U,	// SORTL
    0U,	// SP
    0U,	// SPCTR
    0U,	// SPKA
    0U,	// SPM
    0U,	// SPT
    0U,	// SPX
    0U,	// SQD
    0U,	// SQDB
    0U,	// SQDBR
    0U,	// SQDR
    0U,	// SQE
    0U,	// SQEB
    0U,	// SQEBR
    0U,	// SQER
    0U,	// SQXBR
    0U,	// SQXR
    0U,	// SR
    0U,	// SRA
    0U,	// SRAG
    0U,	// SRAK
    0U,	// SRDA
    0U,	// SRDL
    0U,	// SRDT
    0U,	// SRK
    0U,	// SRL
    0U,	// SRLG
    0U,	// SRLK
    0U,	// SRNM
    0U,	// SRNMB
    0U,	// SRNMT
    0U,	// SRP
    0U,	// SRST
    0U,	// SRSTU
    0U,	// SRXT
    0U,	// SSAIR
    0U,	// SSAR
    0U,	// SSCH
    0U,	// SSKE
    0U,	// SSKEOpt
    0U,	// SSM
    0U,	// ST
    0U,	// STAM
    0U,	// STAMY
    0U,	// STAP
    0U,	// STC
    0U,	// STCH
    0U,	// STCK
    0U,	// STCKC
    0U,	// STCKE
    0U,	// STCKF
    0U,	// STCM
    0U,	// STCMH
    0U,	// STCMY
    0U,	// STCPS
    0U,	// STCRW
    0U,	// STCTG
    0U,	// STCTL
    0U,	// STCY
    0U,	// STD
    0U,	// STDY
    0U,	// STE
    0U,	// STEY
    0U,	// STFH
    0U,	// STFL
    0U,	// STFLE
    0U,	// STFPC
    0U,	// STG
    0U,	// STGRL
    0U,	// STGSC
    0U,	// STH
    0U,	// STHH
    0U,	// STHRL
    0U,	// STHY
    0U,	// STIDP
    0U,	// STM
    0U,	// STMG
    0U,	// STMH
    0U,	// STMY
    0U,	// STNSM
    0U,	// STOC
    0U,	// STOCAsm
    0U,	// STOCAsmE
    0U,	// STOCAsmH
    0U,	// STOCAsmHE
    0U,	// STOCAsmL
    0U,	// STOCAsmLE
    0U,	// STOCAsmLH
    0U,	// STOCAsmM
    0U,	// STOCAsmNE
    0U,	// STOCAsmNH
    0U,	// STOCAsmNHE
    0U,	// STOCAsmNL
    0U,	// STOCAsmNLE
    0U,	// STOCAsmNLH
    0U,	// STOCAsmNM
    0U,	// STOCAsmNO
    0U,	// STOCAsmNP
    0U,	// STOCAsmNZ
    0U,	// STOCAsmO
    0U,	// STOCAsmP
    0U,	// STOCAsmZ
    0U,	// STOCFH
    0U,	// STOCFHAsm
    0U,	// STOCFHAsmE
    0U,	// STOCFHAsmH
    0U,	// STOCFHAsmHE
    0U,	// STOCFHAsmL
    0U,	// STOCFHAsmLE
    0U,	// STOCFHAsmLH
    0U,	// STOCFHAsmM
    0U,	// STOCFHAsmNE
    0U,	// STOCFHAsmNH
    0U,	// STOCFHAsmNHE
    0U,	// STOCFHAsmNL
    0U,	// STOCFHAsmNLE
    0U,	// STOCFHAsmNLH
    0U,	// STOCFHAsmNM
    0U,	// STOCFHAsmNO
    0U,	// STOCFHAsmNP
    0U,	// STOCFHAsmNZ
    0U,	// STOCFHAsmO
    0U,	// STOCFHAsmP
    0U,	// STOCFHAsmZ
    0U,	// STOCG
    0U,	// STOCGAsm
    0U,	// STOCGAsmE
    0U,	// STOCGAsmH
    0U,	// STOCGAsmHE
    0U,	// STOCGAsmL
    0U,	// STOCGAsmLE
    0U,	// STOCGAsmLH
    0U,	// STOCGAsmM
    0U,	// STOCGAsmNE
    0U,	// STOCGAsmNH
    0U,	// STOCGAsmNHE
    0U,	// STOCGAsmNL
    0U,	// STOCGAsmNLE
    0U,	// STOCGAsmNLH
    0U,	// STOCGAsmNM
    0U,	// STOCGAsmNO
    0U,	// STOCGAsmNP
    0U,	// STOCGAsmNZ
    0U,	// STOCGAsmO
    0U,	// STOCGAsmP
    0U,	// STOCGAsmZ
    0U,	// STOSM
    0U,	// STPQ
    0U,	// STPT
    0U,	// STPX
    0U,	// STRAG
    0U,	// STRL
    0U,	// STRV
    0U,	// STRVG
    0U,	// STRVH
    0U,	// STSCH
    0U,	// STSI
    0U,	// STURA
    0U,	// STURG
    0U,	// STY
    0U,	// SU
    0U,	// SUR
    0U,	// SVC
    0U,	// SW
    0U,	// SWR
    0U,	// SXBR
    0U,	// SXR
    0U,	// SXTR
    0U,	// SXTRA
    0U,	// SY
    0U,	// TABORT
    0U,	// TAM
    0U,	// TAR
    0U,	// TB
    0U,	// TBDR
    0U,	// TBEDR
    0U,	// TBEGIN
    0U,	// TBEGINC
    0U,	// TCDB
    0U,	// TCEB
    0U,	// TCXB
    0U,	// TDCDT
    0U,	// TDCET
    0U,	// TDCXT
    0U,	// TDGDT
    0U,	// TDGET
    0U,	// TDGXT
    0U,	// TEND
    0U,	// THDER
    0U,	// THDR
    0U,	// TM
    0U,	// TMHH
    0U,	// TMHL
    0U,	// TMLH
    0U,	// TMLL
    0U,	// TMY
    0U,	// TP
    0U,	// TPI
    0U,	// TPROT
    0U,	// TR
    0U,	// TRACE
    0U,	// TRACG
    0U,	// TRAP2
    0U,	// TRAP4
    0U,	// TRE
    0U,	// TROO
    0U,	// TROOOpt
    0U,	// TROT
    0U,	// TROTOpt
    0U,	// TRT
    0U,	// TRTE
    0U,	// TRTEOpt
    0U,	// TRTO
    0U,	// TRTOOpt
    0U,	// TRTR
    0U,	// TRTRE
    0U,	// TRTREOpt
    0U,	// TRTT
    0U,	// TRTTOpt
    0U,	// TS
    0U,	// TSCH
    0U,	// UNPK
    0U,	// UNPKA
    0U,	// UNPKU
    0U,	// UPT
    0U,	// VA
    0U,	// VAB
    13U,	// VAC
    0U,	// VACC
    0U,	// VACCB
    13U,	// VACCC
    1U,	// VACCCQ
    0U,	// VACCF
    0U,	// VACCG
    0U,	// VACCH
    0U,	// VACCQ
    1U,	// VACQ
    0U,	// VAF
    0U,	// VAG
    0U,	// VAH
    14U,	// VAP
    0U,	// VAQ
    0U,	// VAVG
    0U,	// VAVGB
    0U,	// VAVGF
    0U,	// VAVGG
    0U,	// VAVGH
    0U,	// VAVGL
    0U,	// VAVGLB
    0U,	// VAVGLF
    0U,	// VAVGLG
    0U,	// VAVGLH
    0U,	// VBPERM
    12U,	// VCDG
    0U,	// VCDGB
    12U,	// VCDLG
    0U,	// VCDLGB
    0U,	// VCEFB
    0U,	// VCELFB
    12U,	// VCEQ
    0U,	// VCEQB
    0U,	// VCEQBS
    0U,	// VCEQF
    0U,	// VCEQFS
    0U,	// VCEQG
    0U,	// VCEQGS
    0U,	// VCEQH
    0U,	// VCEQHS
    0U,	// VCFEB
    12U,	// VCFPL
    12U,	// VCFPS
    12U,	// VCGD
    0U,	// VCGDB
    12U,	// VCH
    0U,	// VCHB
    0U,	// VCHBS
    0U,	// VCHF
    0U,	// VCHFS
    0U,	// VCHG
    0U,	// VCHGS
    0U,	// VCHH
    0U,	// VCHHS
    12U,	// VCHL
    0U,	// VCHLB
    0U,	// VCHLBS
    0U,	// VCHLF
    0U,	// VCHLFS
    0U,	// VCHLG
    0U,	// VCHLGS
    0U,	// VCHLH
    0U,	// VCHLHS
    0U,	// VCKSM
    0U,	// VCLFEB
    12U,	// VCLFP
    12U,	// VCLGD
    0U,	// VCLGDB
    0U,	// VCLZ
    0U,	// VCLZB
    0U,	// VCLZF
    0U,	// VCLZG
    0U,	// VCLZH
    0U,	// VCP
    12U,	// VCSFP
    0U,	// VCTZ
    0U,	// VCTZB
    0U,	// VCTZF
    0U,	// VCTZG
    0U,	// VCTZH
    0U,	// VCVB
    0U,	// VCVBG
    0U,	// VCVBGOpt
    0U,	// VCVBOpt
    2U,	// VCVD
    2U,	// VCVDG
    14U,	// VDP
    0U,	// VEC
    0U,	// VECB
    0U,	// VECF
    0U,	// VECG
    0U,	// VECH
    0U,	// VECL
    0U,	// VECLB
    0U,	// VECLF
    0U,	// VECLG
    0U,	// VECLH
    21U,	// VERIM
    1U,	// VERIMB
    1U,	// VERIMF
    1U,	// VERIMG
    1U,	// VERIMH
    0U,	// VERLL
    0U,	// VERLLB
    0U,	// VERLLF
    0U,	// VERLLG
    0U,	// VERLLH
    0U,	// VERLLV
    0U,	// VERLLVB
    0U,	// VERLLVF
    0U,	// VERLLVG
    0U,	// VERLLVH
    0U,	// VESL
    0U,	// VESLB
    0U,	// VESLF
    0U,	// VESLG
    0U,	// VESLH
    0U,	// VESLV
    0U,	// VESLVB
    0U,	// VESLVF
    0U,	// VESLVG
    0U,	// VESLVH
    0U,	// VESRA
    0U,	// VESRAB
    0U,	// VESRAF
    0U,	// VESRAG
    0U,	// VESRAH
    0U,	// VESRAV
    0U,	// VESRAVB
    0U,	// VESRAVF
    0U,	// VESRAVG
    0U,	// VESRAVH
    0U,	// VESRL
    0U,	// VESRLB
    0U,	// VESRLF
    0U,	// VESRLG
    0U,	// VESRLH
    0U,	// VESRLV
    0U,	// VESRLVB
    0U,	// VESRLVF
    0U,	// VESRLVG
    0U,	// VESRLVH
    12U,	// VFA
    0U,	// VFADB
    12U,	// VFAE
    0U,	// VFAEB
    0U,	// VFAEBS
    0U,	// VFAEF
    0U,	// VFAEFS
    0U,	// VFAEH
    0U,	// VFAEHS
    0U,	// VFAEZB
    0U,	// VFAEZBS
    0U,	// VFAEZF
    0U,	// VFAEZFS
    0U,	// VFAEZH
    0U,	// VFAEZHS
    0U,	// VFASB
    44U,	// VFCE
    0U,	// VFCEDB
    0U,	// VFCEDBS
    0U,	// VFCESB
    0U,	// VFCESBS
    44U,	// VFCH
    0U,	// VFCHDB
    0U,	// VFCHDBS
    44U,	// VFCHE
    0U,	// VFCHEDB
    0U,	// VFCHEDBS
    0U,	// VFCHESB
    0U,	// VFCHESBS
    0U,	// VFCHSB
    0U,	// VFCHSBS
    12U,	// VFD
    0U,	// VFDDB
    0U,	// VFDSB
    12U,	// VFEE
    0U,	// VFEEB
    0U,	// VFEEBS
    0U,	// VFEEF
    0U,	// VFEEFS
    0U,	// VFEEH
    0U,	// VFEEHS
    0U,	// VFEEZB
    0U,	// VFEEZBS
    0U,	// VFEEZF
    0U,	// VFEEZFS
    0U,	// VFEEZH
    0U,	// VFEEZHS
    12U,	// VFENE
    0U,	// VFENEB
    0U,	// VFENEBS
    0U,	// VFENEF
    0U,	// VFENEFS
    0U,	// VFENEH
    0U,	// VFENEHS
    0U,	// VFENEZB
    0U,	// VFENEZBS
    0U,	// VFENEZF
    0U,	// VFENEZFS
    0U,	// VFENEZH
    0U,	// VFENEZHS
    12U,	// VFI
    0U,	// VFIDB
    0U,	// VFISB
    0U,	// VFKEDB
    0U,	// VFKEDBS
    0U,	// VFKESB
    0U,	// VFKESBS
    0U,	// VFKHDB
    0U,	// VFKHDBS
    0U,	// VFKHEDB
    0U,	// VFKHEDBS
    0U,	// VFKHESB
    0U,	// VFKHESBS
    0U,	// VFKHSB
    0U,	// VFKHSBS
    0U,	// VFLCDB
    0U,	// VFLCSB
    0U,	// VFLL
    0U,	// VFLLS
    0U,	// VFLNDB
    0U,	// VFLNSB
    0U,	// VFLPDB
    0U,	// VFLPSB
    12U,	// VFLR
    0U,	// VFLRD
    12U,	// VFM
    45U,	// VFMA
    1U,	// VFMADB
    1U,	// VFMASB
    44U,	// VFMAX
    0U,	// VFMAXDB
    0U,	// VFMAXSB
    0U,	// VFMDB
    44U,	// VFMIN
    0U,	// VFMINDB
    0U,	// VFMINSB
    45U,	// VFMS
    0U,	// VFMSB
    1U,	// VFMSDB
    1U,	// VFMSSB
    45U,	// VFNMA
    1U,	// VFNMADB
    1U,	// VFNMASB
    45U,	// VFNMS
    1U,	// VFNMSDB
    1U,	// VFNMSSB
    12U,	// VFPSO
    0U,	// VFPSODB
    0U,	// VFPSOSB
    12U,	// VFS
    0U,	// VFSDB
    0U,	// VFSQ
    0U,	// VFSQDB
    0U,	// VFSQSB
    0U,	// VFSSB
    12U,	// VFTCI
    0U,	// VFTCIDB
    0U,	// VFTCISB
    0U,	// VGBM
    0U,	// VGEF
    0U,	// VGEG
    0U,	// VGFM
    13U,	// VGFMA
    1U,	// VGFMAB
    1U,	// VGFMAF
    1U,	// VGFMAG
    1U,	// VGFMAH
    0U,	// VGFMB
    0U,	// VGFMF
    0U,	// VGFMG
    0U,	// VGFMH
    0U,	// VGM
    0U,	// VGMB
    0U,	// VGMF
    0U,	// VGMG
    0U,	// VGMH
    0U,	// VISTR
    0U,	// VISTRB
    0U,	// VISTRBS
    0U,	// VISTRF
    0U,	// VISTRFS
    0U,	// VISTRH
    0U,	// VISTRHS
    0U,	// VL
    0U,	// VLAlign
    0U,	// VLBB
    0U,	// VLBR
    0U,	// VLBRF
    0U,	// VLBRG
    0U,	// VLBRH
    0U,	// VLBRQ
    0U,	// VLBRREP
    0U,	// VLBRREPF
    0U,	// VLBRREPG
    0U,	// VLBRREPH
    0U,	// VLC
    0U,	// VLCB
    0U,	// VLCF
    0U,	// VLCG
    0U,	// VLCH
    0U,	// VLDE
    0U,	// VLDEB
    0U,	// VLEB
    0U,	// VLEBRF
    0U,	// VLEBRG
    0U,	// VLEBRH
    12U,	// VLED
    0U,	// VLEDB
    0U,	// VLEF
    0U,	// VLEG
    0U,	// VLEH
    0U,	// VLEIB
    0U,	// VLEIF
    0U,	// VLEIG
    0U,	// VLEIH
    0U,	// VLER
    0U,	// VLERF
    0U,	// VLERG
    0U,	// VLERH
    0U,	// VLGV
    0U,	// VLGVB
    0U,	// VLGVF
    0U,	// VLGVG
    0U,	// VLGVH
    0U,	// VLIP
    0U,	// VLL
    0U,	// VLLEBRZ
    0U,	// VLLEBRZE
    0U,	// VLLEBRZF
    0U,	// VLLEBRZG
    0U,	// VLLEBRZH
    0U,	// VLLEZ
    0U,	// VLLEZB
    0U,	// VLLEZF
    0U,	// VLLEZG
    0U,	// VLLEZH
    0U,	// VLLEZLF
    0U,	// VLM
    0U,	// VLMAlign
    0U,	// VLP
    0U,	// VLPB
    0U,	// VLPF
    0U,	// VLPG
    0U,	// VLPH
    0U,	// VLR
    0U,	// VLREP
    0U,	// VLREPB
    0U,	// VLREPF
    0U,	// VLREPG
    0U,	// VLREPH
    0U,	// VLRL
    0U,	// VLRLR
    2U,	// VLVG
    0U,	// VLVGB
    0U,	// VLVGF
    0U,	// VLVGG
    0U,	// VLVGH
    0U,	// VLVGP
    13U,	// VMAE
    1U,	// VMAEB
    1U,	// VMAEF
    1U,	// VMAEH
    13U,	// VMAH
    1U,	// VMAHB
    1U,	// VMAHF
    1U,	// VMAHH
    13U,	// VMAL
    1U,	// VMALB
    13U,	// VMALE
    1U,	// VMALEB
    1U,	// VMALEF
    1U,	// VMALEH
    1U,	// VMALF
    13U,	// VMALH
    1U,	// VMALHB
    1U,	// VMALHF
    1U,	// VMALHH
    1U,	// VMALHW
    13U,	// VMALO
    1U,	// VMALOB
    1U,	// VMALOF
    1U,	// VMALOH
    13U,	// VMAO
    1U,	// VMAOB
    1U,	// VMAOF
    1U,	// VMAOH
    0U,	// VME
    0U,	// VMEB
    0U,	// VMEF
    0U,	// VMEH
    0U,	// VMH
    0U,	// VMHB
    0U,	// VMHF
    0U,	// VMHH
    0U,	// VML
    0U,	// VMLB
    0U,	// VMLE
    0U,	// VMLEB
    0U,	// VMLEF
    0U,	// VMLEH
    0U,	// VMLF
    0U,	// VMLH
    0U,	// VMLHB
    0U,	// VMLHF
    0U,	// VMLHH
    0U,	// VMLHW
    0U,	// VMLO
    0U,	// VMLOB
    0U,	// VMLOF
    0U,	// VMLOH
    0U,	// VMN
    0U,	// VMNB
    0U,	// VMNF
    0U,	// VMNG
    0U,	// VMNH
    0U,	// VMNL
    0U,	// VMNLB
    0U,	// VMNLF
    0U,	// VMNLG
    0U,	// VMNLH
    0U,	// VMO
    0U,	// VMOB
    0U,	// VMOF
    0U,	// VMOH
    14U,	// VMP
    0U,	// VMRH
    0U,	// VMRHB
    0U,	// VMRHF
    0U,	// VMRHG
    0U,	// VMRHH
    0U,	// VMRL
    0U,	// VMRLB
    0U,	// VMRLF
    0U,	// VMRLG
    0U,	// VMRLH
    45U,	// VMSL
    13U,	// VMSLG
    14U,	// VMSP
    0U,	// VMX
    0U,	// VMXB
    0U,	// VMXF
    0U,	// VMXG
    0U,	// VMXH
    0U,	// VMXL
    0U,	// VMXLB
    0U,	// VMXLF
    0U,	// VMXLG
    0U,	// VMXLH
    0U,	// VN
    0U,	// VNC
    0U,	// VNN
    0U,	// VNO
    0U,	// VNX
    0U,	// VO
    0U,	// VOC
    0U,	// VONE
    0U,	// VPDI
    1U,	// VPERM
    0U,	// VPK
    0U,	// VPKF
    0U,	// VPKG
    0U,	// VPKH
    12U,	// VPKLS
    0U,	// VPKLSF
    0U,	// VPKLSFS
    0U,	// VPKLSG
    0U,	// VPKLSGS
    0U,	// VPKLSH
    0U,	// VPKLSHS
    12U,	// VPKS
    0U,	// VPKSF
    0U,	// VPKSFS
    0U,	// VPKSG
    0U,	// VPKSGS
    0U,	// VPKSH
    0U,	// VPKSHS
    0U,	// VPKZ
    0U,	// VPOPCT
    0U,	// VPOPCTB
    0U,	// VPOPCTF
    0U,	// VPOPCTG
    0U,	// VPOPCTH
    0U,	// VPSOP
    0U,	// VREP
    0U,	// VREPB
    0U,	// VREPF
    0U,	// VREPG
    0U,	// VREPH
    0U,	// VREPI
    0U,	// VREPIB
    0U,	// VREPIF
    0U,	// VREPIG
    0U,	// VREPIH
    14U,	// VRP
    0U,	// VS
    0U,	// VSB
    13U,	// VSBCBI
    1U,	// VSBCBIQ
    13U,	// VSBI
    1U,	// VSBIQ
    0U,	// VSCBI
    0U,	// VSCBIB
    0U,	// VSCBIF
    0U,	// VSCBIG
    0U,	// VSCBIH
    0U,	// VSCBIQ
    0U,	// VSCEF
    0U,	// VSCEG
    14U,	// VSDP
    0U,	// VSEG
    0U,	// VSEGB
    0U,	// VSEGF
    0U,	// VSEGH
    1U,	// VSEL
    0U,	// VSF
    0U,	// VSG
    0U,	// VSH
    0U,	// VSL
    0U,	// VSLB
    2U,	// VSLD
    2U,	// VSLDB
    14U,	// VSP
    0U,	// VSQ
    0U,	// VSRA
    0U,	// VSRAB
    2U,	// VSRD
    0U,	// VSRL
    0U,	// VSRLB
    0U,	// VSRP
    0U,	// VST
    0U,	// VSTAlign
    0U,	// VSTBR
    0U,	// VSTBRF
    0U,	// VSTBRG
    0U,	// VSTBRH
    0U,	// VSTBRQ
    0U,	// VSTEB
    0U,	// VSTEBRF
    0U,	// VSTEBRG
    0U,	// VSTEBRH
    0U,	// VSTEF
    0U,	// VSTEG
    0U,	// VSTEH
    0U,	// VSTER
    0U,	// VSTERF
    0U,	// VSTERG
    0U,	// VSTERH
    0U,	// VSTL
    0U,	// VSTM
    0U,	// VSTMAlign
    45U,	// VSTRC
    13U,	// VSTRCB
    13U,	// VSTRCBS
    13U,	// VSTRCF
    13U,	// VSTRCFS
    13U,	// VSTRCH
    13U,	// VSTRCHS
    13U,	// VSTRCZB
    13U,	// VSTRCZBS
    13U,	// VSTRCZF
    13U,	// VSTRCZFS
    13U,	// VSTRCZH
    13U,	// VSTRCZHS
    0U,	// VSTRL
    0U,	// VSTRLR
    45U,	// VSTRS
    13U,	// VSTRSB
    13U,	// VSTRSF
    13U,	// VSTRSH
    1U,	// VSTRSZB
    1U,	// VSTRSZF
    1U,	// VSTRSZH
    0U,	// VSUM
    0U,	// VSUMB
    0U,	// VSUMG
    0U,	// VSUMGF
    0U,	// VSUMGH
    0U,	// VSUMH
    0U,	// VSUMQ
    0U,	// VSUMQF
    0U,	// VSUMQG
    0U,	// VTM
    0U,	// VTP
    0U,	// VUPH
    0U,	// VUPHB
    0U,	// VUPHF
    0U,	// VUPHH
    0U,	// VUPKZ
    0U,	// VUPL
    0U,	// VUPLB
    0U,	// VUPLF
    0U,	// VUPLH
    0U,	// VUPLHB
    0U,	// VUPLHF
    0U,	// VUPLHH
    0U,	// VUPLHW
    0U,	// VUPLL
    0U,	// VUPLLB
    0U,	// VUPLLF
    0U,	// VUPLLH
    0U,	// VX
    0U,	// VZERO
    0U,	// WCDGB
    0U,	// WCDLGB
    0U,	// WCEFB
    0U,	// WCELFB
    0U,	// WCFEB
    0U,	// WCGDB
    0U,	// WCLFEB
    0U,	// WCLGDB
    0U,	// WFADB
    0U,	// WFASB
    0U,	// WFAXB
    0U,	// WFC
    0U,	// WFCDB
    0U,	// WFCEDB
    0U,	// WFCEDBS
    0U,	// WFCESB
    0U,	// WFCESBS
    0U,	// WFCEXB
    0U,	// WFCEXBS
    0U,	// WFCHDB
    0U,	// WFCHDBS
    0U,	// WFCHEDB
    0U,	// WFCHEDBS
    0U,	// WFCHESB
    0U,	// WFCHESBS
    0U,	// WFCHEXB
    0U,	// WFCHEXBS
    0U,	// WFCHSB
    0U,	// WFCHSBS
    0U,	// WFCHXB
    0U,	// WFCHXBS
    0U,	// WFCSB
    0U,	// WFCXB
    0U,	// WFDDB
    0U,	// WFDSB
    0U,	// WFDXB
    0U,	// WFIDB
    0U,	// WFISB
    0U,	// WFIXB
    0U,	// WFK
    0U,	// WFKDB
    0U,	// WFKEDB
    0U,	// WFKEDBS
    0U,	// WFKESB
    0U,	// WFKESBS
    0U,	// WFKEXB
    0U,	// WFKEXBS
    0U,	// WFKHDB
    0U,	// WFKHDBS
    0U,	// WFKHEDB
    0U,	// WFKHEDBS
    0U,	// WFKHESB
    0U,	// WFKHESBS
    0U,	// WFKHEXB
    0U,	// WFKHEXBS
    0U,	// WFKHSB
    0U,	// WFKHSBS
    0U,	// WFKHXB
    0U,	// WFKHXBS
    0U,	// WFKSB
    0U,	// WFKXB
    0U,	// WFLCDB
    0U,	// WFLCSB
    0U,	// WFLCXB
    0U,	// WFLLD
    0U,	// WFLLS
    0U,	// WFLNDB
    0U,	// WFLNSB
    0U,	// WFLNXB
    0U,	// WFLPDB
    0U,	// WFLPSB
    0U,	// WFLPXB
    0U,	// WFLRD
    0U,	// WFLRX
    1U,	// WFMADB
    1U,	// WFMASB
    1U,	// WFMAXB
    0U,	// WFMAXDB
    0U,	// WFMAXSB
    0U,	// WFMAXXB
    0U,	// WFMDB
    0U,	// WFMINDB
    0U,	// WFMINSB
    0U,	// WFMINXB
    0U,	// WFMSB
    1U,	// WFMSDB
    1U,	// WFMSSB
    1U,	// WFMSXB
    0U,	// WFMXB
    1U,	// WFNMADB
    1U,	// WFNMASB
    1U,	// WFNMAXB
    1U,	// WFNMSDB
    1U,	// WFNMSSB
    1U,	// WFNMSXB
    0U,	// WFPSODB
    0U,	// WFPSOSB
    0U,	// WFPSOXB
    0U,	// WFSDB
    0U,	// WFSQDB
    0U,	// WFSQSB
    0U,	// WFSQXB
    0U,	// WFSSB
    0U,	// WFSXB
    0U,	// WFTCIDB
    0U,	// WFTCISB
    0U,	// WFTCIXB
    0U,	// WLDEB
    0U,	// WLEDB
    0U,	// X
    0U,	// XC
    0U,	// XG
    0U,	// XGR
    0U,	// XGRK
    0U,	// XI
    0U,	// XIHF
    0U,	// XILF
    0U,	// XIY
    0U,	// XR
    0U,	// XRK
    0U,	// XSCH
    0U,	// XY
    0U,	// ZAP
  };

  O << "\t";

  // Emit the opcode for the instruction.
  uint64_t Bits = 0;
  Bits |= (uint64_t)OpInfo0[MI->getOpcode()] << 0;
  Bits |= (uint64_t)OpInfo1[MI->getOpcode()] << 32;
  Bits |= (uint64_t)OpInfo2[MI->getOpcode()] << 48;
  assert(Bits != 0 && "Cannot print this instruction.");
  O << AsmStrs+(Bits & 32767)-1;


  // Fragment 0 encoded into 5 bits for 18 unique commands.
  switch ((Bits >> 15) & 31) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // DBG_VALUE, DBG_LABEL, BUNDLE, LIFETIME_START, LIFETIME_END, FENTRY_CAL...
    return;
    break;
  case 1:
    // A, AD, ADB, ADBR, ADR, ADTR, ADTRA, AE, AEB, AEBR, AER, AFI, AG, AGF, ...
    printOperand(MI, 0, O);
    break;
  case 2:
    // AGSI, ALGSI, ALSI, ASI, CFC, CGHSI, CHHSI, CHSI, CLFHSI, CLGHSI, CLHHS...
    printBDAddrOperand(MI, 0, O);
    break;
  case 3:
    // AP, CLC, CP, DP, ED, EDMK, MP, MVC, MVCIN, MVN, MVO, MVZ, NC, OC, PACK...
    printBDLAddrOperand(MI, 0, O);
    break;
  case 4:
    // B, BAsmE, BAsmH, BAsmHE, BAsmL, BAsmLE, BAsmLH, BAsmM, BAsmNE, BAsmNH,...
    printBDXAddrOperand(MI, 0, O);
    return;
    break;
  case 5:
    // BC, BCR, BIC, BRC, BRCL
    printCond4Operand(MI, 1, O);
    break;
  case 6:
    // BCAsm, BCRAsm, BICAsm, BPP, BPRP, BRCAsm, BRCLAsm, NIAI, PFD, PFDRL
    printU4ImmOperand(MI, 0, O);
    O << ", ";
    break;
  case 7:
    // CGIB, CGIJ, CGIT, CGRB, CGRJ, CGRT, CIB, CIJ, CIT, CLFIT, CLGIB, CLGIJ...
    printCond4Operand(MI, 2, O);
    O << "\t";
    printOperand(MI, 0, O);
    O << ", ";
    break;
  case 8:
    // CLGT, CLT
    printCond4Operand(MI, 3, O);
    O << "\t";
    printOperand(MI, 0, O);
    O << ", ";
    printBDAddrOperand(MI, 1, O);
    return;
    break;
  case 9:
    // InsnE, InsnRR
    printU16ImmOperand(MI, 0, O);
    break;
  case 10:
    // InsnRI, InsnRRE, InsnRRF, InsnRS, InsnRX, InsnS, InsnSI
    printU32ImmOperand(MI, 0, O);
    O << ',';
    break;
  case 11:
    // InsnRIE, InsnRIL, InsnRILU, InsnRIS, InsnRRS, InsnRSE, InsnRSI, InsnRS...
    printU48ImmOperand(MI, 0, O);
    O << ',';
    break;
  case 12:
    // J, JAsmE, JAsmH, JAsmHE, JAsmL, JAsmLE, JAsmLH, JAsmM, JAsmNE, JAsmNH,...
    printPCRelOperand(MI, 0, O);
    return;
    break;
  case 13:
    // KDSA, KIMD, KLMD, KMAC, PFMF, TRTE, TRTEOpt, TRTRE, TRTREOpt
    printOperand(MI, 1, O);
    O << ", ";
    printOperand(MI, 0, O);
    break;
  case 14:
    // LOC, LOCFH, LOCG
    printCond4Operand(MI, 5, O);
    O << "\t";
    printOperand(MI, 0, O);
    O << ", ";
    printBDAddrOperand(MI, 2, O);
    return;
    break;
  case 15:
    // LOCFHR, LOCGHI, LOCGR, LOCHHI, LOCHI, LOCR, SELFHR, SELGR, SELR, STOC,...
    printCond4Operand(MI, 4, O);
    O << "\t";
    printOperand(MI, 0, O);
    O << ", ";
    break;
  case 16:
    // MVCK, MVCP, MVCS
    printBDRAddrOperand(MI, 0, O);
    O << ", ";
    printBDAddrOperand(MI, 3, O);
    O << ", ";
    printOperand(MI, 5, O);
    return;
    break;
  case 17:
    // SVC
    printU8ImmOperand(MI, 0, O);
    return;
    break;
  }


  // Fragment 1 encoded into 5 bits for 17 unique commands.
  switch ((Bits >> 20) & 31) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // A, AD, ADB, ADBR, ADR, ADTR, ADTRA, AE, AEB, AEBR, AER, AFI, AG, AGF, ...
    O << ", ";
    break;
  case 1:
    // BC, BIC, BRC, BRCL
    O << "\t";
    break;
  case 2:
    // BCAsm, BICAsm, PFD
    printBDXAddrOperand(MI, 1, O);
    return;
    break;
  case 3:
    // BCR
    O << "r\t";
    printOperand(MI, 2, O);
    return;
    break;
  case 4:
    // BCRAsm, CGRB, CGRJ, CGRT, CLGRB, CLGRJ, CLGRT, CLRB, CLRJ, CLRT, CRB, ...
    printOperand(MI, 1, O);
    break;
  case 5:
    // BPP, BPRP, BRCAsm, BRCLAsm, PFDRL
    printPCRelOperand(MI, 1, O);
    break;
  case 6:
    // BR, BRAsmE, BRAsmH, BRAsmHE, BRAsmL, BRAsmLE, BRAsmLH, BRAsmM, BRAsmNE...
    return;
    break;
  case 7:
    // CGIB, CGIJ, CIB, CIJ
    printS8ImmOperand(MI, 1, O);
    O << ", ";
    break;
  case 8:
    // CGIT, CIT
    printS16ImmOperand(MI, 1, O);
    return;
    break;
  case 9:
    // CLFIT, CLGIT
    printU16ImmOperand(MI, 1, O);
    return;
    break;
  case 10:
    // CLGIB, CLGIJ, CLIB, CLIJ
    printU8ImmOperand(MI, 1, O);
    O << ", ";
    break;
  case 11:
    // InsnRR
    O << ',';
    printOperand(MI, 1, O);
    O << ',';
    printOperand(MI, 2, O);
    return;
    break;
  case 12:
    // InsnS, InsnSI, InsnSIL, InsnSIY, InsnSSE, InsnSSF, STOC, STOCFH, STOCG
    printBDAddrOperand(MI, 1, O);
    break;
  case 13:
    // InsnSS
    printBDRAddrOperand(MI, 1, O);
    O << ',';
    printBDAddrOperand(MI, 4, O);
    O << ',';
    printOperand(MI, 6, O);
    return;
    break;
  case 14:
    // LOCFHR, LOCGR, LOCR, SELFHR, SELGR, SELR
    printOperand(MI, 2, O);
    break;
  case 15:
    // LOCGHI, LOCHHI, LOCHI
    printS16ImmOperand(MI, 2, O);
    return;
    break;
  case 16:
    // NIAI
    printU4ImmOperand(MI, 1, O);
    return;
    break;
  }


  // Fragment 2 encoded into 6 bits for 34 unique commands.
  switch ((Bits >> 25) & 63) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // A, AD, ADB, AE, AEB, AG, AGF, AGH, AH, AHY, AL, ALC, ALCG, ALG, ALGF, ...
    printBDXAddrOperand(MI, 2, O);
    break;
  case 1:
    // ADBR, ADR, AEBR, AER, AGFR, AGR, ALCGR, ALCR, ALGFR, ALGR, ALR, AR, AU...
    printOperand(MI, 2, O);
    break;
  case 2:
    // ADTR, ADTRA, AGHIK, AGRK, AHHHR, AHHLR, AHIK, ALGHSIK, ALGRK, ALHHHR, ...
    printOperand(MI, 1, O);
    break;
  case 3:
    // AFI, AGFI, AIH, ALSIH, ALSIHN, MSFI, MSGFI
    printS32ImmOperand(MI, 2, O);
    return;
    break;
  case 4:
    // AGHI, AHI, CGHSI, CHHSI, CHSI, LOCGHIAsm, LOCGHIAsmE, LOCGHIAsmH, LOCG...
    printS16ImmOperand(MI, 2, O);
    break;
  case 5:
    // AGSI, ALGSI, ALSI, ASI
    printS8ImmOperand(MI, 2, O);
    return;
    break;
  case 6:
    // ALFI, ALGFI, NIHF, NILF, OIHF, OILF, SLFI, SLGFI, XIHF, XILF
    printU32ImmOperand(MI, 2, O);
    return;
    break;
  case 7:
    // AP, CP, DP, MP, MVO, PACK, SP, UNPK, ZAP
    printBDLAddrOperand(MI, 3, O);
    return;
    break;
  case 8:
    // BAL, BAS, C, CD, CDB, CE, CEB, CG, CGF, CGH, CH, CHF, CHY, CL, CLG, CL...
    printBDXAddrOperand(MI, 1, O);
    break;
  case 9:
    // BCRAsm, BRCAsm, BRCLAsm, CGRT, CLGRT, CLRT, CRT, InsnS, LOCFHR, LOCGR,...
    return;
    break;
  case 10:
    // BPP, BPRP, CGRB, CGRJ, CLGRB, CLGRJ, CLRB, CLRJ, CRB, CRJ, SELFHR, SEL...
    O << ", ";
    break;
  case 11:
    // BRAS, BRASL
    printPCRelTLSOperand(MI, 1, O);
    return;
    break;
  case 12:
    // BRC, BRCL, BRCT, BRCTG, BRCTH
    printPCRelOperand(MI, 2, O);
    return;
    break;
  case 13:
    // CDFBRA, CDFTR, CDGBRA, CDGTRA, CDLFBR, CDLFTR, CDLGBR, CDLGTR, CEFBRA,...
    printU4ImmOperand(MI, 1, O);
    O << ", ";
    break;
  case 14:
    // CDPT, CDZT, CPDT, CPXT, CXPT, CXZT, CZDT, CZXT
    printBDLAddrOperand(MI, 1, O);
    O << ", ";
    printU4ImmOperand(MI, 4, O);
    return;
    break;
  case 15:
    // CFI, CGFI, CIH, LGFI
    printS32ImmOperand(MI, 1, O);
    return;
    break;
  case 16:
    // CGFRL, CGHRL, CGRL, CHRL, CLGFRL, CLGHRL, CLGRL, CLHRL, CLRL, CRL, EXR...
    printPCRelOperand(MI, 1, O);
    return;
    break;
  case 17:
    // CGHI, CGITAsm, CGITAsmE, CGITAsmH, CGITAsmHE, CGITAsmL, CGITAsmLE, CGI...
    printS16ImmOperand(MI, 1, O);
    break;
  case 18:
    // CGIB, CIB, CLC, CLGIB, CLIB, ED, EDMK, MVC, MVCIN, MVN, MVZ, NC, OC, S...
    printBDAddrOperand(MI, 3, O);
    break;
  case 19:
    // CGIBAsm, CGIBAsmE, CGIBAsmH, CGIBAsmHE, CGIBAsmL, CGIBAsmLE, CGIBAsmLH...
    printS8ImmOperand(MI, 1, O);
    O << ", ";
    break;
  case 20:
    // CGIJ, CIJ, CLGIJ, CLIJ
    printPCRelOperand(MI, 3, O);
    return;
    break;
  case 21:
    // CLFHSI, CLGHSI, CLHHSI, IIHH, IIHL, IILH, IILL, NIHH, NIHL, NILH, NILL...
    printU16ImmOperand(MI, 2, O);
    return;
    break;
  case 22:
    // CLFI, CLGFI, CLIH, IIHF, IILF, LLIHF, LLILF
    printU32ImmOperand(MI, 1, O);
    return;
    break;
  case 23:
    // CLFITAsm, CLFITAsmE, CLFITAsmH, CLFITAsmHE, CLFITAsmL, CLFITAsmLE, CLF...
    printU16ImmOperand(MI, 1, O);
    break;
  case 24:
    // CLGIBAsm, CLGIBAsmE, CLGIBAsmH, CLGIBAsmHE, CLGIBAsmL, CLGIBAsmLE, CLG...
    printU8ImmOperand(MI, 1, O);
    O << ", ";
    break;
  case 25:
    // CLGTAsm, CLTAsm, TRTE, TRTRE
    printU4ImmOperand(MI, 3, O);
    break;
  case 26:
    // CLGTAsmE, CLGTAsmH, CLGTAsmHE, CLGTAsmL, CLGTAsmLE, CLGTAsmLH, CLGTAsm...
    printBDAddrOperand(MI, 1, O);
    break;
  case 27:
    // CLI, CLIY, MC, MVI, MVIY, NI, NIY, OI, OIY, STNSM, STOSM, TM, TMY, XI,...
    printU8ImmOperand(MI, 2, O);
    return;
    break;
  case 28:
    // CSST, ECTG, LASP, LOCAsm, LOCAsmE, LOCAsmH, LOCAsmHE, LOCAsmL, LOCAsmL...
    printBDAddrOperand(MI, 2, O);
    break;
  case 29:
    // ICM, ICMH, ICMY
    printU4ImmOperand(MI, 2, O);
    O << ", ";
    printBDAddrOperand(MI, 3, O);
    return;
    break;
  case 30:
    // InsnRI, InsnRIE, InsnRIL, InsnRILU, InsnRIS, InsnRRE, InsnRRF, InsnRRS...
    O << ',';
    break;
  case 31:
    // PKA, PKU
    printBDLAddrOperand(MI, 2, O);
    return;
    break;
  case 32:
    // VGEF, VGEG
    printBDVAddrOperand(MI, 2, O);
    O << ", ";
    break;
  case 33:
    // VSCEF, VSCEG
    printBDVAddrOperand(MI, 1, O);
    O << ", ";
    break;
  }


  // Fragment 3 encoded into 5 bits for 21 unique commands.
  switch ((Bits >> 31) & 31) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // A, AD, ADB, ADBR, ADR, AE, AEB, AEBR, AER, AG, AGF, AGFR, AGH, AGHI, A...
    return;
    break;
  case 1:
    // ADTR, ADTRA, AGHIK, AGRK, AHHHR, AHHLR, AHIK, ALGHSIK, ALGRK, ALHHHR, ...
    O << ", ";
    break;
  case 2:
    // BPP, InsnRX, InsnRXE, InsnRXY
    printBDXAddrOperand(MI, 2, O);
    return;
    break;
  case 3:
    // BPRP, CGIJAsmE, CGIJAsmH, CGIJAsmHE, CGIJAsmL, CGIJAsmLE, CGIJAsmLH, C...
    printPCRelOperand(MI, 2, O);
    return;
    break;
  case 4:
    // CDFBRA, CDFTR, CDGBRA, CDGTRA, CDLFBR, CDLFTR, CDLGBR, CDLGTR, CEFBRA,...
    printOperand(MI, 2, O);
    break;
  case 5:
    // CGIBAsm, CGIJAsm, CIBAsm, CIJAsm, CLGIBAsm, CLGIJAsm, CLIBAsm, CLIJAsm
    printU4ImmOperand(MI, 2, O);
    O << ", ";
    break;
  case 6:
    // CGIBAsmE, CGIBAsmH, CGIBAsmHE, CGIBAsmL, CGIBAsmLE, CGIBAsmLH, CGIBAsm...
    printBDAddrOperand(MI, 2, O);
    return;
    break;
  case 7:
    // CGRB, CLGRB, CLRB, CRB, InsnSSE, InsnSSF
    printBDAddrOperand(MI, 3, O);
    break;
  case 8:
    // CGRJ, CLGRJ, CLRJ, CRJ
    printPCRelOperand(MI, 3, O);
    return;
    break;
  case 9:
    // InsnRI
    printS16ImmOperand(MI, 2, O);
    return;
    break;
  case 10:
    // InsnRILU
    printU32ImmOperand(MI, 2, O);
    return;
    break;
  case 11:
    // InsnRIS
    printS8ImmOperand(MI, 2, O);
    O << ',';
    printU4ImmOperand(MI, 3, O);
    O << ',';
    printBDAddrOperand(MI, 4, O);
    return;
    break;
  case 12:
    // InsnSI
    printS8ImmOperand(MI, 3, O);
    return;
    break;
  case 13:
    // InsnSIL
    printU16ImmOperand(MI, 3, O);
    return;
    break;
  case 14:
    // InsnSIY
    printU8ImmOperand(MI, 3, O);
    return;
    break;
  case 15:
    // SELFHR, SELGR, SELR
    printOperand(MI, 1, O);
    return;
    break;
  case 16:
    // VGEF
    printU2ImmOperand(MI, 5, O);
    return;
    break;
  case 17:
    // VGEG
    printU1ImmOperand(MI, 5, O);
    return;
    break;
  case 18:
    // VGM, VGMB, VGMF, VGMG, VGMH
    printU8ImmOperand(MI, 2, O);
    break;
  case 19:
    // VSCEF
    printU2ImmOperand(MI, 4, O);
    return;
    break;
  case 20:
    // VSCEG
    printU1ImmOperand(MI, 4, O);
    return;
    break;
  }


  // Fragment 4 encoded into 6 bits for 33 unique commands.
  switch ((Bits >> 36) & 63) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // ADTR, ADTRA, AGRK, AHHHR, AHHLR, ALGRK, ALHHHR, ALHHLR, ALRK, ARK, AXT...
    printOperand(MI, 2, O);
    break;
  case 1:
    // AGHIK, AHIK, ALGHSIK, ALHSIK
    printS16ImmOperand(MI, 2, O);
    return;
    break;
  case 2:
    // BRXH, BRXHG, BRXLE, BRXLG, CGIJAsm, CIJAsm, CLGIJAsm, CLIJAsm
    printPCRelOperand(MI, 3, O);
    return;
    break;
  case 3:
    // BXH, BXHG, BXLE, BXLEG, CDS, CDSG, CDSY, CGIBAsm, CIBAsm, CLGIBAsm, CL...
    printBDAddrOperand(MI, 3, O);
    break;
  case 4:
    // CDFBRA, CDFTR, CDGBRA, CDGTRA, CDLFBR, CDLFTR, CDLGBR, CDLGTR, CEFBRA,...
    O << ", ";
    printU4ImmOperand(MI, 3, O);
    return;
    break;
  case 5:
    // CFDBR, CFDR, CFEBR, CFER, CFXBR, CFXR, CGDBR, CGDR, CGDTR, CGEBR, CGER...
    return;
    break;
  case 6:
    // CGITAsm, CGRBAsm, CGRJAsm, CGRTAsm, CITAsm, CLFITAsm, CLGITAsm, CLGRBA...
    printU4ImmOperand(MI, 2, O);
    break;
  case 7:
    // CGRBAsmE, CGRBAsmH, CGRBAsmHE, CGRBAsmL, CGRBAsmLE, CGRBAsmLH, CGRBAsm...
    printBDAddrOperand(MI, 2, O);
    break;
  case 8:
    // CGRJAsmE, CGRJAsmH, CGRJAsmHE, CGRJAsmL, CGRJAsmLE, CGRJAsmLH, CGRJAsm...
    printPCRelOperand(MI, 2, O);
    return;
    break;
  case 9:
    // CLCLE, CLCLU, MVCLE, MVCLU
    printBDAddrOperand(MI, 4, O);
    return;
    break;
  case 10:
    // CLGTAsm, CLTAsm
    printBDAddrOperand(MI, 1, O);
    return;
    break;
  case 11:
    // CPSDRdd, CPSDRds, CPSDRsd, CPSDRss, CRDTE, CRDTEOpt, IDTE, IDTEOpt, IE...
    printOperand(MI, 1, O);
    break;
  case 12:
    // CSST, DFLTCC, ECTG, MVCOS
    printOperand(MI, 4, O);
    return;
    break;
  case 13:
    // CU12, CU14, CU21, CU24, CUTFU, CUUTF, LCBB, LOCAsm, LOCFHAsm, LOCGAsm,...
    printU4ImmOperand(MI, 4, O);
    return;
    break;
  case 14:
    // DIDBR, DIEBR, LPTEA, MADBR, MADR, MAEBR, MAER, MAYHR, MAYLR, MAYR, MSD...
    printOperand(MI, 3, O);
    break;
  case 15:
    // InsnRIE, InsnRRF, InsnRRS, InsnRS, InsnRSE, InsnRSI, InsnRSY, InsnRXF,...
    O << ',';
    break;
  case 16:
    // LOCFHRAsm, LOCGHIAsm, LOCGRAsm, LOCHHIAsm, LOCHIAsm, LOCRAsm, STOCAsm,...
    printU4ImmOperand(MI, 3, O);
    return;
    break;
  case 17:
    // MAD, MADB, MAE, MAEB, MAY, MAYH, MAYL, MSD, MSDB, MSE, MSEB
    printBDXAddrOperand(MI, 3, O);
    return;
    break;
  case 18:
    // MY, MYH, MYL, SLDT, SLXT, SRDT, SRXT
    printBDXAddrOperand(MI, 2, O);
    return;
    break;
  case 19:
    // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG, VLRL, VPK...
    printU8ImmOperand(MI, 3, O);
    break;
  case 20:
    // SRP, VLEB
    printU4ImmOperand(MI, 5, O);
    return;
    break;
  case 21:
    // VCVD, VCVDG, VPSOP, VSRP
    printU8ImmOperand(MI, 2, O);
    O << ", ";
    break;
  case 22:
    // VFTCI, VFTCIDB, VFTCISB, WFTCIDB, WFTCISB, WFTCIXB
    printU12ImmOperand(MI, 2, O);
    break;
  case 23:
    // VLEBRF, VLEF
    printU2ImmOperand(MI, 5, O);
    return;
    break;
  case 24:
    // VLEBRG, VLEG
    printU1ImmOperand(MI, 5, O);
    return;
    break;
  case 25:
    // VLEBRH, VLEH
    printU3ImmOperand(MI, 5, O);
    return;
    break;
  case 26:
    // VLEIF
    printU2ImmOperand(MI, 3, O);
    return;
    break;
  case 27:
    // VLEIG
    printU1ImmOperand(MI, 3, O);
    return;
    break;
  case 28:
    // VLEIH
    printU3ImmOperand(MI, 3, O);
    return;
    break;
  case 29:
    // VREP, VREPB, VREPF, VREPG, VREPH
    printU16ImmOperand(MI, 2, O);
    break;
  case 30:
    // VSTEBRF, VSTEF
    printU2ImmOperand(MI, 4, O);
    return;
    break;
  case 31:
    // VSTEBRG, VSTEG
    printU1ImmOperand(MI, 4, O);
    return;
    break;
  case 32:
    // VSTEBRH, VSTEH
    printU3ImmOperand(MI, 4, O);
    return;
    break;
  }


  // Fragment 5 encoded into 4 bits for 9 unique commands.
  switch ((Bits >> 42) & 15) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // ADTR, AGRK, AHHHR, AHHLR, ALGRK, ALHHHR, ALHHLR, ALRK, ARK, AXTR, BXH,...
    return;
    break;
  case 1:
    // ADTRA, AXTRA, CGRBAsm, CGRJAsm, CLGRBAsm, CLGRJAsm, CLRBAsm, CLRJAsm, ...
    O << ", ";
    break;
  case 2:
    // InsnRIE, InsnRSI
    printPCRelOperand(MI, 3, O);
    return;
    break;
  case 3:
    // InsnRRF
    printOperand(MI, 3, O);
    O << ',';
    printU4ImmOperand(MI, 4, O);
    return;
    break;
  case 4:
    // InsnRRS, VCVD, VCVDG
    printU4ImmOperand(MI, 3, O);
    break;
  case 5:
    // InsnRS, InsnRSE, InsnRSY
    printBDAddrOperand(MI, 3, O);
    return;
    break;
  case 6:
    // InsnRXF
    printBDXAddrOperand(MI, 3, O);
    return;
    break;
  case 7:
    // InsnSSF
    printOperand(MI, 5, O);
    return;
    break;
  case 8:
    // VPSOP, VSRP
    printU8ImmOperand(MI, 3, O);
    O << ", ";
    printU4ImmOperand(MI, 4, O);
    return;
    break;
  }


  // Fragment 6 encoded into 4 bits for 11 unique commands.
  switch ((Bits >> 46) & 15) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // ADTRA, AXTRA, CRDTE, DDTRA, DXTRA, IDTE, IPTE, MDTRA, MXTRA, SDTRA, SE...
    printU4ImmOperand(MI, 3, O);
    break;
  case 1:
    // CGRBAsm, CLGRBAsm, CLRBAsm, CRBAsm
    printBDAddrOperand(MI, 3, O);
    return;
    break;
  case 2:
    // CGRJAsm, CLGRJAsm, CLRJAsm, CRJAsm
    printPCRelOperand(MI, 3, O);
    return;
    break;
  case 3:
    // DIDBR, DIEBR, LPTEA, QADTR, QAXTR, RRDTR, RRXTR, VERLL, VESL, VESRA, V...
    printU4ImmOperand(MI, 4, O);
    return;
    break;
  case 4:
    // InsnRRS
    O << ',';
    printBDAddrOperand(MI, 4, O);
    return;
    break;
  case 5:
    // LMD, PLO
    printBDAddrOperand(MI, 4, O);
    return;
    break;
  case 6:
    // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG, VERIM, VE...
    printU8ImmOperand(MI, 4, O);
    break;
  case 7:
    // VAC, VACCC, VACCCQ, VACQ, VFMA, VFMADB, VFMASB, VFMS, VFMSDB, VFMSSB, ...
    printOperand(MI, 3, O);
    break;
  case 8:
    // VAP, VDP, VMP, VMSP, VRP, VSDP, VSLD, VSLDB, VSP, VSRD
    printU8ImmOperand(MI, 3, O);
    break;
  case 9:
    // VCVD, VCVDG
    return;
    break;
  case 10:
    // VLVG
    printU4ImmOperand(MI, 5, O);
    return;
    break;
  }


  // Fragment 7 encoded into 1 bits for 2 unique commands.
  if ((Bits >> 50) & 1) {
    // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG, VAC, VACC...
    O << ", ";
  } else {
    // ADTRA, AXTRA, CRDTE, DDTRA, DXTRA, IDTE, IPTE, MDTRA, MXTRA, SDTRA, SE...
    return;
  }


  // Fragment 8 encoded into 2 bits for 3 unique commands.
  switch ((Bits >> 51) & 3) {
  default: llvm_unreachable("Invalid command number.");
  case 0:
    // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG
    printU6ImmOperand(MI, 5, O);
    return;
    break;
  case 1:
    // VAC, VACCC, VAP, VCDG, VCDLG, VCEQ, VCFPL, VCFPS, VCGD, VCH, VCHL, VCL...
    printU4ImmOperand(MI, 4, O);
    break;
  case 2:
    // VERIM
    printU4ImmOperand(MI, 5, O);
    return;
    break;
  }


  // Fragment 9 encoded into 1 bits for 2 unique commands.
  if ((Bits >> 53) & 1) {
    // VFCE, VFCH, VFCHE, VFMA, VFMAX, VFMIN, VFMS, VFNMA, VFNMS, VMSL, VSTRC...
    O << ", ";
    printU4ImmOperand(MI, 5, O);
    return;
  } else {
    // VAC, VACCC, VAP, VCDG, VCDLG, VCEQ, VCFPL, VCFPS, VCGD, VCH, VCHL, VCL...
    return;
  }

}


/// getRegisterName - This method is automatically generated by tblgen
/// from the register set description.  This returns the assembler name
/// for the specified register.
const char *SystemZInstPrinter::getRegisterName(unsigned RegNo) {
  assert(RegNo && RegNo < 195 && "Invalid register number!");

  static const char AsmStrs[] = {
  /* 0 */ 'a', '1', '0', 0,
  /* 4 */ 'c', '1', '0', 0,
  /* 8 */ 'f', '1', '0', 0,
  /* 12 */ 'r', '1', '0', 0,
  /* 16 */ 'v', '1', '0', 0,
  /* 20 */ 'v', '2', '0', 0,
  /* 24 */ 'v', '3', '0', 0,
  /* 28 */ 'a', '0', 0,
  /* 31 */ 'c', '0', 0,
  /* 34 */ 'f', '0', 0,
  /* 37 */ 'r', '0', 0,
  /* 40 */ 'v', '0', 0,
  /* 43 */ 'a', '1', '1', 0,
  /* 47 */ 'c', '1', '1', 0,
  /* 51 */ 'f', '1', '1', 0,
  /* 55 */ 'r', '1', '1', 0,
  /* 59 */ 'v', '1', '1', 0,
  /* 63 */ 'v', '2', '1', 0,
  /* 67 */ 'v', '3', '1', 0,
  /* 71 */ 'a', '1', 0,
  /* 74 */ 'c', '1', 0,
  /* 77 */ 'f', '1', 0,
  /* 80 */ 'r', '1', 0,
  /* 83 */ 'v', '1', 0,
  /* 86 */ 'a', '1', '2', 0,
  /* 90 */ 'c', '1', '2', 0,
  /* 94 */ 'f', '1', '2', 0,
  /* 98 */ 'r', '1', '2', 0,
  /* 102 */ 'v', '1', '2', 0,
  /* 106 */ 'v', '2', '2', 0,
  /* 110 */ 'a', '2', 0,
  /* 113 */ 'c', '2', 0,
  /* 116 */ 'f', '2', 0,
  /* 119 */ 'r', '2', 0,
  /* 122 */ 'v', '2', 0,
  /* 125 */ 'a', '1', '3', 0,
  /* 129 */ 'c', '1', '3', 0,
  /* 133 */ 'f', '1', '3', 0,
  /* 137 */ 'r', '1', '3', 0,
  /* 141 */ 'v', '1', '3', 0,
  /* 145 */ 'v', '2', '3', 0,
  /* 149 */ 'a', '3', 0,
  /* 152 */ 'c', '3', 0,
  /* 155 */ 'f', '3', 0,
  /* 158 */ 'r', '3', 0,
  /* 161 */ 'v', '3', 0,
  /* 164 */ 'a', '1', '4', 0,
  /* 168 */ 'c', '1', '4', 0,
  /* 172 */ 'f', '1', '4', 0,
  /* 176 */ 'r', '1', '4', 0,
  /* 180 */ 'v', '1', '4', 0,
  /* 184 */ 'v', '2', '4', 0,
  /* 188 */ 'a', '4', 0,
  /* 191 */ 'c', '4', 0,
  /* 194 */ 'f', '4', 0,
  /* 197 */ 'r', '4', 0,
  /* 200 */ 'v', '4', 0,
  /* 203 */ 'a', '1', '5', 0,
  /* 207 */ 'c', '1', '5', 0,
  /* 211 */ 'f', '1', '5', 0,
  /* 215 */ 'r', '1', '5', 0,
  /* 219 */ 'v', '1', '5', 0,
  /* 223 */ 'v', '2', '5', 0,
  /* 227 */ 'a', '5', 0,
  /* 230 */ 'c', '5', 0,
  /* 233 */ 'f', '5', 0,
  /* 236 */ 'r', '5', 0,
  /* 239 */ 'v', '5', 0,
  /* 242 */ 'v', '1', '6', 0,
  /* 246 */ 'v', '2', '6', 0,
  /* 250 */ 'a', '6', 0,
  /* 253 */ 'c', '6', 0,
  /* 256 */ 'f', '6', 0,
  /* 259 */ 'r', '6', 0,
  /* 262 */ 'v', '6', 0,
  /* 265 */ 'v', '1', '7', 0,
  /* 269 */ 'v', '2', '7', 0,
  /* 273 */ 'a', '7', 0,
  /* 276 */ 'c', '7', 0,
  /* 279 */ 'f', '7', 0,
  /* 282 */ 'r', '7', 0,
  /* 285 */ 'v', '7', 0,
  /* 288 */ 'v', '1', '8', 0,
  /* 292 */ 'v', '2', '8', 0,
  /* 296 */ 'a', '8', 0,
  /* 299 */ 'c', '8', 0,
  /* 302 */ 'f', '8', 0,
  /* 305 */ 'r', '8', 0,
  /* 308 */ 'v', '8', 0,
  /* 311 */ 'v', '1', '9', 0,
  /* 315 */ 'v', '2', '9', 0,
  /* 319 */ 'a', '9', 0,
  /* 322 */ 'c', '9', 0,
  /* 325 */ 'f', '9', 0,
  /* 328 */ 'r', '9', 0,
  /* 331 */ 'v', '9', 0,
  /* 334 */ 'c', 'c', 0,
  /* 337 */ 'f', 'p', 'c', 0,
  };

  static const uint16_t RegAsmOffset[] = {
    334, 337, 28, 71, 110, 149, 188, 227, 250, 273, 296, 319, 0, 43, 
    86, 125, 164, 203, 31, 74, 113, 152, 191, 230, 253, 276, 299, 322, 
    4, 47, 90, 129, 168, 207, 40, 83, 122, 161, 200, 239, 262, 285, 
    308, 331, 16, 59, 102, 141, 180, 219, 242, 265, 288, 311, 20, 63, 
    106, 145, 184, 223, 246, 269, 292, 315, 24, 67, 34, 77, 116, 155, 
    194, 233, 256, 279, 302, 325, 8, 51, 94, 133, 172, 211, 242, 265, 
    288, 311, 20, 63, 106, 145, 184, 223, 246, 269, 292, 315, 24, 67, 
    34, 77, 194, 233, 302, 325, 94, 133, 34, 77, 116, 155, 194, 233, 
    256, 279, 302, 325, 8, 51, 94, 133, 172, 211, 242, 265, 288, 311, 
    20, 63, 106, 145, 184, 223, 246, 269, 292, 315, 24, 67, 37, 80, 
    119, 158, 197, 236, 259, 282, 305, 328, 12, 55, 98, 137, 176, 215, 
    37, 80, 119, 158, 197, 236, 259, 282, 305, 328, 12, 55, 98, 137, 
    176, 215, 37, 80, 119, 158, 197, 236, 259, 282, 305, 328, 12, 55, 
    98, 137, 176, 215, 37, 119, 197, 259, 305, 12, 98, 176, 
  };

  assert (*(AsmStrs+RegAsmOffset[RegNo-1]) &&
          "Invalid alt name index for register!");
  return AsmStrs+RegAsmOffset[RegNo-1];
}

#ifdef PRINT_ALIAS_INSTR
#undef PRINT_ALIAS_INSTR

bool SystemZInstPrinter::printAliasInstr(const MCInst *MI, raw_ostream &OS) {
  const char *AsmString;
  switch (MI->getOpcode()) {
  default: return false;
  case SystemZ::VFAE:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VFAE VR128:$V1, VR128:$V2, VR128:$V3, imm32zx4:$M4, 0)
      AsmString = "vfae	$\x01, $\x02, $\x03, $\xFF\x04\x01";
      break;
    }
    return false;
  case SystemZ::VFAEB:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEB VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaeb	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEBS:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEBS VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaebs	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEF:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEF VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaef	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEFS:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEFS VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaefs	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEH:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEH VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaeh	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEHS:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEHS VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaehs	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEZB:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEZB VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaezb	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEZBS:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEZBS VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaezbs	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEZF:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEZF VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaezf	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEZFS:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEZFS VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaezfs	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEZH:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEZH VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaezh	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFAEZHS:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFAEZHS VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfaezhs	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFEE:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VFEE VR128:$V1, VR128:$V2, VR128:$V3, imm32zx4:$M4, 0)
      AsmString = "vfee	$\x01, $\x02, $\x03, $\xFF\x04\x01";
      break;
    }
    return false;
  case SystemZ::VFEEB:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFEEB VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfeeb	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFEEF:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFEEF VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfeef	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFEEH:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFEEH VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfeeh	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFENE:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VFENE VR128:$V1, VR128:$V2, VR128:$V3, imm32zx4:$M4, 0)
      AsmString = "vfene	$\x01, $\x02, $\x03, $\xFF\x04\x01";
      break;
    }
    return false;
  case SystemZ::VFENEB:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFENEB VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfeneb	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFENEF:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFENEF VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfenef	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VFENEH:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VFENEH VR128:$V1, VR128:$V2, VR128:$V3, 0)
      AsmString = "vfeneh	$\x01, $\x02, $\x03";
      break;
    }
    return false;
  case SystemZ::VISTR:
    if (MI->getNumOperands() == 4 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(3).isImm() &&
        MI->getOperand(3).getImm() == 0) {
      // (VISTR VR128:$V1, VR128:$V2, imm32zx4:$M3, 0)
      AsmString = "vistr	$\x01, $\x02, $\xFF\x03\x01";
      break;
    }
    return false;
  case SystemZ::VISTRB:
    if (MI->getNumOperands() == 3 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isImm() &&
        MI->getOperand(2).getImm() == 0) {
      // (VISTRB VR128:$V1, VR128:$V2, 0)
      AsmString = "vistrb	$\x01, $\x02";
      break;
    }
    return false;
  case SystemZ::VISTRF:
    if (MI->getNumOperands() == 3 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isImm() &&
        MI->getOperand(2).getImm() == 0) {
      // (VISTRF VR128:$V1, VR128:$V2, 0)
      AsmString = "vistrf	$\x01, $\x02";
      break;
    }
    return false;
  case SystemZ::VISTRH:
    if (MI->getNumOperands() == 3 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isImm() &&
        MI->getOperand(2).getImm() == 0) {
      // (VISTRH VR128:$V1, VR128:$V2, 0)
      AsmString = "vistrh	$\x01, $\x02";
      break;
    }
    return false;
  case SystemZ::VSTRC:
    if (MI->getNumOperands() == 6 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(5).isImm() &&
        MI->getOperand(5).getImm() == 0) {
      // (VSTRC VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, imm32zx4_timm:$M5, 0)
      AsmString = "vstrc	$\x01, $\x02, $\x03, $\x04, $\xFF\x05\x01";
      break;
    }
    return false;
  case SystemZ::VSTRCB:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCB VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrcb	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCBS:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCBS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrcbs	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCF:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCF VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrcf	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCFS:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCFS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrcfs	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCH:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCH VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrch	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCHS:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCHS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrchs	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCZB:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCZB VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrczb	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCZBS:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCZBS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrczbs	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCZF:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCZF VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrczf	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCZFS:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCZFS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrczfs	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCZH:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCZH VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrczh	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRCZHS:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRCZHS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrczhs	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRS:
    if (MI->getNumOperands() == 6 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(5).isImm() &&
        MI->getOperand(5).getImm() == 0) {
      // (VSTRS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, imm32zx4:$M5, 0)
      AsmString = "vstrs	$\x01, $\x02, $\x03, $\x04, $\xFF\x05\x01";
      break;
    }
    return false;
  case SystemZ::VSTRSB:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRSB VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrsb	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRSF:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRSF VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrsf	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  case SystemZ::VSTRSH:
    if (MI->getNumOperands() == 5 &&
        MI->getOperand(0).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
        MI->getOperand(1).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
        MI->getOperand(2).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
        MI->getOperand(3).isReg() &&
        MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
        MI->getOperand(4).isImm() &&
        MI->getOperand(4).getImm() == 0) {
      // (VSTRSH VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
      AsmString = "vstrsh	$\x01, $\x02, $\x03, $\x04";
      break;
    }
    return false;
  }

  unsigned I = 0;
  while (AsmString[I] != ' ' && AsmString[I] != '\t' &&
         AsmString[I] != '$' && AsmString[I] != '\0')
    ++I;
  OS << '\t' << StringRef(AsmString, I);
  if (AsmString[I] != '\0') {
    if (AsmString[I] == ' ' || AsmString[I] == '\t') {
      OS << '\t';
      ++I;
    }
    do {
      if (AsmString[I] == '$') {
        ++I;
        if (AsmString[I] == (char)0xff) {
          ++I;
          int OpIdx = AsmString[I++] - 1;
          int PrintMethodIdx = AsmString[I++] - 1;
          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
        } else
          printOperand(MI, unsigned(AsmString[I++]) - 1, OS);
      } else {
        OS << AsmString[I++];
      }
    } while (AsmString[I] != '\0');
  }

  return true;
}

void SystemZInstPrinter::printCustomAliasOperand(
         const MCInst *MI, unsigned OpIdx,
         unsigned PrintMethodIdx,
         raw_ostream &OS) {
  switch (PrintMethodIdx) {
  default:
    llvm_unreachable("Unknown PrintMethod kind");
    break;
  case 0:
    printU4ImmOperand(MI, OpIdx, OS);
    break;
  }
}

#endif // PRINT_ALIAS_INSTR