reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced

References

tools/clang/include/clang/AST/Expr.h
 4850     return cast<Expr>(*const_cast<DesignatedInitExpr*>(this)->child_begin());
 4854     *child_begin() = init;
tools/clang/include/clang/AST/StmtGraphTraits.h
   31     if (N) return N->child_begin();
tools/clang/include/clang/AST/StmtOpenMP.h
   87     *child_begin() = S;
  259     return *child_begin();
  488         &(*(std::next(child_begin(), getArraysOffset(getDirectiveKind())))));
  495         child_begin(), getArraysOffset(getDirectiveKind()) + CollapsedNum));
  502         &*std::next(child_begin(),
  510         &*std::next(child_begin(),
  518         &*std::next(child_begin(),
  526         &*std::next(child_begin(),
  534         &*std::next(child_begin(),
  542         &*std::next(child_begin(),
  588     *std::next(child_begin(), IterationVariableOffset) = IV;
  591     *std::next(child_begin(), LastIterationOffset) = LI;
  594     *std::next(child_begin(), CalcLastIterationOffset) = CLI;
  597     *std::next(child_begin(), PreConditionOffset) = PC;
  600     *std::next(child_begin(), CondOffset) = Cond;
  602   void setInit(Expr *Init) { *std::next(child_begin(), InitOffset) = Init; }
  603   void setInc(Expr *Inc) { *std::next(child_begin(), IncOffset) = Inc; }
  605     *std::next(child_begin(), PreInitsOffset) = PreInits;
  612     *std::next(child_begin(), IsLastIterVariableOffset) = IL;
  619     *std::next(child_begin(), LowerBoundVariableOffset) = LB;
  626     *std::next(child_begin(), UpperBoundVariableOffset) = UB;
  633     *std::next(child_begin(), StrideVariableOffset) = ST;
  640     *std::next(child_begin(), EnsureUpperBoundOffset) = EUB;
  647     *std::next(child_begin(), NextLowerBoundOffset) = NLB;
  654     *std::next(child_begin(), NextUpperBoundOffset) = NUB;
  661     *std::next(child_begin(), NumIterationsOffset) = NI;
  666     *std::next(child_begin(), PrevLowerBoundVariableOffset) = PrevLB;
  671     *std::next(child_begin(), PrevUpperBoundVariableOffset) = PrevUB;
  676     *std::next(child_begin(), DistIncOffset) = DistInc;
  681     *std::next(child_begin(), PrevEnsureUpperBoundOffset) = PrevEUB;
  686     *std::next(child_begin(), CombinedLowerBoundVariableOffset) = CombLB;
  691     *std::next(child_begin(), CombinedUpperBoundVariableOffset) = CombUB;
  696     *std::next(child_begin(), CombinedEnsureUpperBoundOffset) = CombEUB;
  701     *std::next(child_begin(), CombinedInitOffset) = CombInit;
  706     *std::next(child_begin(), CombinedConditionOffset) = CombCond;
  711     *std::next(child_begin(), CombinedNextLowerBoundOffset) = CombNLB;
  716     *std::next(child_begin(), CombinedNextUpperBoundOffset) = CombNUB;
  721     *std::next(child_begin(), CombinedDistConditionOffset) = CombDistCond;
  726     *std::next(child_begin(),
  944   Stmt *getPreInits() { return *std::next(child_begin(), PreInitsOffset); }
 2155     *std::next(child_begin(), 1) = RR;
 2187     return static_cast<Expr *>(*std::next(child_begin(), 1));
 2360   void setX(Expr *X) { *std::next(child_begin()) = X; }
 2364   void setUpdateExpr(Expr *UE) { *std::next(child_begin(), 2) = UE; }
 2366   void setV(Expr *V) { *std::next(child_begin(), 3) = V; }
 2368   void setExpr(Expr *E) { *std::next(child_begin(), 4) = E; }
 2405   Expr *getX() { return cast_or_null<Expr>(*std::next(child_begin())); }
 2413     return cast_or_null<Expr>(*std::next(child_begin(), 2));
 2426   Expr *getV() { return cast_or_null<Expr>(*std::next(child_begin(), 3)); }
 2431   Expr *getExpr() { return cast_or_null<Expr>(*std::next(child_begin(), 4)); }
tools/clang/lib/ARCMigrate/TransRetainReleaseDealloc.cpp
  261     Stmt::child_iterator currChildS = OuterS->child_begin();
tools/clang/lib/AST/Expr.cpp
 4249   child_iterator Child = child_begin();
tools/clang/tools/extra/clang-tidy/misc/UnusedParametersCheck.cpp
  186         (Function->getBody()->child_begin() !=
tools/clang/tools/extra/clangd/unittests/SourceCodeTests.cpp
  590   const auto &Loop = cast<WhileStmt>(*Body->child_begin());