reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced

References

gen/lib/Target/Hexagon/HexagonGenAsmMatcher.inc
 3399         return MCK_ub;	 // "ub"
 7079   case MCK_ub: return "MCK_ub";
 8524   { 0 /*  */, Hexagon::V6_vunpackub, Convert__Reg1_0__Reg1_6, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vunpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8525   { 0 /*  */, Hexagon::V6_vzb, Convert__Reg1_0__Reg1_6, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vzxt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8566   { 0 /*  */, Hexagon::V6_vabsb, Convert__Reg1_0__Reg1_6, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
 8657   { 0 /*  */, Hexagon::V6_vlsrb, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__41_ }, },
 8657   { 0 /*  */, Hexagon::V6_vlsrb, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__41_ }, },
 8743   { 0 /*  */, Hexagon::V6_vandvrt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8743   { 0 /*  */, Hexagon::V6_vandvrt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8743   { 0 /*  */, Hexagon::V6_vandvrt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8746   { 0 /*  */, Hexagon::V6_veqb, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8746   { 0 /*  */, Hexagon::V6_veqb, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8752   { 0 /*  */, Hexagon::V6_vgtub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8752   { 0 /*  */, Hexagon::V6_vgtub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8761   { 0 /*  */, Hexagon::V6_vrmpyzbub_rt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV66_UseZReg, { MCK_HvxVQR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpyz, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8787   { 0 /*  */, Hexagon::V6_vaddubh, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8787   { 0 /*  */, Hexagon::V6_vaddubh, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8788   { 0 /*  */, Hexagon::V6_vdmpybus_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8789   { 0 /*  */, Hexagon::V6_vmpabusv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_b, MCK__41_ }, },
 8790   { 0 /*  */, Hexagon::V6_vmpabuuv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8790   { 0 /*  */, Hexagon::V6_vmpabuuv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8791   { 0 /*  */, Hexagon::V6_vmpabus, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8792   { 0 /*  */, Hexagon::V6_vmpabuu, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8792   { 0 /*  */, Hexagon::V6_vmpabuu, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8794   { 0 /*  */, Hexagon::V6_vmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8795   { 0 /*  */, Hexagon::V6_vmpybusv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
 8798   { 0 /*  */, Hexagon::V6_vsububh, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8798   { 0 /*  */, Hexagon::V6_vsububh, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8800   { 0 /*  */, Hexagon::V6_vtmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8801   { 0 /*  */, Hexagon::V6_vmpyub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8801   { 0 /*  */, Hexagon::V6_vmpyub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8802   { 0 /*  */, Hexagon::V6_vmpyubv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8802   { 0 /*  */, Hexagon::V6_vmpyubv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8806   { 0 /*  */, Hexagon::V6_vrmpyub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8806   { 0 /*  */, Hexagon::V6_vrmpyub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8807   { 0 /*  */, Hexagon::V6_vrmpyub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8807   { 0 /*  */, Hexagon::V6_vrmpyub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8818   { 0 /*  */, Hexagon::V6_vrmpybub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8819   { 0 /*  */, Hexagon::V6_vrmpybub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8859   { 0 /*  */, Hexagon::V6_vnavgub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vnavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8859   { 0 /*  */, Hexagon::V6_vnavgub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vnavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8871   { 0 /*  */, Hexagon::V6_vdmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8887   { 0 /*  */, Hexagon::V6_vabsdiffub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8887   { 0 /*  */, Hexagon::V6_vabsdiffub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8887   { 0 /*  */, Hexagon::V6_vabsdiffub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8888   { 0 /*  */, Hexagon::V6_vandqrt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8888   { 0 /*  */, Hexagon::V6_vandqrt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8888   { 0 /*  */, Hexagon::V6_vandqrt, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8889   { 0 /*  */, Hexagon::V6_vavgub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8889   { 0 /*  */, Hexagon::V6_vavgub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8889   { 0 /*  */, Hexagon::V6_vavgub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8890   { 0 /*  */, Hexagon::V6_vmaxub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8890   { 0 /*  */, Hexagon::V6_vmaxub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8890   { 0 /*  */, Hexagon::V6_vmaxub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8891   { 0 /*  */, Hexagon::V6_vminub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8891   { 0 /*  */, Hexagon::V6_vminub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8891   { 0 /*  */, Hexagon::V6_vminub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8892   { 0 /*  */, Hexagon::V6_vsathub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsat, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
 8902   { 0 /*  */, Hexagon::V6_vrmpyub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8902   { 0 /*  */, Hexagon::V6_vrmpyub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8903   { 0 /*  */, Hexagon::V6_vrmpyubv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8903   { 0 /*  */, Hexagon::V6_vrmpyubv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8916   { 0 /*  */, Hexagon::V6_vmpyiwub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8922   { 0 /*  */, Hexagon::V6_vrmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8923   { 0 /*  */, Hexagon::V6_vrmpybusv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
 8930   { 0 /*  */, Hexagon::V6_veqb_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8930   { 0 /*  */, Hexagon::V6_veqb_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8936   { 0 /*  */, Hexagon::V6_vgtub_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8936   { 0 /*  */, Hexagon::V6_vgtub_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8940   { 0 /*  */, Hexagon::V6_vandvrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8940   { 0 /*  */, Hexagon::V6_vandvrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8940   { 0 /*  */, Hexagon::V6_vandvrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8943   { 0 /*  */, Hexagon::V6_veqb_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8943   { 0 /*  */, Hexagon::V6_veqb_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8949   { 0 /*  */, Hexagon::V6_vgtub_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8949   { 0 /*  */, Hexagon::V6_vgtub_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8955   { 0 /*  */, Hexagon::V6_veqb_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8955   { 0 /*  */, Hexagon::V6_veqb_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8961   { 0 /*  */, Hexagon::V6_vgtub_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8961   { 0 /*  */, Hexagon::V6_vgtub_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8969   { 0 /*  */, Hexagon::V6_vrmpyzbub_rt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV66_UseZReg, { MCK_HvxVQR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpyz, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8987   { 0 /*  */, Hexagon::V6_vaddubh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8987   { 0 /*  */, Hexagon::V6_vaddubh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8988   { 0 /*  */, Hexagon::V6_vdmpybus_dv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8989   { 0 /*  */, Hexagon::V6_vmpabus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8990   { 0 /*  */, Hexagon::V6_vmpabuu_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8990   { 0 /*  */, Hexagon::V6_vmpabuu_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8992   { 0 /*  */, Hexagon::V6_vmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8993   { 0 /*  */, Hexagon::V6_vmpybusv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
 8995   { 0 /*  */, Hexagon::V6_vtmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 8997   { 0 /*  */, Hexagon::V6_vmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8997   { 0 /*  */, Hexagon::V6_vmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8998   { 0 /*  */, Hexagon::V6_vmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 8998   { 0 /*  */, Hexagon::V6_vmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9002   { 0 /*  */, Hexagon::V6_vrmpyub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9002   { 0 /*  */, Hexagon::V6_vrmpyub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9003   { 0 /*  */, Hexagon::V6_vrmpyub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9003   { 0 /*  */, Hexagon::V6_vrmpyub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9012   { 0 /*  */, Hexagon::V6_vrmpybub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9013   { 0 /*  */, Hexagon::V6_vrmpybub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9034   { 0 /*  */, Hexagon::V6_vdmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 9039   { 0 /*  */, Hexagon::V6_vandnqrt, Convert__Reg1_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9039   { 0 /*  */, Hexagon::V6_vandnqrt, Convert__Reg1_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9039   { 0 /*  */, Hexagon::V6_vandnqrt, Convert__Reg1_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9040   { 0 /*  */, Hexagon::V6_vandqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9040   { 0 /*  */, Hexagon::V6_vandqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9040   { 0 /*  */, Hexagon::V6_vandqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9042   { 0 /*  */, Hexagon::V6_vrmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9042   { 0 /*  */, Hexagon::V6_vrmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9043   { 0 /*  */, Hexagon::V6_vrmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9043   { 0 /*  */, Hexagon::V6_vrmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9047   { 0 /*  */, Hexagon::V6_vmpyiwub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9051   { 0 /*  */, Hexagon::V6_vrmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
 9052   { 0 /*  */, Hexagon::V6_vrmpybusv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
 9057   { 0 /*  */, Hexagon::V6_vrmpyzbub_rx, Convert__Reg1_0__Reg1_9__Reg1_6__Tie1_0_0, AMFBS_UseHVXV66_UseZReg, { MCK_HvxVQR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpyz, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__DOT_, MCK_ub, MCK__43_, MCK__43_, MCK__41_ }, },
 9085   { 0 /*  */, Hexagon::V6_vaddubsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9085   { 0 /*  */, Hexagon::V6_vaddubsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9085   { 0 /*  */, Hexagon::V6_vaddubsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9086   { 0 /*  */, Hexagon::V6_vsububsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9086   { 0 /*  */, Hexagon::V6_vsububsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9086   { 0 /*  */, Hexagon::V6_vsububsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9090   { 0 /*  */, Hexagon::V6_vrmpyubi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9090   { 0 /*  */, Hexagon::V6_vrmpyubi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9091   { 0 /*  */, Hexagon::V6_vrsadubi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9091   { 0 /*  */, Hexagon::V6_vrsadubi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9094   { 0 /*  */, Hexagon::V6_vrmpybusi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9143   { 0 /*  */, Hexagon::V6_vaddububb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
 9143   { 0 /*  */, Hexagon::V6_vaddububb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
 9144   { 0 /*  */, Hexagon::V6_vaddubsat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9144   { 0 /*  */, Hexagon::V6_vaddubsat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9144   { 0 /*  */, Hexagon::V6_vaddubsat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9145   { 0 /*  */, Hexagon::V6_vavgubrnd, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_rnd }, },
 9145   { 0 /*  */, Hexagon::V6_vavgubrnd, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_rnd }, },
 9145   { 0 /*  */, Hexagon::V6_vavgubrnd, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_rnd }, },
 9146   { 0 /*  */, Hexagon::V6_vpackhub_sat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
 9147   { 0 /*  */, Hexagon::V6_vroundhub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
 9148   { 0 /*  */, Hexagon::V6_vrounduhub, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
 9149   { 0 /*  */, Hexagon::V6_vsubububb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
 9149   { 0 /*  */, Hexagon::V6_vsubububb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
 9150   { 0 /*  */, Hexagon::V6_vsububsat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9150   { 0 /*  */, Hexagon::V6_vsububsat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9150   { 0 /*  */, Hexagon::V6_vsububsat, Convert__Reg1_0__Reg1_6__Reg1_9, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
 9151   { 0 /*  */, Hexagon::V6_vandnqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_8__Reg1_11, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9151   { 0 /*  */, Hexagon::V6_vandnqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_8__Reg1_11, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9151   { 0 /*  */, Hexagon::V6_vandnqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_8__Reg1_11, AMFBS_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
 9175   { 0 /*  */, Hexagon::V6_vrmpyzbub_rx_acc, Convert__Reg1_0__Reg1_10__Tie0_0_0__Reg1_7__Tie1_0_0, AMFBS_UseHVXV66_UseZReg, { MCK_HvxVQR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpyz, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__DOT_, MCK_ub, MCK__43_, MCK__43_, MCK__41_ }, },
 9198   { 0 /*  */, Hexagon::V6_vrmpyubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9198   { 0 /*  */, Hexagon::V6_vrmpyubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9199   { 0 /*  */, Hexagon::V6_vrsadubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9199   { 0 /*  */, Hexagon::V6_vrsadubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9201   { 0 /*  */, Hexagon::V6_vrmpybusi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, AMFBS_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__HASH_, MCK_u1_0Imm, MCK__41_ }, },
 9227   { 0 /*  */, Hexagon::V6_vasrhubsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
 9228   { 0 /*  */, Hexagon::V6_vasruhubsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, AMFBS_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
 9281   { 0 /*  */, Hexagon::V6_vasrhubrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, AMFBS_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
 9282   { 0 /*  */, Hexagon::V6_vasruhubrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, AMFBS_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },