reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced

References

gen/lib/Target/AArch64/AArch64GenAsmMatcher.inc
 7866         return MCK__DOT_s;	 // ".s"
 8049     return B == MCK__DOT_s;
11849   case MCK__DOT_s: return "MCK__DOT_s";
13479   { 962 /* dup */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
13484   { 962 /* dup */, AArch64::DUPv4i32lane, Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
13486   { 962 /* dup */, AArch64::DUPv2i32lane, Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
13779   { 1132 /* fcmla */, AArch64::FCMLAv4f32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
14246   { 1455 /* fmla */, AArch64::FMLAv1i32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14257   { 1455 /* fmla */, AArch64::FMLAv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14259   { 1455 /* fmla */, AArch64::FMLAv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14277   { 1487 /* fmls */, AArch64::FMLSv1i32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14288   { 1487 /* fmls */, AArch64::FMLSv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14290   { 1487 /* fmls */, AArch64::FMLSv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14356   { 1535 /* fmul */, AArch64::FMULv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14370   { 1535 /* fmul */, AArch64::FMULv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14372   { 1535 /* fmul */, AArch64::FMULv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14378   { 1540 /* fmulx */, AArch64::FMULXv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14389   { 1540 /* fmulx */, AArch64::FMULXv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14391   { 1540 /* fmulx */, AArch64::FMULXv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14675   { 1825 /* ins */, AArch64::INSvi32gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_GPR32 }, },
14679   { 1825 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14679   { 1825 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
14907   { 1854 /* ld1 */, AArch64::LD1i32, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
14922   { 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
14923   { 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
15357   { 1974 /* ld2 */, AArch64::LD2i32, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
15372   { 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
15373   { 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
15483   { 2003 /* ld3 */, AArch64::LD3i32, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
15498   { 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
15499   { 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
15609   { 2032 /* ld4 */, AArch64::LD4i32, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
15624   { 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
15625   { 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
16494   { 3343 /* mla */, AArch64::MLAv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16496   { 3343 /* mla */, AArch64::MLAv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16511   { 3347 /* mls */, AArch64::MLSv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16513   { 3347 /* mls */, AArch64::MLSv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16561   { 3356 /* mov */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16564   { 3356 /* mov */, AArch64::UMOVvi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16573   { 3356 /* mov */, AArch64::INSvi32gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_GPR32 }, },
16603   { 3356 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16603   { 3356 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16696   { 3410 /* mul */, AArch64::MULv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
16698   { 3410 /* mul */, AArch64::MULv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17259   { 4367 /* sm3tt1a */, AArch64::SM3TT1A, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17260   { 4375 /* sm3tt1b */, AArch64::SM3TT1B, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17261   { 4383 /* sm3tt2a */, AArch64::SM3TT2A, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17262   { 4391 /* sm3tt2b */, AArch64::SM3TT2B, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17338   { 4457 /* smlal */, AArch64::SMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17343   { 4463 /* smlal2 */, AArch64::SMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17358   { 4484 /* smlsl */, AArch64::SMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17363   { 4490 /* smlsl2 */, AArch64::SMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17380   { 4518 /* smov */, AArch64::SMOVvi32to64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17395   { 4536 /* smull */, AArch64::SMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17400   { 4542 /* smull2 */, AArch64::SMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17512   { 4624 /* sqdmlal */, AArch64::SQDMLALv1i64_indexed, Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17515   { 4624 /* sqdmlal */, AArch64::SQDMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17519   { 4632 /* sqdmlal2 */, AArch64::SQDMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17537   { 4669 /* sqdmlsl */, AArch64::SQDMLSLv1i64_indexed, Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17540   { 4669 /* sqdmlsl */, AArch64::SQDMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17544   { 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17569   { 4714 /* sqdmulh */, AArch64::SQDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17574   { 4714 /* sqdmulh */, AArch64::SQDMULHv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17576   { 4714 /* sqdmulh */, AArch64::SQDMULHv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17581   { 4722 /* sqdmull */, AArch64::SQDMULLv1i64_indexed, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17584   { 4722 /* sqdmull */, AArch64::SQDMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17588   { 4730 /* sqdmull2 */, AArch64::SQDMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17678   { 4808 /* sqrdmlah */, AArch64::SQRDMLAHi32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17683   { 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17685   { 4808 /* sqrdmlah */, AArch64::SQRDMLAHv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17697   { 4817 /* sqrdmlsh */, AArch64::SQRDMLSHi32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17702   { 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17704   { 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17716   { 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17721   { 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
17723   { 4826 /* sqrdmulh */, AArch64::SQRDMULHv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
18242   { 5234 /* st1 */, AArch64::ST1i32, Convert__VecListOne1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18257   { 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
18258   { 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
18458   { 5258 /* st2 */, AArch64::ST2i32, Convert__VecListTwo1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18473   { 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
18474   { 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
18540   { 5287 /* st3 */, AArch64::ST3i32, Convert__VecListThree1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18555   { 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
18556   { 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
18618   { 5311 /* st4 */, AArch64::ST4i32, Convert__VecListFour1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18633   { 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
18634   { 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
19409   { 6411 /* umlal */, AArch64::UMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
19414   { 6417 /* umlal2 */, AArch64::UMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
19429   { 6438 /* umlsl */, AArch64::UMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
19434   { 6444 /* umlsl2 */, AArch64::UMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
19449   { 6472 /* umov */, AArch64::UMOVvi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
19465   { 6490 /* umull */, AArch64::UMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
19470   { 6496 /* umull2 */, AArch64::UMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
21615   { 1455 /* fmla */, AArch64::FMLAv1i32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
21646   { 1487 /* fmls */, AArch64::FMLSv1i32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
21725   { 1535 /* fmul */, AArch64::FMULv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
21747   { 1540 /* fmulx */, AArch64::FMULXv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
22033   { 1825 /* ins */, AArch64::INSvi32gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_GPR32 }, },
22037   { 1825 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_VectorReg128, MCK_IndexRange0_3 }, },
22265   { 1854 /* ld1 */, AArch64::LD1i32, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
22280   { 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
22281   { 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
22715   { 1974 /* ld2 */, AArch64::LD2i32, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
22730   { 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
22731   { 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
22841   { 2003 /* ld3 */, AArch64::LD3i32, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
22856   { 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
22857   { 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
22967   { 2032 /* ld4 */, AArch64::LD4i32, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
22982   { 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
22983   { 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
23934   { 3356 /* mov */, AArch64::UMOVvi32, Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
23935   { 3356 /* mov */, AArch64::INSvi32gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_GPR32 }, },
23939   { 3356 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_VectorReg128, MCK_IndexRange0_3 }, },
24738   { 4518 /* smov */, AArch64::SMOVvi32to64, Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
24874   { 4624 /* sqdmlal */, AArch64::SQDMLALv1i64_indexed, Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
24899   { 4669 /* sqdmlsl */, AArch64::SQDMLSLv1i64_indexed, Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
24935   { 4714 /* sqdmulh */, AArch64::SQDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
24943   { 4722 /* sqdmull */, AArch64::SQDMULLv1i64_indexed, Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
25044   { 4808 /* sqrdmlah */, AArch64::SQRDMLAHi32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
25063   { 4817 /* sqrdmlsh */, AArch64::SQRDMLSHi32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
25082   { 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
25600   { 5234 /* st1 */, AArch64::ST1i32, Convert__VecListOne1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
25615   { 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
25616   { 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
25816   { 5258 /* st2 */, AArch64::ST2i32, Convert__VecListTwo1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
25831   { 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
25832   { 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
25898   { 5287 /* st3 */, AArch64::ST3i32, Convert__VecListThree1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
25913   { 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
25914   { 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
25976   { 5311 /* st4 */, AArch64::ST4i32, Convert__VecListFour1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
25991   { 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
25992   { 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
26808   { 6472 /* umov */, AArch64::UMOVvi32, Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },