reference, declarationdefinition
definition → references, declarations, derived classes, virtual overrides
reference to multiple definitions → definitions
unreferenced

References

gen/lib/Target/AArch64/AArch64GenAsmMatcher.inc
12696   { 4 /* adc */, AArch64::ADCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12697   { 4 /* adc */, AArch64::ADCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12702   { 20 /* adcs */, AArch64::ADCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12703   { 20 /* adcs */, AArch64::ADCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12704   { 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
12705   { 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
12707   { 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12708   { 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
12710   { 25 /* add */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
12711   { 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12712   { 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
12714   { 25 /* add */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
12723   { 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
12724   { 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
12725   { 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
12726   { 25 /* add */, AArch64::ADDXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
12727   { 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
12765   { 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
12766   { 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12768   { 72 /* adds */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
12769   { 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
12770   { 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12772   { 72 /* adds */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
12773   { 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
12774   { 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
12775   { 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
12776   { 72 /* adds */, AArch64::ADDSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
12777   { 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
12784   { 88 /* adr */, AArch64::ADR, Convert__Reg1_0__AdrLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrLabel }, },
12801   { 92 /* adrp */, AArch64::ADRP, Convert__Reg1_0__AdrpLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrpLabel }, },
12810   { 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12811   { 120 /* and */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
12812   { 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12813   { 120 /* and */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
12822   { 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12823   { 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12831   { 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12832   { 124 /* ands */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32 }, },
12833   { 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12834   { 124 /* ands */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64 }, },
12835   { 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12836   { 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12842   { 134 /* asr */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12843   { 134 /* asr */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
12844   { 134 /* asr */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12845   { 134 /* asr */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
12872   { 148 /* asrv */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12873   { 148 /* asrv */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12879   { 185 /* autia1716 */, AArch64::AUTIA1716, Convert_NoOperands, AMFBS_None, {  }, },
12880   { 195 /* autiasp */, AArch64::AUTIASP, Convert_NoOperands, AMFBS_None, {  }, },
12881   { 203 /* autiaz */, AArch64::AUTIAZ, Convert_NoOperands, AMFBS_None, {  }, },
12883   { 216 /* autib1716 */, AArch64::AUTIB1716, Convert_NoOperands, AMFBS_None, {  }, },
12884   { 226 /* autibsp */, AArch64::AUTIBSP, Convert_NoOperands, AMFBS_None, {  }, },
12885   { 234 /* autibz */, AArch64::AUTIBZ, Convert_NoOperands, AMFBS_None, {  }, },
12889   { 262 /* b */, AArch64::B, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
12890   { 262 /* b */, AArch64::Bcc, Convert__CondCode1_1__PCRelLabel191_2, AMFBS_None, { MCK__DOT_, MCK_CondCode, MCK_PCRelLabel19 }, },
12904   { 279 /* bfm */, AArch64::BFMWri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
12905   { 279 /* bfm */, AArch64::BFMXri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
12910   { 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
12911   { 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
12912   { 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
12913   { 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
12914   { 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12916   { 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12918   { 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
12919   { 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
12920   { 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
12921   { 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
12930   { 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12931   { 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12943   { 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12945   { 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12947   { 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12948   { 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12954   { 305 /* bl */, AArch64::BL, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
12955   { 308 /* blr */, AArch64::BLR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
12960   { 338 /* br */, AArch64::BR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
12965   { 363 /* brk */, AArch64::BRK, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
13013   { 543 /* cbnz */, AArch64::CBNZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
13014   { 543 /* cbnz */, AArch64::CBNZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
13015   { 548 /* cbz */, AArch64::CBZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
13016   { 548 /* cbz */, AArch64::CBZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
13017   { 552 /* ccmn */, AArch64::CCMNWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
13018   { 552 /* ccmn */, AArch64::CCMNWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
13019   { 552 /* ccmn */, AArch64::CCMNXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
13020   { 552 /* ccmn */, AArch64::CCMNXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
13021   { 557 /* ccmp */, AArch64::CCMPWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
13022   { 557 /* ccmp */, AArch64::CCMPWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
13023   { 557 /* ccmp */, AArch64::CCMPXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
13024   { 557 /* ccmp */, AArch64::CCMPXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
13030   { 573 /* cinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13031   { 573 /* cinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13032   { 578 /* cinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13033   { 578 /* cinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13058   { 597 /* clrex */, AArch64::CLREX, Convert__imm_95_15, AMFBS_None, {  }, },
13059   { 597 /* clrex */, AArch64::CLREX, Convert__Imm0_151_0, AMFBS_None, { MCK_Imm0_15 }, },
13060   { 603 /* cls */, AArch64::CLSWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
13061   { 603 /* cls */, AArch64::CLSXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
13072   { 607 /* clz */, AArch64::CLZWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
13073   { 607 /* clz */, AArch64::CLZXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
13155   { 641 /* cmle */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13163   { 641 /* cmle */, AArch64::CMGEv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13164   { 641 /* cmle */, AArch64::CMGEv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13165   { 641 /* cmle */, AArch64::CMGEv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13166   { 641 /* cmle */, AArch64::CMGEv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
13167   { 641 /* cmle */, AArch64::CMGEv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13168   { 641 /* cmle */, AArch64::CMGEv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
13169   { 641 /* cmle */, AArch64::CMGEv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
13170   { 646 /* cmlo */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13171   { 646 /* cmlo */, AArch64::CMHIv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13172   { 646 /* cmlo */, AArch64::CMHIv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13173   { 646 /* cmlo */, AArch64::CMHIv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13174   { 646 /* cmlo */, AArch64::CMHIv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
13175   { 646 /* cmlo */, AArch64::CMHIv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13176   { 646 /* cmlo */, AArch64::CMHIv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
13177   { 646 /* cmlo */, AArch64::CMHIv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
13178   { 651 /* cmls */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13179   { 651 /* cmls */, AArch64::CMHSv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13180   { 651 /* cmls */, AArch64::CMHSv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13181   { 651 /* cmls */, AArch64::CMHSv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13182   { 651 /* cmls */, AArch64::CMHSv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
13183   { 651 /* cmls */, AArch64::CMHSv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13184   { 651 /* cmls */, AArch64::CMHSv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
13185   { 651 /* cmls */, AArch64::CMHSv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
13187   { 656 /* cmlt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13195   { 656 /* cmlt */, AArch64::CMGTv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13196   { 656 /* cmlt */, AArch64::CMGTv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13197   { 656 /* cmlt */, AArch64::CMGTv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13198   { 656 /* cmlt */, AArch64::CMGTv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
13199   { 656 /* cmlt */, AArch64::CMGTv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13200   { 656 /* cmlt */, AArch64::CMGTv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
13201   { 656 /* cmlt */, AArch64::CMGTv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
13202   { 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
13203   { 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
13204   { 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
13206   { 661 /* cmn */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
13207   { 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
13209   { 661 /* cmn */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
13210   { 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
13211   { 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
13212   { 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
13213   { 661 /* cmn */, AArch64::ADDSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
13214   { 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
13215   { 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
13216   { 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
13217   { 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
13219   { 665 /* cmp */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
13220   { 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
13222   { 665 /* cmp */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
13223   { 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
13224   { 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
13225   { 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
13226   { 665 /* cmp */, AArch64::SUBSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
13227   { 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
13347   { 740 /* cneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13348   { 740 /* cneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13401   { 851 /* csdb */, AArch64::HINT, Convert__imm_95_20, AMFBS_None, {  }, },
13402   { 856 /* csel */, AArch64::CSELWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13403   { 856 /* csel */, AArch64::CSELXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13404   { 861 /* cset */, AArch64::CSINCWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
13405   { 861 /* cset */, AArch64::CSINCXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
13406   { 866 /* csetm */, AArch64::CSINVWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
13407   { 866 /* csetm */, AArch64::CSINVXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
13408   { 872 /* csinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13409   { 872 /* csinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13410   { 878 /* csinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13411   { 878 /* csinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13412   { 884 /* csneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
13413   { 884 /* csneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
13418   { 906 /* dcps1 */, AArch64::DCPS1, Convert__imm_95_0, AMFBS_None, {  }, },
13419   { 906 /* dcps1 */, AArch64::DCPS1, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
13420   { 912 /* dcps2 */, AArch64::DCPS2, Convert__imm_95_0, AMFBS_None, {  }, },
13421   { 912 /* dcps2 */, AArch64::DCPS2, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
13422   { 918 /* dcps3 */, AArch64::DCPS3, Convert__imm_95_0, AMFBS_None, {  }, },
13423   { 918 /* dcps3 */, AArch64::DCPS3, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
13455   { 949 /* dmb */, AArch64::DMB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
13456   { 953 /* drps */, AArch64::DRPS, Convert_NoOperands, AMFBS_None, {  }, },
13457   { 958 /* dsb */, AArch64::DSB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
13493   { 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
13495   { 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
13501   { 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
13502   { 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
13503   { 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
13504   { 975 /* eor */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
13505   { 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
13506   { 975 /* eor */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
13515   { 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
13516   { 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
13542   { 1006 /* eret */, AArch64::ERET, Convert_NoOperands, AMFBS_None, {  }, },
13550   { 1033 /* extr */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
13551   { 1033 /* extr */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
13596   { 1060 /* facle */, AArch64::FACGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
13597   { 1060 /* facle */, AArch64::FACGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13601   { 1060 /* facle */, AArch64::FACGEv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13602   { 1060 /* facle */, AArch64::FACGEv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13604   { 1060 /* facle */, AArch64::FACGEv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13606   { 1066 /* faclt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
13607   { 1066 /* faclt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13611   { 1066 /* faclt */, AArch64::FACGTv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13612   { 1066 /* faclt */, AArch64::FACGTv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13614   { 1066 /* faclt */, AArch64::FACGTv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13784   { 1138 /* fcmle */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
13786   { 1138 /* fcmle */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13804   { 1138 /* fcmle */, AArch64::FCMGEv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13806   { 1138 /* fcmle */, AArch64::FCMGEv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13810   { 1138 /* fcmle */, AArch64::FCMGEv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
13818   { 1144 /* fcmlt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
13820   { 1144 /* fcmlt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13838   { 1144 /* fcmlt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
13840   { 1144 /* fcmlt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
13844   { 1144 /* fcmlt */, AArch64::FCMGTv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
14322   { 1519 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__regWZR, AMFBS_None, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
14323   { 1519 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__regXZR, AMFBS_None, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
14619   { 1765 /* hint */, AArch64::HINT, Convert__Imm0_1271_0, AMFBS_None, { MCK_Imm0_127 }, },
14623   { 1786 /* hlt */, AArch64::HLT, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
14624   { 1790 /* hvc */, AArch64::HVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
14690   { 1838 /* isb */, AArch64::ISB, Convert__imm_95_15, AMFBS_None, {  }, },
14691   { 1838 /* isb */, AArch64::ISB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
15724   { 2223 /* ldar */, AArch64::LDARW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15725   { 2223 /* ldar */, AArch64::LDARX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15726   { 2228 /* ldarb */, AArch64::LDARB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15727   { 2234 /* ldarh */, AArch64::LDARH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15728   { 2240 /* ldaxp */, AArch64::LDAXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15729   { 2240 /* ldaxp */, AArch64::LDAXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15730   { 2246 /* ldaxr */, AArch64::LDAXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15731   { 2246 /* ldaxr */, AArch64::LDAXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15732   { 2252 /* ldaxrb */, AArch64::LDAXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
15733   { 2259 /* ldaxrh */, AArch64::LDAXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16053   { 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16054   { 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16055   { 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16056   { 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16057   { 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16058   { 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
16059   { 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
16060   { 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
16061   { 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
16062   { 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
16135   { 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16136   { 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16137   { 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16138   { 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16139   { 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16140   { 2640 /* ldp */, AArch64::LDPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
16141   { 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
16142   { 2640 /* ldp */, AArch64::LDPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
16143   { 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
16144   { 2640 /* ldp */, AArch64::LDPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
16145   { 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
16146   { 2640 /* ldp */, AArch64::LDPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
16147   { 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
16148   { 2640 /* ldp */, AArch64::LDPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
16149   { 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
16150   { 2640 /* ldp */, AArch64::LDPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
16151   { 2640 /* ldp */, AArch64::LDPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
16152   { 2640 /* ldp */, AArch64::LDPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
16153   { 2640 /* ldp */, AArch64::LDPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
16154   { 2640 /* ldp */, AArch64::LDPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
16155   { 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16156   { 2644 /* ldpsw */, AArch64::LDPSWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
16157   { 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
16158   { 2644 /* ldpsw */, AArch64::LDPSWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
16159   { 2650 /* ldr */, AArch64::LDRWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
16160   { 2650 /* ldr */, AArch64::LDRXl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
16161   { 2650 /* ldr */, AArch64::LDRSl, Convert__FPRAsmOperandFPR321_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_PCRelLabel19 }, },
16162   { 2650 /* ldr */, AArch64::LDRDl, Convert__FPRAsmOperandFPR641_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_PCRelLabel19 }, },
16163   { 2650 /* ldr */, AArch64::LDRQl, Convert__FPRAsmOperandFPR1281_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_PCRelLabel19 }, },
16164   { 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16165   { 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16168   { 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16169   { 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16170   { 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16171   { 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16172   { 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16173   { 2650 /* ldr */, AArch64::LDRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16174   { 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16175   { 2650 /* ldr */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
16176   { 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
16177   { 2650 /* ldr */, AArch64::LDRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16178   { 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16179   { 2650 /* ldr */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
16180   { 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
16181   { 2650 /* ldr */, AArch64::LDRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16182   { 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16183   { 2650 /* ldr */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
16184   { 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
16185   { 2650 /* ldr */, AArch64::LDRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16186   { 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16187   { 2650 /* ldr */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
16188   { 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
16189   { 2650 /* ldr */, AArch64::LDRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16190   { 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16191   { 2650 /* ldr */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
16192   { 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
16193   { 2650 /* ldr */, AArch64::LDRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16194   { 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16195   { 2650 /* ldr */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
16196   { 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
16197   { 2650 /* ldr */, AArch64::LDRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16198   { 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16199   { 2650 /* ldr */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
16200   { 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
16201   { 2650 /* ldr */, AArch64::LDRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
16202   { 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
16203   { 2650 /* ldr */, AArch64::LDRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16204   { 2650 /* ldr */, AArch64::LDRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
16205   { 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
16206   { 2650 /* ldr */, AArch64::LDRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16207   { 2650 /* ldr */, AArch64::LDRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
16208   { 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
16209   { 2650 /* ldr */, AArch64::LDRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16210   { 2650 /* ldr */, AArch64::LDRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
16211   { 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
16212   { 2650 /* ldr */, AArch64::LDRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16213   { 2650 /* ldr */, AArch64::LDRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
16214   { 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
16215   { 2650 /* ldr */, AArch64::LDRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16216   { 2650 /* ldr */, AArch64::LDRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
16217   { 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
16218   { 2650 /* ldr */, AArch64::LDRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16219   { 2650 /* ldr */, AArch64::LDRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
16220   { 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
16221   { 2650 /* ldr */, AArch64::LDRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16230   { 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16231   { 2666 /* ldrb */, AArch64::LDRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16232   { 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16233   { 2666 /* ldrb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
16234   { 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
16235   { 2666 /* ldrb */, AArch64::LDRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
16236   { 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
16237   { 2666 /* ldrb */, AArch64::LDRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16238   { 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16239   { 2671 /* ldrh */, AArch64::LDRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16240   { 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16241   { 2671 /* ldrh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
16242   { 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
16243   { 2671 /* ldrh */, AArch64::LDRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
16244   { 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
16245   { 2671 /* ldrh */, AArch64::LDRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16246   { 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16247   { 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16248   { 2676 /* ldrsb */, AArch64::LDRSBWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16249   { 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16250   { 2676 /* ldrsb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
16251   { 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
16252   { 2676 /* ldrsb */, AArch64::LDRSBXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16253   { 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16254   { 2676 /* ldrsb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
16255   { 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
16256   { 2676 /* ldrsb */, AArch64::LDRSBWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
16257   { 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
16258   { 2676 /* ldrsb */, AArch64::LDRSBWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16259   { 2676 /* ldrsb */, AArch64::LDRSBXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
16260   { 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
16261   { 2676 /* ldrsb */, AArch64::LDRSBXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16262   { 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16263   { 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16264   { 2682 /* ldrsh */, AArch64::LDRSHWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16265   { 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16266   { 2682 /* ldrsh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
16267   { 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
16268   { 2682 /* ldrsh */, AArch64::LDRSHXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16269   { 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16270   { 2682 /* ldrsh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
16271   { 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
16272   { 2682 /* ldrsh */, AArch64::LDRSHWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
16273   { 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
16274   { 2682 /* ldrsh */, AArch64::LDRSHWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16275   { 2682 /* ldrsh */, AArch64::LDRSHXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
16276   { 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
16277   { 2682 /* ldrsh */, AArch64::LDRSHXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16278   { 2688 /* ldrsw */, AArch64::LDRSWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
16279   { 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16280   { 2688 /* ldrsw */, AArch64::LDRSWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
16281   { 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16282   { 2688 /* ldrsw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
16283   { 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
16284   { 2688 /* ldrsw */, AArch64::LDRSWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
16285   { 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
16286   { 2688 /* ldrsw */, AArch64::LDRSWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
16335   { 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16336   { 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16337   { 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16338   { 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16339   { 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16340   { 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16341   { 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16342   { 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16343   { 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16344   { 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16345   { 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16346   { 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16347   { 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16348   { 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16349   { 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16350   { 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16351   { 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16352   { 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16385   { 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16386   { 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16387   { 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16388   { 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16389   { 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16390   { 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16391   { 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16392   { 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16393   { 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16394   { 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16395   { 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16396   { 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16397   { 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16398   { 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16399   { 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16400   { 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16401   { 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16402   { 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16403   { 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16404   { 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16405   { 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16406   { 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16407   { 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16408   { 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16409   { 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16410   { 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16411   { 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16412   { 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16413   { 3278 /* ldxp */, AArch64::LDXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16414   { 3278 /* ldxp */, AArch64::LDXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16415   { 3283 /* ldxr */, AArch64::LDXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16416   { 3283 /* ldxr */, AArch64::LDXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16417   { 3288 /* ldxrb */, AArch64::LDXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16418   { 3294 /* ldxrh */, AArch64::LDXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
16419   { 3300 /* lsl */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16420   { 3300 /* lsl */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16443   { 3309 /* lslv */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16444   { 3309 /* lslv */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16445   { 3314 /* lsr */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16446   { 3314 /* lsr */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
16447   { 3314 /* lsr */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16448   { 3314 /* lsr */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
16471   { 3323 /* lsrv */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16472   { 3323 /* lsrv */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16477   { 3332 /* madd */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16478   { 3332 /* madd */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16515   { 3351 /* mneg */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16516   { 3351 /* mneg */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16517   { 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp }, },
16518   { 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp }, },
16519   { 3356 /* mov */, AArch64::ORRWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16520   { 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl0MovAlias }, },
16521   { 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl16MovAlias }, },
16522   { 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl0MovAlias }, },
16523   { 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl16MovAlias }, },
16524   { 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly }, },
16525   { 3356 /* mov */, AArch64::ORRWri, Convert__Reg1_0__regWZR__LogicalImm321_1, AMFBS_None, { MCK_GPR32sp, MCK_LogicalImm32 }, },
16526   { 3356 /* mov */, AArch64::ORRXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16527   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl0MovAlias }, },
16528   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl16MovAlias }, },
16529   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl32MovAlias }, },
16530   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl48MovAlias }, },
16531   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl0MovAlias }, },
16532   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl16MovAlias }, },
16533   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl32MovAlias }, },
16534   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl48MovAlias }, },
16535   { 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly }, },
16536   { 3356 /* mov */, AArch64::ORRXri, Convert__Reg1_0__regXZR__LogicalImm641_1, AMFBS_None, { MCK_GPR64sp, MCK_LogicalImm64 }, },
16566   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
16567   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
16568   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
16569   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
16574   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_1d }, },
16575   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
16576   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
16577   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
16605   { 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
16606   { 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
16607   { 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
16608   { 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
16611   { 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
16612   { 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
16613   { 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
16614   { 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
16622   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
16623   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
16624   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
16625   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
16626   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
16627   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
16628   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
16629   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
16630   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
16631   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
16632   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
16633   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
16634   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
16635   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
16636   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
16637   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
16638   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
16639   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
16640   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
16641   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
16653   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
16654   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
16655   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
16656   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
16657   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
16658   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
16659   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
16660   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
16661   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
16662   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
16663   { 3393 /* mrs */, AArch64::MRS, Convert__Reg1_0__MRSSystemRegister1_1, AMFBS_None, { MCK_GPR64, MCK_MRSSystemRegister }, },
16668   { 3401 /* msr */, AArch64::MSR, Convert__MSRSystemRegister1_0__Reg1_1, AMFBS_None, { MCK_MSRSystemRegister, MCK_GPR64 }, },
16669   { 3401 /* msr */, AArch64::MSRpstateImm4, Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_15, MCK_Imm0_15 }, },
16670   { 3401 /* msr */, AArch64::MSRpstateImm1, Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_1, MCK_Imm0_1 }, },
16671   { 3405 /* msub */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16672   { 3405 /* msub */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16673   { 3410 /* mul */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16674   { 3410 /* mul */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16700   { 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16701   { 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16702   { 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
16703   { 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
16704   { 3414 /* mvn */, AArch64::NOTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
16705   { 3414 /* mvn */, AArch64::NOTv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
16706   { 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
16707   { 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
16708   { 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
16709   { 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
16710   { 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
16711   { 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
16712   { 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
16713   { 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
16724   { 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16725   { 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16726   { 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
16727   { 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
16739   { 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16740   { 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16741   { 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
16742   { 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
16743   { 3448 /* ngc */, AArch64::SBCWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16744   { 3448 /* ngc */, AArch64::SBCXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16745   { 3452 /* ngcs */, AArch64::SBCSWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16746   { 3452 /* ngcs */, AArch64::SBCSXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16749   { 3464 /* nop */, AArch64::HINT, Convert__imm_95_0, AMFBS_None, {  }, },
16760   { 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16762   { 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16768   { 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
16769   { 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
16774   { 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
16775   { 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
16776   { 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
16777   { 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
16778   { 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16779   { 3495 /* orr */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
16780   { 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16781   { 3495 /* orr */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
16782   { 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
16783   { 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
16784   { 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
16785   { 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
16794   { 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
16795   { 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
16818   { 3546 /* pacia1716 */, AArch64::PACIA1716, Convert_NoOperands, AMFBS_None, {  }, },
16819   { 3556 /* paciasp */, AArch64::PACIASP, Convert_NoOperands, AMFBS_None, {  }, },
16820   { 3564 /* paciaz */, AArch64::PACIAZ, Convert_NoOperands, AMFBS_None, {  }, },
16822   { 3577 /* pacib1716 */, AArch64::PACIB1716, Convert_NoOperands, AMFBS_None, {  }, },
16823   { 3587 /* pacibsp */, AArch64::PACIBSP, Convert_NoOperands, AMFBS_None, {  }, },
16824   { 3595 /* pacibz */, AArch64::PACIBZ, Convert_NoOperands, AMFBS_None, {  }, },
16882   { 3683 /* prfm */, AArch64::PRFMl, Convert__Prefetch1_0__PCRelLabel191_1, AMFBS_None, { MCK_Prefetch, MCK_PCRelLabel19 }, },
16883   { 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16884   { 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
16885   { 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
16886   { 3683 /* prfm */, AArch64::PRFMroW, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
16887   { 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
16888   { 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
16889   { 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
16903   { 3703 /* pssbb */, AArch64::DSB, Convert__imm_95_4, AMFBS_None, {  }, },
16937   { 3780 /* rbit */, AArch64::RBITWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16938   { 3780 /* rbit */, AArch64::RBITXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16949   { 3803 /* ret */, AArch64::RET, Convert__regLR, AMFBS_None, {  }, },
16950   { 3803 /* ret */, AArch64::RET, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
16953   { 3819 /* rev */, AArch64::REVWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16954   { 3819 /* rev */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16963   { 3823 /* rev16 */, AArch64::REV16Wr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
16964   { 3823 /* rev16 */, AArch64::REV16Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16967   { 3829 /* rev32 */, AArch64::REV32Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16972   { 3835 /* rev64 */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
16986   { 3861 /* ror */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16987   { 3861 /* ror */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
16988   { 3861 /* ror */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
16989   { 3861 /* ror */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
16990   { 3865 /* rorv */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
16991   { 3865 /* rorv */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
17111   { 4084 /* sbc */, AArch64::SBCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
17112   { 4084 /* sbc */, AArch64::SBCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
17117   { 4100 /* sbcs */, AArch64::SBCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
17118   { 4100 /* sbcs */, AArch64::SBCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
17119   { 4105 /* sbfm */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
17120   { 4105 /* sbfm */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
17156   { 4116 /* sdiv */, AArch64::SDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
17157   { 4116 /* sdiv */, AArch64::SDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
17178   { 4156 /* sev */, AArch64::HINT, Convert__imm_95_4, AMFBS_None, {  }, },
17179   { 4160 /* sevl */, AArch64::HINT, Convert__imm_95_5, AMFBS_None, {  }, },
17267   { 4412 /* smaddl */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
17301   { 4436 /* smc */, AArch64::SMC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
17375   { 4511 /* smnegl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
17381   { 4523 /* smsubl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
17382   { 4530 /* smulh */, AArch64::SMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
17391   { 4536 /* smull */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
17972   { 5117 /* ssbb */, AArch64::DSB, Convert__imm_95_0, AMFBS_None, {  }, },
18684   { 5498 /* stlr */, AArch64::STLRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18685   { 5498 /* stlr */, AArch64::STLRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18686   { 5503 /* stlrb */, AArch64::STLRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18687   { 5509 /* stlrh */, AArch64::STLRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18696   { 5535 /* stlxp */, AArch64::STLXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18697   { 5535 /* stlxp */, AArch64::STLXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18698   { 5541 /* stlxr */, AArch64::STLXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18699   { 5541 /* stlxr */, AArch64::STLXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18700   { 5547 /* stlxrb */, AArch64::STLXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18701   { 5554 /* stlxrh */, AArch64::STLXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18702   { 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18703   { 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18704   { 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18705   { 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18706   { 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18707   { 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
18708   { 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
18709   { 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
18710   { 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
18711   { 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
18764   { 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18765   { 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18766   { 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18767   { 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18768   { 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18769   { 5594 /* stp */, AArch64::STPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
18770   { 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
18771   { 5594 /* stp */, AArch64::STPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
18772   { 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
18773   { 5594 /* stp */, AArch64::STPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
18774   { 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
18775   { 5594 /* stp */, AArch64::STPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
18776   { 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
18777   { 5594 /* stp */, AArch64::STPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
18778   { 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
18779   { 5594 /* stp */, AArch64::STPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
18780   { 5594 /* stp */, AArch64::STPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
18781   { 5594 /* stp */, AArch64::STPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
18782   { 5594 /* stp */, AArch64::STPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
18783   { 5594 /* stp */, AArch64::STPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
18784   { 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18785   { 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18788   { 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18789   { 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18790   { 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18791   { 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18792   { 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18793   { 5598 /* str */, AArch64::STRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18794   { 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18795   { 5598 /* str */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
18796   { 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
18797   { 5598 /* str */, AArch64::STRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18798   { 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18799   { 5598 /* str */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
18800   { 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
18801   { 5598 /* str */, AArch64::STRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18802   { 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18803   { 5598 /* str */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
18804   { 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
18805   { 5598 /* str */, AArch64::STRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18806   { 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18807   { 5598 /* str */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
18808   { 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
18809   { 5598 /* str */, AArch64::STRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18810   { 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18811   { 5598 /* str */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
18812   { 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
18813   { 5598 /* str */, AArch64::STRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18814   { 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18815   { 5598 /* str */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
18816   { 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
18817   { 5598 /* str */, AArch64::STRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18818   { 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18819   { 5598 /* str */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
18820   { 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
18821   { 5598 /* str */, AArch64::STRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
18822   { 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
18823   { 5598 /* str */, AArch64::STRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18824   { 5598 /* str */, AArch64::STRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
18825   { 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
18826   { 5598 /* str */, AArch64::STRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18827   { 5598 /* str */, AArch64::STRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
18828   { 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
18829   { 5598 /* str */, AArch64::STRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18830   { 5598 /* str */, AArch64::STRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
18831   { 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
18832   { 5598 /* str */, AArch64::STRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18833   { 5598 /* str */, AArch64::STRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
18834   { 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
18835   { 5598 /* str */, AArch64::STRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18836   { 5598 /* str */, AArch64::STRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
18837   { 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
18838   { 5598 /* str */, AArch64::STRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18839   { 5598 /* str */, AArch64::STRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
18840   { 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
18841   { 5598 /* str */, AArch64::STRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18844   { 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18845   { 5602 /* strb */, AArch64::STRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18846   { 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18847   { 5602 /* strb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
18848   { 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
18849   { 5602 /* strb */, AArch64::STRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
18850   { 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
18851   { 5602 /* strb */, AArch64::STRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18852   { 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18853   { 5607 /* strh */, AArch64::STRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
18854   { 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
18855   { 5607 /* strh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
18856   { 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
18857   { 5607 /* strh */, AArch64::STRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
18858   { 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
18859   { 5607 /* strh */, AArch64::STRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
18884   { 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18885   { 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18886   { 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18887   { 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18888   { 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18889   { 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18890   { 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18891   { 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18908   { 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18909   { 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18910   { 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18911   { 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18912   { 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18913   { 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18914   { 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18915   { 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18916   { 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18917   { 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18918   { 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18919   { 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18920   { 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18921   { 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18922   { 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18923   { 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18924   { 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
18925   { 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
18926   { 5885 /* stxp */, AArch64::STXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18927   { 5885 /* stxp */, AArch64::STXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18928   { 5890 /* stxr */, AArch64::STXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18929   { 5890 /* stxr */, AArch64::STXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18930   { 5895 /* stxrb */, AArch64::STXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18931   { 5901 /* stxrh */, AArch64::STXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
18941   { 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
18942   { 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
18944   { 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
18945   { 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
18947   { 5924 /* sub */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
18948   { 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
18949   { 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
18951   { 5924 /* sub */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
18960   { 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
18961   { 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
18962   { 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
18963   { 5924 /* sub */, AArch64::SUBXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
18964   { 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
18999   { 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
19000   { 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
19002   { 5976 /* subs */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
19003   { 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
19004   { 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
19006   { 5976 /* subs */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
19007   { 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
19008   { 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
19009   { 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
19010   { 5976 /* subs */, AArch64::SUBSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
19011   { 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
19033   { 6004 /* svc */, AArch64::SVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
19050   { 6076 /* sxtb */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
19051   { 6076 /* sxtb */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19055   { 6081 /* sxth */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
19056   { 6081 /* sxth */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19059   { 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
19060   { 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
19061   { 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
19062   { 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
19063   { 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
19064   { 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
19065   { 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
19066   { 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
19067   { 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
19068   { 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
19069   { 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
19070   { 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
19071   { 6097 /* sxtw */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19073   { 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
19074   { 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7, MCK_GPR64 }, },
19075   { 6106 /* sysl */, AArch64::SYSLxt, Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4, AMFBS_None, { MCK_GPR64, MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
19104   { 6115 /* tbnz */, AArch64::TBNZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
19105   { 6115 /* tbnz */, AArch64::TBNZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
19106   { 6115 /* tbnz */, AArch64::TBNZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
19127   { 6124 /* tbz */, AArch64::TBZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
19128   { 6124 /* tbz */, AArch64::TBZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
19129   { 6124 /* tbz */, AArch64::TBZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
19163   { 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
19164   { 6158 /* tst */, AArch64::ANDSWri, Convert__regWZR__Reg1_0__LogicalImm321_1, AMFBS_None, { MCK_GPR32, MCK_LogicalImm32 }, },
19165   { 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19166   { 6158 /* tst */, AArch64::ANDSXri, Convert__regXZR__Reg1_0__LogicalImm641_1, AMFBS_None, { MCK_GPR64, MCK_LogicalImm64 }, },
19167   { 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
19168   { 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
19263   { 6320 /* ubfm */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
19264   { 6320 /* ubfm */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
19300   { 6331 /* udf */, AArch64::UDF, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
19301   { 6335 /* udiv */, AArch64::UDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
19302   { 6335 /* udiv */, AArch64::UDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
19339   { 6370 /* umaddl */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
19446   { 6465 /* umnegl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
19451   { 6477 /* umsubl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
19452   { 6484 /* umulh */, AArch64::UMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
19461   { 6490 /* umull */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
19865   { 6893 /* uxtb */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
19866   { 6893 /* uxtb */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19870   { 6898 /* uxth */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
19871   { 6898 /* uxth */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19874   { 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
19875   { 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
19876   { 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
19877   { 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
19878   { 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
19879   { 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
19880   { 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
19881   { 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
19882   { 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
19883   { 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
19884   { 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
19885   { 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
19886   { 6914 /* uxtw */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
19918   { 6929 /* wfe */, AArch64::HINT, Convert__imm_95_2, AMFBS_None, {  }, },
19919   { 6933 /* wfi */, AArch64::HINT, Convert__imm_95_3, AMFBS_None, {  }, },
20001   { 7046 /* xpaclri */, AArch64::XPACLRI, Convert_NoOperands, AMFBS_None, {  }, },
20008   { 7063 /* yield */, AArch64::HINT, Convert__imm_95_1, AMFBS_None, {  }, },
20054   { 4 /* adc */, AArch64::ADCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20055   { 4 /* adc */, AArch64::ADCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20060   { 20 /* adcs */, AArch64::ADCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20061   { 20 /* adcs */, AArch64::ADCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20062   { 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
20063   { 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
20065   { 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20066   { 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
20068   { 25 /* add */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
20069   { 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20070   { 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
20072   { 25 /* add */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
20088   { 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
20089   { 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
20090   { 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
20091   { 25 /* add */, AArch64::ADDXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
20092   { 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
20123   { 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
20124   { 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20126   { 72 /* adds */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
20127   { 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
20128   { 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20130   { 72 /* adds */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
20131   { 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
20132   { 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
20133   { 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
20134   { 72 /* adds */, AArch64::ADDSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
20135   { 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
20142   { 88 /* adr */, AArch64::ADR, Convert__Reg1_0__AdrLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrLabel }, },
20159   { 92 /* adrp */, AArch64::ADRP, Convert__Reg1_0__AdrpLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrpLabel }, },
20168   { 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20169   { 120 /* and */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
20170   { 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20171   { 120 /* and */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
20182   { 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
20183   { 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
20189   { 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20190   { 124 /* ands */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32 }, },
20191   { 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20192   { 124 /* ands */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64 }, },
20193   { 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
20194   { 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
20200   { 134 /* asr */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20201   { 134 /* asr */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
20202   { 134 /* asr */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20203   { 134 /* asr */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
20230   { 148 /* asrv */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20231   { 148 /* asrv */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20237   { 185 /* autia1716 */, AArch64::AUTIA1716, Convert_NoOperands, AMFBS_None, {  }, },
20238   { 195 /* autiasp */, AArch64::AUTIASP, Convert_NoOperands, AMFBS_None, {  }, },
20239   { 203 /* autiaz */, AArch64::AUTIAZ, Convert_NoOperands, AMFBS_None, {  }, },
20241   { 216 /* autib1716 */, AArch64::AUTIB1716, Convert_NoOperands, AMFBS_None, {  }, },
20242   { 226 /* autibsp */, AArch64::AUTIBSP, Convert_NoOperands, AMFBS_None, {  }, },
20243   { 234 /* autibz */, AArch64::AUTIBZ, Convert_NoOperands, AMFBS_None, {  }, },
20247   { 262 /* b */, AArch64::B, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
20248   { 262 /* b */, AArch64::Bcc, Convert__CondCode1_1__PCRelLabel191_2, AMFBS_None, { MCK__DOT_, MCK_CondCode, MCK_PCRelLabel19 }, },
20262   { 279 /* bfm */, AArch64::BFMWri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
20263   { 279 /* bfm */, AArch64::BFMXri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
20268   { 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
20269   { 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
20270   { 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
20271   { 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
20272   { 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20274   { 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20276   { 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
20277   { 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
20278   { 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
20279   { 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
20294   { 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
20295   { 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
20301   { 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20303   { 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20305   { 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
20306   { 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
20312   { 305 /* bl */, AArch64::BL, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
20313   { 308 /* blr */, AArch64::BLR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
20318   { 338 /* br */, AArch64::BR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
20323   { 363 /* brk */, AArch64::BRK, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
20371   { 543 /* cbnz */, AArch64::CBNZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
20372   { 543 /* cbnz */, AArch64::CBNZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
20373   { 548 /* cbz */, AArch64::CBZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
20374   { 548 /* cbz */, AArch64::CBZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
20375   { 552 /* ccmn */, AArch64::CCMNWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
20376   { 552 /* ccmn */, AArch64::CCMNWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
20377   { 552 /* ccmn */, AArch64::CCMNXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
20378   { 552 /* ccmn */, AArch64::CCMNXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
20379   { 557 /* ccmp */, AArch64::CCMPWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
20380   { 557 /* ccmp */, AArch64::CCMPWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
20381   { 557 /* ccmp */, AArch64::CCMPXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
20382   { 557 /* ccmp */, AArch64::CCMPXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
20388   { 573 /* cinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20389   { 573 /* cinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20390   { 578 /* cinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20391   { 578 /* cinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20416   { 597 /* clrex */, AArch64::CLREX, Convert__imm_95_15, AMFBS_None, {  }, },
20417   { 597 /* clrex */, AArch64::CLREX, Convert__Imm0_151_0, AMFBS_None, { MCK_Imm0_15 }, },
20418   { 603 /* cls */, AArch64::CLSWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
20419   { 603 /* cls */, AArch64::CLSXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
20430   { 607 /* clz */, AArch64::CLZWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
20431   { 607 /* clz */, AArch64::CLZXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
20513   { 641 /* cmle */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
20515   { 641 /* cmle */, AArch64::CMGEv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20517   { 641 /* cmle */, AArch64::CMGEv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20519   { 641 /* cmle */, AArch64::CMGEv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20521   { 641 /* cmle */, AArch64::CMGEv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20523   { 641 /* cmle */, AArch64::CMGEv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20525   { 641 /* cmle */, AArch64::CMGEv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20527   { 641 /* cmle */, AArch64::CMGEv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20528   { 646 /* cmlo */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
20529   { 646 /* cmlo */, AArch64::CMHIv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20530   { 646 /* cmlo */, AArch64::CMHIv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20531   { 646 /* cmlo */, AArch64::CMHIv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20532   { 646 /* cmlo */, AArch64::CMHIv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20533   { 646 /* cmlo */, AArch64::CMHIv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20534   { 646 /* cmlo */, AArch64::CMHIv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20535   { 646 /* cmlo */, AArch64::CMHIv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20536   { 651 /* cmls */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
20537   { 651 /* cmls */, AArch64::CMHSv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20538   { 651 /* cmls */, AArch64::CMHSv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20539   { 651 /* cmls */, AArch64::CMHSv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20540   { 651 /* cmls */, AArch64::CMHSv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20541   { 651 /* cmls */, AArch64::CMHSv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20542   { 651 /* cmls */, AArch64::CMHSv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20543   { 651 /* cmls */, AArch64::CMHSv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20545   { 656 /* cmlt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
20547   { 656 /* cmlt */, AArch64::CMGTv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20549   { 656 /* cmlt */, AArch64::CMGTv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20551   { 656 /* cmlt */, AArch64::CMGTv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20553   { 656 /* cmlt */, AArch64::CMGTv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20555   { 656 /* cmlt */, AArch64::CMGTv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20557   { 656 /* cmlt */, AArch64::CMGTv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20559   { 656 /* cmlt */, AArch64::CMGTv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20560   { 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
20561   { 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
20562   { 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
20564   { 661 /* cmn */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
20565   { 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
20567   { 661 /* cmn */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
20568   { 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
20569   { 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
20570   { 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
20571   { 661 /* cmn */, AArch64::ADDSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
20572   { 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
20573   { 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
20574   { 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
20575   { 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
20577   { 665 /* cmp */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
20578   { 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
20580   { 665 /* cmp */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
20581   { 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
20582   { 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
20583   { 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
20584   { 665 /* cmp */, AArch64::SUBSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
20585   { 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
20705   { 740 /* cneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20706   { 740 /* cneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20759   { 851 /* csdb */, AArch64::HINT, Convert__imm_95_20, AMFBS_None, {  }, },
20760   { 856 /* csel */, AArch64::CSELWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20761   { 856 /* csel */, AArch64::CSELXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20762   { 861 /* cset */, AArch64::CSINCWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
20763   { 861 /* cset */, AArch64::CSINCXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
20764   { 866 /* csetm */, AArch64::CSINVWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
20765   { 866 /* csetm */, AArch64::CSINVXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
20766   { 872 /* csinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20767   { 872 /* csinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20768   { 878 /* csinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20769   { 878 /* csinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20770   { 884 /* csneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
20771   { 884 /* csneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
20776   { 906 /* dcps1 */, AArch64::DCPS1, Convert__imm_95_0, AMFBS_None, {  }, },
20777   { 906 /* dcps1 */, AArch64::DCPS1, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
20778   { 912 /* dcps2 */, AArch64::DCPS2, Convert__imm_95_0, AMFBS_None, {  }, },
20779   { 912 /* dcps2 */, AArch64::DCPS2, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
20780   { 918 /* dcps3 */, AArch64::DCPS3, Convert__imm_95_0, AMFBS_None, {  }, },
20781   { 918 /* dcps3 */, AArch64::DCPS3, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
20813   { 949 /* dmb */, AArch64::DMB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
20814   { 953 /* drps */, AArch64::DRPS, Convert_NoOperands, AMFBS_None, {  }, },
20815   { 958 /* dsb */, AArch64::DSB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
20851   { 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20853   { 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20859   { 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
20860   { 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
20861   { 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
20862   { 975 /* eor */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
20863   { 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
20864   { 975 /* eor */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
20875   { 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
20876   { 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
20900   { 1006 /* eret */, AArch64::ERET, Convert_NoOperands, AMFBS_None, {  }, },
20908   { 1033 /* extr */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
20909   { 1033 /* extr */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
20954   { 1060 /* facle */, AArch64::FACGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
20955   { 1060 /* facle */, AArch64::FACGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
20956   { 1060 /* facle */, AArch64::FACGEv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20957   { 1060 /* facle */, AArch64::FACGEv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20959   { 1060 /* facle */, AArch64::FACGEv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20964   { 1066 /* faclt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
20965   { 1066 /* faclt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
20966   { 1066 /* faclt */, AArch64::FACGTv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
20967   { 1066 /* faclt */, AArch64::FACGTv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
20969   { 1066 /* faclt */, AArch64::FACGTv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
21142   { 1138 /* fcmle */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
21144   { 1138 /* fcmle */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
21146   { 1138 /* fcmle */, AArch64::FCMGEv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
21148   { 1138 /* fcmle */, AArch64::FCMGEv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
21152   { 1138 /* fcmle */, AArch64::FCMGEv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
21176   { 1144 /* fcmlt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
21178   { 1144 /* fcmlt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
21180   { 1144 /* fcmlt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
21182   { 1144 /* fcmlt */, AArch64::FCMGTv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
21186   { 1144 /* fcmlt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
21685   { 1519 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__regWZR, AMFBS_None, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
21686   { 1519 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__regXZR, AMFBS_None, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
21977   { 1765 /* hint */, AArch64::HINT, Convert__Imm0_1271_0, AMFBS_None, { MCK_Imm0_127 }, },
21981   { 1786 /* hlt */, AArch64::HLT, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
21982   { 1790 /* hvc */, AArch64::HVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
22048   { 1838 /* isb */, AArch64::ISB, Convert__imm_95_15, AMFBS_None, {  }, },
22049   { 1838 /* isb */, AArch64::ISB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
23082   { 2223 /* ldar */, AArch64::LDARW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23083   { 2223 /* ldar */, AArch64::LDARX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23084   { 2228 /* ldarb */, AArch64::LDARB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23085   { 2234 /* ldarh */, AArch64::LDARH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23086   { 2240 /* ldaxp */, AArch64::LDAXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23087   { 2240 /* ldaxp */, AArch64::LDAXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23088   { 2246 /* ldaxr */, AArch64::LDAXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23089   { 2246 /* ldaxr */, AArch64::LDAXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23090   { 2252 /* ldaxrb */, AArch64::LDAXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23091   { 2259 /* ldaxrh */, AArch64::LDAXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23411   { 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23412   { 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23413   { 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23414   { 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23415   { 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23416   { 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
23417   { 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
23418   { 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
23419   { 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
23420   { 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
23493   { 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23494   { 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23495   { 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23496   { 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23497   { 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23498   { 2640 /* ldp */, AArch64::LDPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
23499   { 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
23500   { 2640 /* ldp */, AArch64::LDPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
23501   { 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
23502   { 2640 /* ldp */, AArch64::LDPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
23503   { 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
23504   { 2640 /* ldp */, AArch64::LDPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
23505   { 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
23506   { 2640 /* ldp */, AArch64::LDPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
23507   { 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
23508   { 2640 /* ldp */, AArch64::LDPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
23509   { 2640 /* ldp */, AArch64::LDPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
23510   { 2640 /* ldp */, AArch64::LDPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
23511   { 2640 /* ldp */, AArch64::LDPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
23512   { 2640 /* ldp */, AArch64::LDPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
23513   { 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23514   { 2644 /* ldpsw */, AArch64::LDPSWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
23515   { 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
23516   { 2644 /* ldpsw */, AArch64::LDPSWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
23517   { 2650 /* ldr */, AArch64::LDRWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
23518   { 2650 /* ldr */, AArch64::LDRXl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
23519   { 2650 /* ldr */, AArch64::LDRSl, Convert__FPRAsmOperandFPR321_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_PCRelLabel19 }, },
23520   { 2650 /* ldr */, AArch64::LDRDl, Convert__FPRAsmOperandFPR641_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_PCRelLabel19 }, },
23521   { 2650 /* ldr */, AArch64::LDRQl, Convert__FPRAsmOperandFPR1281_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_PCRelLabel19 }, },
23522   { 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23523   { 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23526   { 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23527   { 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23528   { 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23529   { 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23530   { 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23531   { 2650 /* ldr */, AArch64::LDRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23532   { 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23533   { 2650 /* ldr */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
23534   { 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
23535   { 2650 /* ldr */, AArch64::LDRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23536   { 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23537   { 2650 /* ldr */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
23538   { 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
23539   { 2650 /* ldr */, AArch64::LDRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23540   { 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23541   { 2650 /* ldr */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
23542   { 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
23543   { 2650 /* ldr */, AArch64::LDRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23544   { 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23545   { 2650 /* ldr */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
23546   { 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
23547   { 2650 /* ldr */, AArch64::LDRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23548   { 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23549   { 2650 /* ldr */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
23550   { 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
23551   { 2650 /* ldr */, AArch64::LDRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23552   { 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23553   { 2650 /* ldr */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
23554   { 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
23555   { 2650 /* ldr */, AArch64::LDRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23556   { 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23557   { 2650 /* ldr */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
23558   { 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
23559   { 2650 /* ldr */, AArch64::LDRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
23560   { 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
23561   { 2650 /* ldr */, AArch64::LDRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23562   { 2650 /* ldr */, AArch64::LDRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
23563   { 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
23564   { 2650 /* ldr */, AArch64::LDRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23565   { 2650 /* ldr */, AArch64::LDRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
23566   { 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
23567   { 2650 /* ldr */, AArch64::LDRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23568   { 2650 /* ldr */, AArch64::LDRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
23569   { 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
23570   { 2650 /* ldr */, AArch64::LDRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23571   { 2650 /* ldr */, AArch64::LDRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
23572   { 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
23573   { 2650 /* ldr */, AArch64::LDRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23574   { 2650 /* ldr */, AArch64::LDRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
23575   { 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
23576   { 2650 /* ldr */, AArch64::LDRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23577   { 2650 /* ldr */, AArch64::LDRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
23578   { 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
23579   { 2650 /* ldr */, AArch64::LDRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23588   { 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23589   { 2666 /* ldrb */, AArch64::LDRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23590   { 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23591   { 2666 /* ldrb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
23592   { 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
23593   { 2666 /* ldrb */, AArch64::LDRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
23594   { 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
23595   { 2666 /* ldrb */, AArch64::LDRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23596   { 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23597   { 2671 /* ldrh */, AArch64::LDRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23598   { 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23599   { 2671 /* ldrh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
23600   { 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
23601   { 2671 /* ldrh */, AArch64::LDRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
23602   { 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
23603   { 2671 /* ldrh */, AArch64::LDRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23604   { 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23605   { 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23606   { 2676 /* ldrsb */, AArch64::LDRSBWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23607   { 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23608   { 2676 /* ldrsb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
23609   { 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
23610   { 2676 /* ldrsb */, AArch64::LDRSBXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23611   { 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23612   { 2676 /* ldrsb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
23613   { 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
23614   { 2676 /* ldrsb */, AArch64::LDRSBWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
23615   { 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
23616   { 2676 /* ldrsb */, AArch64::LDRSBWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23617   { 2676 /* ldrsb */, AArch64::LDRSBXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
23618   { 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
23619   { 2676 /* ldrsb */, AArch64::LDRSBXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23620   { 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23621   { 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23622   { 2682 /* ldrsh */, AArch64::LDRSHWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23623   { 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23624   { 2682 /* ldrsh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
23625   { 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
23626   { 2682 /* ldrsh */, AArch64::LDRSHXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23627   { 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23628   { 2682 /* ldrsh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
23629   { 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
23630   { 2682 /* ldrsh */, AArch64::LDRSHWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
23631   { 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
23632   { 2682 /* ldrsh */, AArch64::LDRSHWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23633   { 2682 /* ldrsh */, AArch64::LDRSHXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
23634   { 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
23635   { 2682 /* ldrsh */, AArch64::LDRSHXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23636   { 2688 /* ldrsw */, AArch64::LDRSWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
23637   { 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23638   { 2688 /* ldrsw */, AArch64::LDRSWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
23639   { 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
23640   { 2688 /* ldrsw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
23641   { 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
23642   { 2688 /* ldrsw */, AArch64::LDRSWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
23643   { 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
23644   { 2688 /* ldrsw */, AArch64::LDRSWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
23693   { 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23694   { 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23695   { 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23696   { 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23697   { 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23698   { 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23699   { 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23700   { 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23701   { 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23702   { 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23703   { 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23704   { 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23705   { 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23706   { 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23707   { 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23708   { 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23709   { 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23710   { 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23743   { 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23744   { 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23745   { 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23746   { 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23747   { 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23748   { 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23749   { 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23750   { 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23751   { 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23752   { 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23753   { 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23754   { 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23755   { 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23756   { 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23757   { 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23758   { 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23759   { 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23760   { 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23761   { 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23762   { 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23763   { 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23764   { 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23765   { 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23766   { 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23767   { 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23768   { 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23769   { 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
23770   { 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
23771   { 3278 /* ldxp */, AArch64::LDXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23772   { 3278 /* ldxp */, AArch64::LDXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23773   { 3283 /* ldxr */, AArch64::LDXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23774   { 3283 /* ldxr */, AArch64::LDXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23775   { 3288 /* ldxrb */, AArch64::LDXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23776   { 3294 /* ldxrh */, AArch64::LDXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
23777   { 3300 /* lsl */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
23778   { 3300 /* lsl */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
23801   { 3309 /* lslv */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
23802   { 3309 /* lslv */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
23803   { 3314 /* lsr */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
23804   { 3314 /* lsr */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
23805   { 3314 /* lsr */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
23806   { 3314 /* lsr */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
23829   { 3323 /* lsrv */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
23830   { 3323 /* lsrv */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
23835   { 3332 /* madd */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
23836   { 3332 /* madd */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
23873   { 3351 /* mneg */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
23874   { 3351 /* mneg */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
23875   { 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp }, },
23876   { 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp }, },
23877   { 3356 /* mov */, AArch64::ORRWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
23878   { 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl0MovAlias }, },
23879   { 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl16MovAlias }, },
23880   { 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl0MovAlias }, },
23881   { 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl16MovAlias }, },
23882   { 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly }, },
23883   { 3356 /* mov */, AArch64::ORRWri, Convert__Reg1_0__regWZR__LogicalImm321_1, AMFBS_None, { MCK_GPR32sp, MCK_LogicalImm32 }, },
23884   { 3356 /* mov */, AArch64::ORRXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
23885   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl0MovAlias }, },
23886   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl16MovAlias }, },
23887   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl32MovAlias }, },
23888   { 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl48MovAlias }, },
23889   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl0MovAlias }, },
23890   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl16MovAlias }, },
23891   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl32MovAlias }, },
23892   { 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl48MovAlias }, },
23893   { 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly }, },
23894   { 3356 /* mov */, AArch64::ORRXri, Convert__Reg1_0__regXZR__LogicalImm641_1, AMFBS_None, { MCK_GPR64sp, MCK_LogicalImm64 }, },
23913   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
23914   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
23915   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
23916   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
23917   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
23918   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
23919   { 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
23920   { 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
23965   { 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
23966   { 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
23967   { 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
23969   { 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
23970   { 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
23971   { 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
23972   { 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
23973   { 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
23980   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
23981   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
23982   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
23983   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
23984   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
23985   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
23986   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
23987   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
23988   { 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
23989   { 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
23990   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
23991   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
23992   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
23993   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
23994   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
23995   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
23996   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
23997   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
23998   { 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
23999   { 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
24011   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
24012   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
24013   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
24014   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
24015   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
24016   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
24017   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
24018   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
24019   { 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
24020   { 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
24021   { 3393 /* mrs */, AArch64::MRS, Convert__Reg1_0__MRSSystemRegister1_1, AMFBS_None, { MCK_GPR64, MCK_MRSSystemRegister }, },
24026   { 3401 /* msr */, AArch64::MSR, Convert__MSRSystemRegister1_0__Reg1_1, AMFBS_None, { MCK_MSRSystemRegister, MCK_GPR64 }, },
24027   { 3401 /* msr */, AArch64::MSRpstateImm4, Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_15, MCK_Imm0_15 }, },
24028   { 3401 /* msr */, AArch64::MSRpstateImm1, Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_1, MCK_Imm0_1 }, },
24029   { 3405 /* msub */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24030   { 3405 /* msub */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24031   { 3410 /* mul */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24032   { 3410 /* mul */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24058   { 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24059   { 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24060   { 3414 /* mvn */, AArch64::NOTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
24061   { 3414 /* mvn */, AArch64::NOTv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
24062   { 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
24063   { 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
24064   { 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
24065   { 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
24066   { 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
24067   { 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
24068   { 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
24069   { 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
24070   { 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
24071   { 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
24082   { 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24083   { 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24091   { 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
24092   { 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
24097   { 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24098   { 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24099   { 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
24100   { 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
24101   { 3448 /* ngc */, AArch64::SBCWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24102   { 3448 /* ngc */, AArch64::SBCXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24103   { 3452 /* ngcs */, AArch64::SBCSWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24104   { 3452 /* ngcs */, AArch64::SBCSXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24107   { 3464 /* nop */, AArch64::HINT, Convert__imm_95_0, AMFBS_None, {  }, },
24118   { 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24120   { 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24128   { 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
24129   { 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
24132   { 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
24133   { 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
24134   { 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
24135   { 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
24136   { 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24137   { 3495 /* orr */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
24138   { 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24139   { 3495 /* orr */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
24140   { 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
24141   { 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
24142   { 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
24143   { 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
24158   { 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
24159   { 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
24176   { 3546 /* pacia1716 */, AArch64::PACIA1716, Convert_NoOperands, AMFBS_None, {  }, },
24177   { 3556 /* paciasp */, AArch64::PACIASP, Convert_NoOperands, AMFBS_None, {  }, },
24178   { 3564 /* paciaz */, AArch64::PACIAZ, Convert_NoOperands, AMFBS_None, {  }, },
24180   { 3577 /* pacib1716 */, AArch64::PACIB1716, Convert_NoOperands, AMFBS_None, {  }, },
24181   { 3587 /* pacibsp */, AArch64::PACIBSP, Convert_NoOperands, AMFBS_None, {  }, },
24182   { 3595 /* pacibz */, AArch64::PACIBZ, Convert_NoOperands, AMFBS_None, {  }, },
24240   { 3683 /* prfm */, AArch64::PRFMl, Convert__Prefetch1_0__PCRelLabel191_1, AMFBS_None, { MCK_Prefetch, MCK_PCRelLabel19 }, },
24241   { 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
24242   { 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
24243   { 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
24244   { 3683 /* prfm */, AArch64::PRFMroW, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
24245   { 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
24246   { 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
24247   { 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
24261   { 3703 /* pssbb */, AArch64::DSB, Convert__imm_95_4, AMFBS_None, {  }, },
24295   { 3780 /* rbit */, AArch64::RBITWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24296   { 3780 /* rbit */, AArch64::RBITXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24307   { 3803 /* ret */, AArch64::RET, Convert__regLR, AMFBS_None, {  }, },
24308   { 3803 /* ret */, AArch64::RET, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
24311   { 3819 /* rev */, AArch64::REVWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24312   { 3819 /* rev */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24321   { 3823 /* rev16 */, AArch64::REV16Wr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
24322   { 3823 /* rev16 */, AArch64::REV16Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24325   { 3829 /* rev32 */, AArch64::REV32Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24330   { 3835 /* rev64 */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
24344   { 3861 /* ror */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24345   { 3861 /* ror */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
24346   { 3861 /* ror */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24347   { 3861 /* ror */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
24348   { 3865 /* rorv */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24349   { 3865 /* rorv */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24469   { 4084 /* sbc */, AArch64::SBCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24470   { 4084 /* sbc */, AArch64::SBCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24475   { 4100 /* sbcs */, AArch64::SBCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24476   { 4100 /* sbcs */, AArch64::SBCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24477   { 4105 /* sbfm */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
24478   { 4105 /* sbfm */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
24514   { 4116 /* sdiv */, AArch64::SDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
24515   { 4116 /* sdiv */, AArch64::SDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24536   { 4156 /* sev */, AArch64::HINT, Convert__imm_95_4, AMFBS_None, {  }, },
24537   { 4160 /* sevl */, AArch64::HINT, Convert__imm_95_5, AMFBS_None, {  }, },
24625   { 4412 /* smaddl */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
24659   { 4436 /* smc */, AArch64::SMC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
24733   { 4511 /* smnegl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
24739   { 4523 /* smsubl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
24740   { 4530 /* smulh */, AArch64::SMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
24749   { 4536 /* smull */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
25330   { 5117 /* ssbb */, AArch64::DSB, Convert__imm_95_0, AMFBS_None, {  }, },
26042   { 5498 /* stlr */, AArch64::STLRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26043   { 5498 /* stlr */, AArch64::STLRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26044   { 5503 /* stlrb */, AArch64::STLRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26045   { 5509 /* stlrh */, AArch64::STLRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26054   { 5535 /* stlxp */, AArch64::STLXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26055   { 5535 /* stlxp */, AArch64::STLXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26056   { 5541 /* stlxr */, AArch64::STLXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26057   { 5541 /* stlxr */, AArch64::STLXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26058   { 5547 /* stlxrb */, AArch64::STLXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26059   { 5554 /* stlxrh */, AArch64::STLXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26060   { 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26061   { 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26062   { 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26063   { 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26064   { 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26065   { 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
26066   { 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
26067   { 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
26068   { 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
26069   { 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
26122   { 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26123   { 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26124   { 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26125   { 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26126   { 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26127   { 5594 /* stp */, AArch64::STPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
26128   { 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
26129   { 5594 /* stp */, AArch64::STPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
26130   { 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
26131   { 5594 /* stp */, AArch64::STPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
26132   { 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
26133   { 5594 /* stp */, AArch64::STPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
26134   { 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
26135   { 5594 /* stp */, AArch64::STPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
26136   { 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
26137   { 5594 /* stp */, AArch64::STPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
26138   { 5594 /* stp */, AArch64::STPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
26139   { 5594 /* stp */, AArch64::STPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
26140   { 5594 /* stp */, AArch64::STPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
26141   { 5594 /* stp */, AArch64::STPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
26142   { 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26143   { 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26146   { 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26147   { 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26148   { 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26149   { 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26150   { 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26151   { 5598 /* str */, AArch64::STRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26152   { 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26153   { 5598 /* str */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
26154   { 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
26155   { 5598 /* str */, AArch64::STRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26156   { 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26157   { 5598 /* str */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
26158   { 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
26159   { 5598 /* str */, AArch64::STRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26160   { 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26161   { 5598 /* str */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
26162   { 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
26163   { 5598 /* str */, AArch64::STRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26164   { 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26165   { 5598 /* str */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
26166   { 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
26167   { 5598 /* str */, AArch64::STRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26168   { 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26169   { 5598 /* str */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
26170   { 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
26171   { 5598 /* str */, AArch64::STRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26172   { 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26173   { 5598 /* str */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
26174   { 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
26175   { 5598 /* str */, AArch64::STRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26176   { 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26177   { 5598 /* str */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
26178   { 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
26179   { 5598 /* str */, AArch64::STRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
26180   { 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
26181   { 5598 /* str */, AArch64::STRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26182   { 5598 /* str */, AArch64::STRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
26183   { 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
26184   { 5598 /* str */, AArch64::STRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26185   { 5598 /* str */, AArch64::STRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
26186   { 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
26187   { 5598 /* str */, AArch64::STRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26188   { 5598 /* str */, AArch64::STRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
26189   { 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
26190   { 5598 /* str */, AArch64::STRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26191   { 5598 /* str */, AArch64::STRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
26192   { 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
26193   { 5598 /* str */, AArch64::STRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26194   { 5598 /* str */, AArch64::STRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
26195   { 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
26196   { 5598 /* str */, AArch64::STRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26197   { 5598 /* str */, AArch64::STRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
26198   { 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
26199   { 5598 /* str */, AArch64::STRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26202   { 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26203   { 5602 /* strb */, AArch64::STRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26204   { 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26205   { 5602 /* strb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
26206   { 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
26207   { 5602 /* strb */, AArch64::STRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
26208   { 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
26209   { 5602 /* strb */, AArch64::STRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26210   { 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26211   { 5607 /* strh */, AArch64::STRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
26212   { 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
26213   { 5607 /* strh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
26214   { 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
26215   { 5607 /* strh */, AArch64::STRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
26216   { 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
26217   { 5607 /* strh */, AArch64::STRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
26242   { 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26243   { 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26244   { 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26245   { 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26246   { 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26247   { 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26248   { 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26249   { 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26266   { 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26267   { 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26268   { 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26269   { 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26270   { 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26271   { 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26272   { 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26273   { 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26274   { 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26275   { 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26276   { 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26277   { 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26278   { 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26279   { 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26280   { 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26281   { 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26282   { 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
26283   { 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
26284   { 5885 /* stxp */, AArch64::STXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26285   { 5885 /* stxp */, AArch64::STXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26286   { 5890 /* stxr */, AArch64::STXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26287   { 5890 /* stxr */, AArch64::STXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26288   { 5895 /* stxrb */, AArch64::STXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26289   { 5901 /* stxrh */, AArch64::STXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
26299   { 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
26300   { 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
26302   { 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
26303   { 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
26305   { 5924 /* sub */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
26306   { 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
26307   { 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
26309   { 5924 /* sub */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
26325   { 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
26326   { 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
26327   { 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
26328   { 5924 /* sub */, AArch64::SUBXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
26329   { 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
26357   { 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
26358   { 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
26360   { 5976 /* subs */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
26361   { 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
26362   { 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
26364   { 5976 /* subs */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
26365   { 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
26366   { 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
26367   { 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
26368   { 5976 /* subs */, AArch64::SUBSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
26369   { 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
26391   { 6004 /* svc */, AArch64::SVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
26408   { 6076 /* sxtb */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
26409   { 6076 /* sxtb */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
26413   { 6081 /* sxth */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
26414   { 6081 /* sxth */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
26417   { 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
26418   { 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
26419   { 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
26420   { 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
26421   { 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
26422   { 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
26423   { 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
26424   { 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
26425   { 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
26426   { 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
26427   { 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
26428   { 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
26429   { 6097 /* sxtw */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
26431   { 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
26432   { 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7, MCK_GPR64 }, },
26433   { 6106 /* sysl */, AArch64::SYSLxt, Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4, AMFBS_None, { MCK_GPR64, MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
26462   { 6115 /* tbnz */, AArch64::TBNZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
26463   { 6115 /* tbnz */, AArch64::TBNZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
26464   { 6115 /* tbnz */, AArch64::TBNZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
26485   { 6124 /* tbz */, AArch64::TBZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
26486   { 6124 /* tbz */, AArch64::TBZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
26487   { 6124 /* tbz */, AArch64::TBZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
26521   { 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
26522   { 6158 /* tst */, AArch64::ANDSWri, Convert__regWZR__Reg1_0__LogicalImm321_1, AMFBS_None, { MCK_GPR32, MCK_LogicalImm32 }, },
26523   { 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
26524   { 6158 /* tst */, AArch64::ANDSXri, Convert__regXZR__Reg1_0__LogicalImm641_1, AMFBS_None, { MCK_GPR64, MCK_LogicalImm64 }, },
26525   { 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
26526   { 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
26621   { 6320 /* ubfm */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
26622   { 6320 /* ubfm */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
26658   { 6331 /* udf */, AArch64::UDF, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
26659   { 6335 /* udiv */, AArch64::UDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
26660   { 6335 /* udiv */, AArch64::UDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
26697   { 6370 /* umaddl */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
26804   { 6465 /* umnegl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
26809   { 6477 /* umsubl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
26810   { 6484 /* umulh */, AArch64::UMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
26819   { 6490 /* umull */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
27223   { 6893 /* uxtb */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
27224   { 6893 /* uxtb */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
27228   { 6898 /* uxth */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
27229   { 6898 /* uxth */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
27232   { 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
27233   { 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
27234   { 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
27235   { 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
27236   { 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
27237   { 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
27238   { 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
27239   { 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
27240   { 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
27241   { 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
27242   { 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
27243   { 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
27244   { 6914 /* uxtw */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
27276   { 6929 /* wfe */, AArch64::HINT, Convert__imm_95_2, AMFBS_None, {  }, },
27277   { 6933 /* wfi */, AArch64::HINT, Convert__imm_95_3, AMFBS_None, {  }, },
27359   { 7046 /* xpaclri */, AArch64::XPACLRI, Convert_NoOperands, AMFBS_None, {  }, },
27366   { 7063 /* yield */, AArch64::HINT, Convert__imm_95_1, AMFBS_None, {  }, },
27654   { 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27655   { 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27658   { 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27659   { 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27742   { 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27743   { 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27746   { 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27747   { 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
27748   { 88 /* adr */, 2 /* 1 */, MCK_AdrLabel, AMFBS_None },
27749   { 88 /* adr */, 2 /* 1 */, MCK_AdrLabel, AMFBS_None },
27814   { 92 /* adrp */, 2 /* 1 */, MCK_AdrpLabel, AMFBS_None },
27815   { 92 /* adrp */, 2 /* 1 */, MCK_AdrpLabel, AMFBS_None },
28300   { 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28301   { 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28304   { 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28305   { 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28308   { 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28309   { 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28312   { 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
28313   { 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
29264   { 949 /* dmb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
29265   { 949 /* dmb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
29266   { 958 /* dsb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
29267   { 958 /* dsb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
30930   { 1838 /* isb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
30931   { 1838 /* isb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
32852   { 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32853   { 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32854   { 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32855   { 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32856   { 2228 /* ldarb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32857   { 2228 /* ldarb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32858   { 2234 /* ldarh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32859   { 2234 /* ldarh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32860   { 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
32861   { 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
32862   { 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
32863   { 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
32864   { 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32865   { 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32866   { 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32867   { 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32868   { 2252 /* ldaxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32869   { 2252 /* ldaxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32870   { 2259 /* ldaxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
32871   { 2259 /* ldaxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34680   { 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
34681   { 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
34682   { 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
34683   { 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
34684   { 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34685   { 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34686   { 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34687   { 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34688   { 3288 /* ldxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34689   { 3288 /* ldxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34690   { 3294 /* ldxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
34691   { 3294 /* ldxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
35146   { 3393 /* mrs */, 2 /* 1 */, MCK_MRSSystemRegister, AMFBS_None },
35147   { 3393 /* mrs */, 2 /* 1 */, MCK_MRSSystemRegister, AMFBS_None },
35164   { 3401 /* msr */, 1 /* 0 */, MCK_MSRSystemRegister, AMFBS_None },
35165   { 3401 /* msr */, 1 /* 0 */, MCK_MSRSystemRegister, AMFBS_None },
35166   { 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_15, AMFBS_None },
35167   { 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_15, AMFBS_None },
35168   { 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_1, AMFBS_None },
35169   { 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_1, AMFBS_None },
35608   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35609   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35610   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35611   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35612   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35613   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35614   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35615   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35616   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35617   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35618   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35619   { 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35620   { 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35621   { 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35622   { 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
35623   { 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
38620   { 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38621   { 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38622   { 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38623   { 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38624   { 5503 /* stlrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38625   { 5503 /* stlrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38626   { 5509 /* stlrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38627   { 5509 /* stlrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
38628   { 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38629   { 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38630   { 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38631   { 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38632   { 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38633   { 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38634   { 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38635   { 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38636   { 5547 /* stlxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38637   { 5547 /* stlxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38638   { 5554 /* stlxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38639   { 5554 /* stlxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38900   { 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38901   { 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38902   { 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38903   { 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
38904   { 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38905   { 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38906   { 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38907   { 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38908   { 5895 /* stxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38909   { 5895 /* stxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38910   { 5901 /* stxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38911   { 5901 /* stxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
38914   { 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
38915   { 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
38918   { 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
38919   { 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
39018   { 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
39019   { 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
39022   { 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
39023   { 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
39088   { 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
39089   { 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
39090   { 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
39091   { 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
39092   { 6106 /* sysl */, 12 /* 2, 3 */, MCK_SysCR, AMFBS_None },
39093   { 6106 /* sysl */, 12 /* 2, 3 */, MCK_SysCR, AMFBS_None },
39134   { 6115 /* tbnz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
39135   { 6115 /* tbnz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
39144   { 6124 /* tbz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
39145   { 6124 /* tbz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },